Merge branch 'main' of https://github.com/efabless/caravel_user_project into efabless-main
diff --git a/README.md b/README.md
index 3706438..1516c5e 100644
--- a/README.md
+++ b/README.md
@@ -2,10 +2,38 @@
 
 [![License](https://img.shields.io/badge/License-Apache%202.0-blue.svg)](https://opensource.org/licenses/Apache-2.0) [![UPRJ_CI](https://github.com/efabless/caravel_project_example/actions/workflows/user_project_ci.yml/badge.svg)](https://github.com/efabless/caravel_project_example/actions/workflows/user_project_ci.yml) [![Caravel Build](https://github.com/efabless/caravel_project_example/actions/workflows/caravel_build.yml/badge.svg)](https://github.com/efabless/caravel_project_example/actions/workflows/caravel_build.yml)
 
-| :exclamation: Important Note            |
-|-----------------------------------------|
+# Libresilicon StdCellLib LS130 - SKY130 Test-wafer
 
-## Please fill in your project documentation in this README.md file 
+This project is a test-wafer which puts various LS130 cells into the user-area of a Caravel harness for taping the LS130 cells out on the Skywater 130nm process.
 
+The LS130 cells are generated with the https://github.com/thesourcerer8/StdCellLib flow (which uses Librecell's lclayout and lctime), using the Tech.SKY130 configuration.
 
-Refer to [README](docs/source/index.rst) for this sample project documentation. 
+The build report can be seen here: https://pdk.libresilicon.com/dist/StdCellLib_20210618/Catalog/buildreport.html
+The cells were copied into this repository to avoid additional dependencies: https://github.com/thesourcerer8/caravel_stdcelllib_stdcells_project/tree/main/cells
+
+A generator was developed to generate a Verilog file for all the cells that places each cell once and connects it to the IOs of the harness:
+https://github.com/thesourcerer8/caravel_stdcelllib_stdcells_project/blob/main/scripts/generator.pl 
+The script needs to be run from the Catalog directory of your StdCellLib. The output is then used as https://github.com/thesourcerer8/caravel_stdcelllib_stdcells_project/blob/main/verilog/rtl/user_proj_example.v
+
+In the end I adapted https://github.com/thesourcerer8/caravel_stdcelllib_stdcells_project/blob/main/openlane/user_proj_example/config.tcl to use the cells as blackbox cells.
+
+Build process:
+
+git clone git@github.com:thesourcerer8/caravel_stdcelllib_stdcells_project.git
+
+cd caravel_stdcelllib_stdcells_project
+
+# Now please adapt the pathes in the file env.sh where necessary
+. ./env.sh
+
+make install    # install caravel-lite
+
+make pdk        # clone and build pdk
+
+make openlane   # clone and build build openlane
+
+scripts/deploy2caravel.sh # This takes all the cells from the StdCellLib/Catalog directory and puts them on the Caravel
+
+make user_proj_example # This builds the Caravel
+
+Refer to [README](docs/source/index.rst) for the Caravel documentation. 
diff --git a/cells/cell/AND2X1.cell b/cells/cell/AND2X1.cell
new file mode 100644
index 0000000..9ccf37f
--- /dev/null
+++ b/cells/cell/AND2X1.cell
@@ -0,0 +1,10 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs B A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos B 1 vdd
+pmos 1 Y vdd
+nmos A 2 1
+nmos B 2 gnd
+nmos 1 Y gnd
diff --git a/cells/cell/AND2X2.cell b/cells/cell/AND2X2.cell
new file mode 100644
index 0000000..9ccf37f
--- /dev/null
+++ b/cells/cell/AND2X2.cell
@@ -0,0 +1,10 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs B A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos B 1 vdd
+pmos 1 Y vdd
+nmos A 2 1
+nmos B 2 gnd
+nmos 1 Y gnd
diff --git a/cells/cell/AOI21X1.cell b/cells/cell/AOI21X1.cell
new file mode 100644
index 0000000..e4f8975
--- /dev/null
+++ b/cells/cell/AOI21X1.cell
@@ -0,0 +1,10 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs C B A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos B 1 vdd
+pmos C Y 1
+nmos A 2 gnd
+nmos B Y 2
+nmos C Y gnd
diff --git a/cells/cell/AOI22X1.cell b/cells/cell/AOI22X1.cell
new file mode 100644
index 0000000..7d10c30
--- /dev/null
+++ b/cells/cell/AOI22X1.cell
@@ -0,0 +1,12 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs D C B A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos B 1 vdd
+pmos D Y 1
+pmos C 1 Y
+nmos A 2 gnd
+nmos B Y 2
+nmos D 3 Y
+nmos C 3 gnd
diff --git a/cells/cell/BUFX2.cell b/cells/cell/BUFX2.cell
new file mode 100644
index 0000000..1957c01
--- /dev/null
+++ b/cells/cell/BUFX2.cell
@@ -0,0 +1,8 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos 1 Y vdd
+nmos A 1 gnd
+nmos 1 Y gnd
diff --git a/cells/cell/BUFX4.cell b/cells/cell/BUFX4.cell
new file mode 100644
index 0000000..f6631cf
--- /dev/null
+++ b/cells/cell/BUFX4.cell
@@ -0,0 +1,10 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos 1 Y vdd
+pmos 1 Y vdd
+nmos A 1 gnd
+nmos 1 Y gnd
+nmos 1 Y gnd
diff --git a/cells/cell/CLKBUF1.cell b/cells/cell/CLKBUF1.cell
new file mode 100644
index 0000000..ae7775d
--- /dev/null
+++ b/cells/cell/CLKBUF1.cell
@@ -0,0 +1,20 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos A 1 vdd
+pmos 1 2 vdd
+pmos 1 2 vdd
+pmos 2 3 vdd
+pmos 2 3 vdd
+pmos 3 Y vdd
+pmos 3 Y vdd
+nmos A 1 gnd
+nmos A 1 gnd
+nmos 1 2 gnd
+nmos 1 2 gnd
+nmos 2 3 gnd
+nmos 2 3 gnd
+nmos 3 Y gnd
+nmos 3 Y gnd
diff --git a/cells/cell/CLKBUF2.cell b/cells/cell/CLKBUF2.cell
new file mode 100644
index 0000000..6c61683
--- /dev/null
+++ b/cells/cell/CLKBUF2.cell
@@ -0,0 +1,28 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos A 1 vdd
+pmos 1 2 vdd
+pmos 1 2 vdd
+pmos 2 3 vdd
+pmos 2 3 vdd
+pmos 3 4 vdd
+pmos 3 4 vdd
+pmos 4 5 vdd
+pmos 4 5 vdd
+pmos 5 Y vdd
+pmos 5 Y vdd
+nmos A 1 gnd
+nmos A 1 gnd
+nmos 1 2 gnd
+nmos 1 2 gnd
+nmos 2 3 gnd
+nmos 2 3 gnd
+nmos 3 4 gnd
+nmos 3 4 gnd
+nmos 4 5 gnd
+nmos 4 5 gnd
+nmos 5 Y gnd
+nmos 5 Y gnd
diff --git a/cells/cell/CLKBUF3.cell b/cells/cell/CLKBUF3.cell
new file mode 100644
index 0000000..59104cc
--- /dev/null
+++ b/cells/cell/CLKBUF3.cell
@@ -0,0 +1,36 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos A 1 vdd
+pmos 1 2 vdd
+pmos 1 2 vdd
+pmos 2 3 vdd
+pmos 2 3 vdd
+pmos 3 4 vdd
+pmos 3 4 vdd
+pmos 4 5 vdd
+pmos 4 5 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 6 7 vdd
+pmos 6 7 vdd
+pmos 7 Y vdd
+pmos 7 Y vdd
+nmos A 1 gnd
+nmos A 1 gnd
+nmos 1 2 gnd
+nmos 1 2 gnd
+nmos 2 3 gnd
+nmos 2 3 gnd
+nmos 3 4 gnd
+nmos 3 4 gnd
+nmos 4 5 gnd
+nmos 4 5 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 6 7 gnd
+nmos 6 7 gnd
+nmos 7 Y gnd
+nmos 7 Y gnd
diff --git a/cells/cell/DFFNEGX1.cell b/cells/cell/DFFNEGX1.cell
new file mode 100644
index 0000000..eb4ec3e
--- /dev/null
+++ b/cells/cell/DFFNEGX1.cell
@@ -0,0 +1,26 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs D CLK
+.outputs Q
+.ORDER "MOSFET Gate Drain Source"
+pmos CLK 1 vdd
+pmos D 2 vdd
+pmos 1 3 2
+pmos CLK 4 3
+pmos 5 4 vdd
+pmos 3 5 vdd
+pmos 5 6 vdd
+pmos CLK 7 6
+pmos 1 8 7
+pmos Q 8 vdd
+nmos CLK 1 gnd
+pmos 7 Q vdd
+nmos D 9 gnd
+nmos CLK 3 9
+nmos 1 10 3
+nmos 5 10 gnd
+nmos 3 5 gnd
+nmos 5 11 gnd
+nmos 1 7 11
+nmos CLK 12 7
+nmos Q 12 gnd
+nmos 7 Q gnd
diff --git a/cells/cell/DFFPOSX1.cell b/cells/cell/DFFPOSX1.cell
new file mode 100644
index 0000000..8cbd8fa
--- /dev/null
+++ b/cells/cell/DFFPOSX1.cell
@@ -0,0 +1,26 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs D CLK
+.outputs Q
+.ORDER "MOSFET Gate Drain Source"
+pmos CLK 1 vdd
+pmos D 2 vdd
+pmos CLK 3 2
+pmos 1 4 3
+pmos 5 4 vdd
+pmos 3 5 vdd
+pmos 5 6 vdd
+pmos 1 7 6
+pmos CLK 8 7
+pmos Q 8 vdd
+nmos CLK 1 gnd
+pmos 7 Q vdd
+nmos D 9 gnd
+nmos 1 3 9
+nmos CLK 10 3
+nmos 5 10 gnd
+nmos 3 5 gnd
+nmos 5 11 gnd
+nmos CLK 7 11
+nmos 1 12 7
+nmos Q 12 gnd
+nmos 7 Q gnd
diff --git a/cells/cell/DFFSR.cell b/cells/cell/DFFSR.cell
new file mode 100644
index 0000000..f8d5e14
--- /dev/null
+++ b/cells/cell/DFFSR.cell
@@ -0,0 +1,36 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs S R D CLK
+.outputs Q
+.ORDER "MOSFET Gate Drain Source"
+pmos R 1 vdd
+pmos 2 1 vdd
+pmos 3 2 vdd
+pmos S 2 vdd
+pmos 4 3 1
+pmos 5 6 3
+pmos D 6 vdd
+pmos 4 5 vdd
+pmos CLK 4 vdd
+pmos 4 7 2
+pmos 5 8 7
+pmos 7 9 vdd
+pmos R 9 vdd
+pmos 9 8 vdd
+pmos S 8 vdd
+pmos 9 Q vdd
+nmos R 10 1
+nmos 2 10 gnd
+nmos 3 11 gnd
+nmos S 2 11
+nmos 5 3 1
+nmos 4 6 3
+nmos D 6 gnd
+nmos 4 5 gnd
+nmos CLK 4 gnd
+nmos 5 7 2
+nmos 4 8 7
+nmos 7 12 9
+nmos R 12 gnd
+nmos 9 13 gnd
+nmos S 8 13
+nmos 9 Q gnd
diff --git a/cells/cell/FAX1.cell b/cells/cell/FAX1.cell
new file mode 100644
index 0000000..3d8e7bd
--- /dev/null
+++ b/cells/cell/FAX1.cell
@@ -0,0 +1,32 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs C B A
+.outputs YS YC
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos B 1 vdd
+pmos C 2 1
+pmos B 3 2
+pmos A 3 vdd
+pmos A 4 vdd
+pmos B 4 vdd
+pmos C 4 vdd
+pmos 2 5 4
+pmos C 6 5
+pmos B 7 6
+pmos A 7 vdd
+pmos 5 YS vdd
+pmos 2 YC vdd
+nmos A 8 gnd
+nmos B 8 gnd
+nmos C 2 8
+nmos B 9 2
+nmos A 9 gnd
+nmos A 10 gnd
+nmos B 10 gnd
+nmos C 10 gnd
+nmos 2 5 10
+nmos C 11 5
+nmos B 12 11
+nmos A 12 gnd
+nmos 5 YS gnd
+nmos 2 YC gnd
diff --git a/cells/cell/INV.cell b/cells/cell/INV.cell
new file mode 100644
index 0000000..c311284
--- /dev/null
+++ b/cells/cell/INV.cell
@@ -0,0 +1,22 @@
+.DESCRIPTION a Not (or Inverter) gate
+.cell INV
+.inputs A
+.outputs Y
+#           ^ Vdd
+#           |
+#       | +-'
+#  A --o| |     pMOS
+#       | +-.
+#           |
+#           |
+#           *---- Y
+#           |
+#           |
+#       | +-'
+#  A ---| |     nMOS
+#       | +-.
+#           |
+#          _|_ Gnd
+pmos A Y vdd vdd 1 1  1
+nmos A Y gnd gnd 1 1 -1
+.end
diff --git a/cells/cell/INVX1.cell b/cells/cell/INVX1.cell
new file mode 100644
index 0000000..df6858c
--- /dev/null
+++ b/cells/cell/INVX1.cell
@@ -0,0 +1,6 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A Y vdd
+nmos A Y gnd
diff --git a/cells/cell/INVX2.cell b/cells/cell/INVX2.cell
new file mode 100644
index 0000000..df6858c
--- /dev/null
+++ b/cells/cell/INVX2.cell
@@ -0,0 +1,6 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A Y vdd
+nmos A Y gnd
diff --git a/cells/cell/INVX4.cell b/cells/cell/INVX4.cell
new file mode 100644
index 0000000..1c34a75
--- /dev/null
+++ b/cells/cell/INVX4.cell
@@ -0,0 +1,8 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A Y vdd
+pmos A Y vdd
+nmos A Y gnd
+nmos A Y gnd
diff --git a/cells/cell/INVX8.cell b/cells/cell/INVX8.cell
new file mode 100644
index 0000000..56cb811
--- /dev/null
+++ b/cells/cell/INVX8.cell
@@ -0,0 +1,12 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A Y vdd
+pmos A Y vdd
+pmos A Y vdd
+pmos A Y vdd
+nmos A Y gnd
+nmos A Y gnd
+nmos A Y gnd
+nmos A Y gnd
diff --git a/cells/cell/LATCH.cell b/cells/cell/LATCH.cell
new file mode 100644
index 0000000..63412ce
--- /dev/null
+++ b/cells/cell/LATCH.cell
@@ -0,0 +1,16 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs D CLK
+.outputs Q
+.ORDER "MOSFET Gate Drain Source"
+pmos CLK 1 vdd
+pmos D 2 vdd
+pmos 1 3 2
+pmos CLK 4 3
+pmos Q 4 vdd
+nmos CLK 1 gnd
+pmos 3 Q vdd
+nmos D 5 gnd
+nmos CLK 3 5
+nmos 1 6 3
+nmos Q 6 gnd
+nmos 3 Q gnd
diff --git a/cells/cell/LOFTY.cell b/cells/cell/LOFTY.cell
new file mode 100644
index 0000000..59e8d1f
--- /dev/null
+++ b/cells/cell/LOFTY.cell
@@ -0,0 +1,33 @@
+.inputs asel_p asel_n bsel_p bsel_n muxsel_p muxsel_n usexor_p usexor_n usemux_p usemux_n 
+.outputs q
+.differential usemux_p usemux_n
+.differential asel_p asel_n
+.differential usexor_p usexor_n
+.differential bsel_p bsel_n
+.differential muxsel_p muxsel_n
+.ORDER "MOSFET Gate Drain Source"
+nmos usemux_p q N0
+nmos usemux_n q N1
+nmos asel_p N0 N00
+nmos asel_n N0 N01
+nmos usexor_p N00 gnd
+nmos usexor_n N00 N001
+nmos bsel_p N001 gnd
+nmos bsel_n N011 gnd
+nmos usexor_p N01 N001
+nmos usexor_n N01 N011
+nmos muxsel_n N1 N001
+nmos muxsel_p N1 N10
+nmos asel_p N10 gnd
+pmos usemux_n P0 q
+pmos usemux_p P1 q
+pmos asel_n P00 P0
+pmos asel_p P01 P0
+pmos usexor_p P001 P00
+pmos bsel_p vcc P001
+pmos usexor_n P001 P01
+pmos usexor_p P011 P01
+pmos bsel_n vcc P011
+pmos muxsel_p P001 P1
+pmos muxsel_n P10 P1
+pmos asel_p vcc P10
diff --git a/cells/cell/LOFTY2.cell b/cells/cell/LOFTY2.cell
new file mode 100644
index 0000000..0fd18fe
--- /dev/null
+++ b/cells/cell/LOFTY2.cell
@@ -0,0 +1,50 @@
+.inputs usemux_p muxsel_p asel_p usexor_p bsel_p usemux_n muxsel_n asel_n usexor_n bsel_n
+.outputs q
+.ORDER "MOSFET Gate Drain Source"
+pmos usemux_p usemux_n vdd
+nmos usemux_p usemux_n gnd
+
+pmos muxsel_p muxsel_n vdd
+nmos muxsel_p muxsel_n gnd
+
+pmos asel_p asel_n vdd
+nmos asel_p asel_n gnd
+
+pmos usexor_p usexor_n vdd
+nmos usexor_p usexor_n gnd
+
+pmos bsel_p bsel_n vdd
+nmos bsel_p bsel_n gnd
+
+nmos usemux_n q usemux0n
+pmos usemux_p usemux0p q
+nmos usemux_p q usemux1n
+pmos usemux_n usemux1p q
+
+nmos muxsel_p usemux1n usemux1muxsel1n
+pmos muxsel_n usemux1muxsel1p usemux1p
+nmos muxsel_n usemux1n usemux1muxsel0n
+pmos muxsel_p usemux1muxsel0p usemux1p
+
+nmos asel_n usemux1muxsel0n gnd
+pmos asel_n vdd usemux1muxsel0p
+
+nmos bsel_n usemux1muxsel1n gnd
+pmos bsel_n vdd usemux1muxsel1p
+
+nmos asel_n usemux0n usemux0asel0n
+pmos asel_p usemux0asel0p usemux0p
+nmos asel_p usemux0n usemux0asel1n
+pmos asel_n usemux0asel1p usemux0p
+
+nmos usexor_n usemux0asel1n usemux1muxsel1n
+pmos usexor_p usemux1muxsel1p usemux0asel1p
+nmos usexor_p usemux0asel1n usemux1muxsel1usexor1n
+pmos usexor_n usemux1muxsel1usexor1p usemux0asel1p
+
+nmos bsel_p usemux1muxsel1usexor1n gnd
+pmos bsel_p vdd usemux1muxsel1usexor1p
+
+nmos usexor_n usemux0asel0n gnd
+nmos usexor_p usemux0asel0n usemux1muxsel1n
+pmos usexor_n usemux1muxsel1p usemux0asel0p
diff --git a/cells/cell/MUX2X1.cell b/cells/cell/MUX2X1.cell
new file mode 100644
index 0000000..8a34795
--- /dev/null
+++ b/cells/cell/MUX2X1.cell
@@ -0,0 +1,14 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs S B A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos S 1 vdd
+pmos B 2 vdd
+pmos S Y 2
+pmos 1 3 Y
+pmos A 3 vdd
+nmos S 1 gnd
+nmos B 4 gnd
+nmos 1 Y 4
+nmos S 5 Y
+nmos A 5 gnd
diff --git a/cells/cell/NAND2X1.cell b/cells/cell/NAND2X1.cell
new file mode 100644
index 0000000..20cdae9
--- /dev/null
+++ b/cells/cell/NAND2X1.cell
@@ -0,0 +1,8 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs B A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A Y vdd
+pmos B Y vdd
+nmos A 1 gnd
+nmos B Y 1
diff --git a/cells/cell/NAND3X1.cell b/cells/cell/NAND3X1.cell
new file mode 100644
index 0000000..c7fc932
--- /dev/null
+++ b/cells/cell/NAND3X1.cell
@@ -0,0 +1,10 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs C B A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A Y vdd
+pmos B Y vdd
+pmos C Y vdd
+nmos A 1 gnd
+nmos B 2 1
+nmos C Y 2
diff --git a/cells/cell/OR2X1.cell b/cells/cell/OR2X1.cell
new file mode 100644
index 0000000..1ff3863
--- /dev/null
+++ b/cells/cell/OR2X1.cell
@@ -0,0 +1,10 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs B A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 2
+pmos B 1 vdd
+pmos 2 Y vdd
+nmos A 2 gnd
+nmos B 2 gnd
+nmos 2 Y gnd
diff --git a/cells/cell/OR2X2.cell b/cells/cell/OR2X2.cell
new file mode 100644
index 0000000..1ff3863
--- /dev/null
+++ b/cells/cell/OR2X2.cell
@@ -0,0 +1,10 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs B A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 2
+pmos B 1 vdd
+pmos 2 Y vdd
+nmos A 2 gnd
+nmos B 2 gnd
+nmos 2 Y gnd
diff --git a/cells/cell/PADINC.cell b/cells/cell/PADINC.cell
new file mode 100644
index 0000000..1a19d97
--- /dev/null
+++ b/cells/cell/PADINC.cell
@@ -0,0 +1,86 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs DI
+.outputs YPAD
+.ORDER "MOSFET Gate Drain Source"
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+nmos gnd2 2 gnd
+nmos 2 3 gnd
+nmos gnd2 4 gnd
+nmos gnd2 4 gnd
+nmos gnd2 4 gnd
+nmos gnd2 4 gnd
+nmos gnd2 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 3 1 4
+nmos 3 4 1
+nmos 3 1 4
+nmos 3 4 1
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 6 DI gnd
+nmos 6 DI gnd
+nmos 6 DI gnd
+nmos 6 DI gnd
+nmos 6 DI gnd
+nmos 6 DI gnd
+pmos gnd2 2 vdd
+pmos 2 3 vdd
+pmos gnd2 1 vdd
+pmos gnd2 1 vdd
+pmos gnd2 1 vdd
+pmos gnd2 1 vdd
+pmos gnd2 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 2 4 1
+pmos 2 1 4
+pmos 2 4 1
+pmos 2 1 4
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 6 DI vdd
+pmos 6 DI vdd
+pmos 6 DI vdd
+pmos 6 DI vdd
+pmos 6 DI vdd
+pmos 6 DI vdd
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+res YPAD 5 100
+res 5 YPAD 100
diff --git a/cells/cell/PADINOUT.cell b/cells/cell/PADINOUT.cell
new file mode 100644
index 0000000..b7263cc
--- /dev/null
+++ b/cells/cell/PADINOUT.cell
@@ -0,0 +1,86 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs OEN DI
+.outputs YPAD DO
+.ORDER "MOSFET Gate Drain Source"
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+nmos OEN 2 gnd
+nmos 2 3 gnd
+nmos DO 4 gnd
+nmos DO 4 gnd
+nmos DO 4 gnd
+nmos DO 4 gnd
+nmos DO 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 3 1 4
+nmos 3 4 1
+nmos 3 1 4
+nmos 3 4 1
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 6 DI gnd
+nmos 6 DI gnd
+nmos 6 DI gnd
+nmos 6 DI gnd
+nmos 6 DI gnd
+nmos 6 DI gnd
+pmos OEN 2 vdd
+pmos 2 3 vdd
+pmos DO 1 vdd
+pmos DO 1 vdd
+pmos DO 1 vdd
+pmos DO 1 vdd
+pmos DO 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 2 4 1
+pmos 2 1 4
+pmos 2 4 1
+pmos 2 1 4
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 6 DI vdd
+pmos 6 DI vdd
+pmos 6 DI vdd
+pmos 6 DI vdd
+pmos 6 DI vdd
+pmos 6 DI vdd
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+res YPAD 5 100
+res 5 YPAD 100
diff --git a/cells/cell/PADOUT.cell b/cells/cell/PADOUT.cell
new file mode 100644
index 0000000..798b29c
--- /dev/null
+++ b/cells/cell/PADOUT.cell
@@ -0,0 +1,86 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs 
+.outputs YPAD DO
+.ORDER "MOSFET Gate Drain Source"
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+pmos 1 vdd2 YPAD
+pmos 1 YPAD vdd2
+nmos vdd 2 gnd
+nmos 2 3 gnd
+nmos DO 4 gnd
+nmos DO 4 gnd
+nmos DO 4 gnd
+nmos DO 4 gnd
+nmos DO 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 2 4 gnd
+nmos 3 1 4
+nmos 3 4 1
+nmos 3 1 4
+nmos 3 4 1
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 5 6 gnd
+nmos 6 7 gnd
+nmos 6 7 gnd
+nmos 6 7 gnd
+nmos 6 7 gnd
+nmos 6 7 gnd
+nmos 6 7 gnd
+pmos vdd 2 vdd
+pmos 2 3 vdd
+pmos DO 1 vdd
+pmos DO 1 vdd
+pmos DO 1 vdd
+pmos DO 1 vdd
+pmos DO 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 3 1 vdd
+pmos 2 4 1
+pmos 2 1 4
+pmos 2 4 1
+pmos 2 1 4
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 5 6 vdd
+pmos 6 7 vdd
+pmos 6 7 vdd
+pmos 6 7 vdd
+pmos 6 7 vdd
+pmos 6 7 vdd
+pmos 6 7 vdd
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+nmos 4 gnd2 YPAD
+nmos 4 YPAD gnd2
+res YPAD 5 100
+res 5 YPAD 100
diff --git a/cells/cell/TBUFX1.cell b/cells/cell/TBUFX1.cell
new file mode 100644
index 0000000..f4a92ad
--- /dev/null
+++ b/cells/cell/TBUFX1.cell
@@ -0,0 +1,10 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs EN A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos EN 1 vdd
+pmos 1 2 Y
+pmos A 2 vdd
+nmos EN 1 gnd
+nmos EN 3 Y
+nmos A 3 gnd
diff --git a/cells/cell/TBUFX2.cell b/cells/cell/TBUFX2.cell
new file mode 100644
index 0000000..75f2255
--- /dev/null
+++ b/cells/cell/TBUFX2.cell
@@ -0,0 +1,14 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs EN A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos EN 1 vdd
+pmos 1 Y 2
+pmos 1 2 Y
+pmos A 2 vdd
+pmos A 2 vdd
+nmos EN 1 gnd
+nmos EN Y 3
+nmos EN 3 Y
+nmos A 3 gnd
+nmos A 3 gnd
diff --git a/cells/cell/XNOR2X1.cell b/cells/cell/XNOR2X1.cell
new file mode 100644
index 0000000..28e9f24
--- /dev/null
+++ b/cells/cell/XNOR2X1.cell
@@ -0,0 +1,16 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs B A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos 2 3 vdd
+pmos 1 Y 3
+pmos A 4 Y
+pmos B 4 vdd
+pmos B 2 vdd
+nmos A 1 gnd
+nmos 2 5 gnd
+nmos A Y 5
+nmos 1 6 Y
+nmos B 6 gnd
+nmos B 2 gnd
diff --git a/cells/cell/XOR2X1.cell b/cells/cell/XOR2X1.cell
new file mode 100644
index 0000000..376f17a
--- /dev/null
+++ b/cells/cell/XOR2X1.cell
@@ -0,0 +1,16 @@
+.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp
+.inputs B A
+.outputs Y
+.ORDER "MOSFET Gate Drain Source"
+pmos A 1 vdd
+pmos 2 3 vdd
+pmos A Y 3
+pmos 1 4 Y
+pmos B 4 vdd
+pmos B 2 vdd
+nmos A 1 gnd
+nmos 2 5 gnd
+nmos 1 Y 5
+nmos A 6 Y
+nmos B 6 gnd
+nmos B 2 gnd
diff --git a/cells/gds/AND2X1.gds b/cells/gds/AND2X1.gds
new file mode 100644
index 0000000..11bd472
--- /dev/null
+++ b/cells/gds/AND2X1.gds
Binary files differ
diff --git a/cells/gds/AND2X2.gds b/cells/gds/AND2X2.gds
new file mode 100644
index 0000000..82b272d
--- /dev/null
+++ b/cells/gds/AND2X2.gds
Binary files differ
diff --git a/cells/gds/AOI21X1.gds b/cells/gds/AOI21X1.gds
new file mode 100644
index 0000000..7c973bc
--- /dev/null
+++ b/cells/gds/AOI21X1.gds
Binary files differ
diff --git a/cells/gds/AOI22X1.gds b/cells/gds/AOI22X1.gds
new file mode 100644
index 0000000..2fc2c28
--- /dev/null
+++ b/cells/gds/AOI22X1.gds
Binary files differ
diff --git a/cells/gds/BUFX2.gds b/cells/gds/BUFX2.gds
new file mode 100644
index 0000000..385db82
--- /dev/null
+++ b/cells/gds/BUFX2.gds
Binary files differ
diff --git a/cells/gds/BUFX4.gds b/cells/gds/BUFX4.gds
new file mode 100644
index 0000000..5cd198c
--- /dev/null
+++ b/cells/gds/BUFX4.gds
Binary files differ
diff --git a/cells/gds/CLKBUF1.gds b/cells/gds/CLKBUF1.gds
new file mode 100644
index 0000000..9268e21
--- /dev/null
+++ b/cells/gds/CLKBUF1.gds
Binary files differ
diff --git a/cells/gds/INV.gds b/cells/gds/INV.gds
new file mode 100644
index 0000000..94721cf
--- /dev/null
+++ b/cells/gds/INV.gds
Binary files differ
diff --git a/cells/gds/INVX1.gds b/cells/gds/INVX1.gds
new file mode 100644
index 0000000..940120c
--- /dev/null
+++ b/cells/gds/INVX1.gds
Binary files differ
diff --git a/cells/gds/INVX2.gds b/cells/gds/INVX2.gds
new file mode 100644
index 0000000..da460e8
--- /dev/null
+++ b/cells/gds/INVX2.gds
Binary files differ
diff --git a/cells/gds/INVX4.gds b/cells/gds/INVX4.gds
new file mode 100644
index 0000000..c9ac0e3
--- /dev/null
+++ b/cells/gds/INVX4.gds
Binary files differ
diff --git a/cells/gds/INVX8.gds b/cells/gds/INVX8.gds
new file mode 100644
index 0000000..cae54aa
--- /dev/null
+++ b/cells/gds/INVX8.gds
Binary files differ
diff --git a/cells/gds/MUX2X1.gds b/cells/gds/MUX2X1.gds
new file mode 100644
index 0000000..9c653d0
--- /dev/null
+++ b/cells/gds/MUX2X1.gds
Binary files differ
diff --git a/cells/gds/NAND2X1.gds b/cells/gds/NAND2X1.gds
new file mode 100644
index 0000000..bc58849
--- /dev/null
+++ b/cells/gds/NAND2X1.gds
Binary files differ
diff --git a/cells/gds/NAND3X1.gds b/cells/gds/NAND3X1.gds
new file mode 100644
index 0000000..a2dac94
--- /dev/null
+++ b/cells/gds/NAND3X1.gds
Binary files differ
diff --git a/cells/gds/OR2X1.gds b/cells/gds/OR2X1.gds
new file mode 100644
index 0000000..4993173
--- /dev/null
+++ b/cells/gds/OR2X1.gds
Binary files differ
diff --git a/cells/gds/OR2X2.gds b/cells/gds/OR2X2.gds
new file mode 100644
index 0000000..965af81
--- /dev/null
+++ b/cells/gds/OR2X2.gds
Binary files differ
diff --git a/cells/gds/XNOR2X1.gds b/cells/gds/XNOR2X1.gds
new file mode 100644
index 0000000..fdb1416
--- /dev/null
+++ b/cells/gds/XNOR2X1.gds
Binary files differ
diff --git a/cells/gds/XOR2X1.gds b/cells/gds/XOR2X1.gds
new file mode 100644
index 0000000..ebdfda2
--- /dev/null
+++ b/cells/gds/XOR2X1.gds
Binary files differ
diff --git a/cells/lef/AND2X1.lef b/cells/lef/AND2X1.lef
new file mode 100644
index 0000000..dcfe4e8
--- /dev/null
+++ b/cells/lef/AND2X1.lef
@@ -0,0 +1,166 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AND2X1
+  CLASS CORE ;
+  FOREIGN AND2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 0.580 2.990 0.870 3.090 ;
+        RECT 0.580 2.820 0.640 2.990 ;
+        RECT 0.810 2.820 0.870 2.990 ;
+        RECT 0.580 2.760 0.870 2.820 ;
+        RECT 3.220 2.990 3.510 3.090 ;
+        RECT 3.220 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.510 2.990 ;
+        RECT 3.220 2.760 3.510 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 0.560 2.990 0.890 3.090 ;
+        RECT 0.560 2.820 0.640 2.990 ;
+        RECT 0.810 2.820 0.890 2.990 ;
+        RECT 0.560 2.740 0.890 2.820 ;
+        RECT 3.200 2.990 3.530 3.090 ;
+        RECT 3.200 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.530 2.990 ;
+        RECT 3.200 2.740 3.530 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 3.220 0.510 3.510 0.570 ;
+        RECT 3.220 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.510 0.510 ;
+        RECT 3.220 0.240 3.510 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.200 0.510 3.530 0.590 ;
+        RECT 3.200 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.530 0.510 ;
+        RECT 3.200 0.240 3.530 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 4.660 2.200 4.950 2.490 ;
+        RECT 4.730 0.730 4.870 2.200 ;
+        RECT 4.660 0.440 4.950 0.730 ;
+    END
+  END Y
+  PIN B
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 2.740 1.780 3.030 2.070 ;
+        RECT 2.810 1.140 2.950 1.780 ;
+        RECT 2.740 0.850 3.030 1.140 ;
+    END
+  END B
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 1.760 2.430 2.090 2.510 ;
+        RECT 1.760 2.260 1.840 2.430 ;
+        RECT 2.010 2.260 2.090 2.430 ;
+        RECT 4.640 2.430 4.970 2.510 ;
+        RECT 4.640 2.260 4.720 2.430 ;
+        RECT 4.890 2.260 4.970 2.430 ;
+        RECT 1.780 2.180 2.090 2.260 ;
+        RECT 4.660 2.180 4.970 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.920 1.360 1.080 ;
+        RECT 1.300 0.910 1.360 0.920 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.300 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.910 4.240 1.080 ;
+        RECT 4.410 0.910 4.490 1.080 ;
+        RECT 4.160 0.830 4.490 0.910 ;
+        RECT 0.800 0.670 1.130 0.750 ;
+        RECT 0.800 0.500 0.880 0.670 ;
+        RECT 1.050 0.500 1.130 0.670 ;
+        RECT 4.660 0.670 4.970 0.750 ;
+        RECT 4.660 0.660 4.720 0.670 ;
+        RECT 0.800 0.420 1.130 0.500 ;
+        RECT 4.640 0.500 4.720 0.660 ;
+        RECT 4.890 0.500 4.970 0.670 ;
+        RECT 4.640 0.420 4.970 0.500 ;
+      LAYER met1 ;
+        RECT 1.780 2.430 2.070 2.490 ;
+        RECT 1.780 2.410 1.840 2.430 ;
+        RECT 0.890 2.270 1.840 2.410 ;
+        RECT 0.890 0.730 1.030 2.270 ;
+        RECT 1.780 2.260 1.840 2.270 ;
+        RECT 2.010 2.410 2.070 2.430 ;
+        RECT 2.010 2.270 4.390 2.410 ;
+        RECT 2.010 2.260 2.070 2.270 ;
+        RECT 1.780 2.200 2.070 2.260 ;
+        RECT 4.250 2.070 4.390 2.270 ;
+        RECT 4.180 2.010 4.470 2.070 ;
+        RECT 4.180 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.470 2.010 ;
+        RECT 4.180 1.780 4.470 1.840 ;
+        RECT 4.250 1.140 4.390 1.780 ;
+        RECT 4.180 1.080 4.470 1.140 ;
+        RECT 4.180 0.910 4.240 1.080 ;
+        RECT 4.410 0.910 4.470 1.080 ;
+        RECT 4.180 0.850 4.470 0.910 ;
+        RECT 0.820 0.670 1.110 0.730 ;
+        RECT 0.820 0.500 0.880 0.670 ;
+        RECT 1.050 0.500 1.110 0.670 ;
+        RECT 0.820 0.440 1.110 0.500 ;
+  END
+END AND2X1
+END LIBRARY
+
diff --git a/cells/lef/AND2X1.lef.beforemagic b/cells/lef/AND2X1.lef.beforemagic
new file mode 100644
index 0000000..183b8e2
--- /dev/null
+++ b/cells/lef/AND2X1.lef.beforemagic
@@ -0,0 +1,257 @@
+MACRO AND2X1
+ CLASS CORE ;
+ FOREIGN AND2X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 0.555 2.99 ) ( 0.885 3.09 ) ;
+      RECT ( 0.555 2.82 ) ( 0.635 2.99 ) ;
+      RECT ( 0.805 2.82 ) ( 0.885 2.99 ) ;
+      RECT ( 0.555 2.74 ) ( 0.885 2.82 ) ;
+      RECT ( 3.195 2.99 ) ( 3.525 3.09 ) ;
+      RECT ( 3.195 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.525 2.99 ) ;
+      RECT ( 3.195 2.74 ) ( 3.525 2.82 ) ;
+      RECT ( 1.755 2.425 ) ( 2.085 2.505 ) ;
+      RECT ( 1.755 2.26 ) ( 1.835 2.425 ) ;
+      RECT ( 1.775 2.255 ) ( 1.835 2.26 ) ;
+      RECT ( 2.005 2.255 ) ( 2.085 2.425 ) ;
+      RECT ( 4.635 2.425 ) ( 4.965 2.505 ) ;
+      RECT ( 4.635 2.26 ) ( 4.715 2.425 ) ;
+      RECT ( 1.775 2.175 ) ( 2.085 2.255 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.26 ) ;
+      RECT ( 4.885 2.255 ) ( 4.965 2.425 ) ;
+      RECT ( 4.655 2.175 ) ( 4.965 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.92 ) ( 1.355 1.075 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 0.92 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.295 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.485 1.075 ) ;
+      RECT ( 4.155 0.825 ) ( 4.485 0.905 ) ;
+      RECT ( 0.795 0.67 ) ( 1.125 0.75 ) ;
+      RECT ( 0.795 0.5 ) ( 0.875 0.67 ) ;
+      RECT ( 1.045 0.5 ) ( 1.125 0.67 ) ;
+      RECT ( 4.655 0.67 ) ( 4.965 0.75 ) ;
+      RECT ( 4.655 0.655 ) ( 4.715 0.67 ) ;
+      RECT ( 0.795 0.42 ) ( 1.125 0.5 ) ;
+      RECT ( 3.195 0.51 ) ( 3.525 0.59 ) ;
+      RECT ( 3.195 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.525 0.51 ) ;
+      RECT ( 4.635 0.5 ) ( 4.715 0.655 ) ;
+      RECT ( 4.885 0.5 ) ( 4.965 0.67 ) ;
+      RECT ( 4.635 0.42 ) ( 4.965 0.5 ) ;
+      RECT ( 3.195 0.24 ) ( 3.525 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 0.575 2.99 ) ( 0.865 3.09 ) ;
+      RECT ( 0.575 2.82 ) ( 0.635 2.99 ) ;
+      RECT ( 0.805 2.82 ) ( 0.865 2.99 ) ;
+      RECT ( 0.575 2.76 ) ( 0.865 2.82 ) ;
+      RECT ( 3.215 2.99 ) ( 3.505 3.09 ) ;
+      RECT ( 3.215 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.505 2.99 ) ;
+      RECT ( 3.215 2.76 ) ( 3.505 2.82 ) ;
+      RECT ( 1.775 2.425 ) ( 2.065 2.485 ) ;
+      RECT ( 1.775 2.41 ) ( 1.835 2.425 ) ;
+      RECT ( 0.89 2.27 ) ( 1.835 2.41 ) ;
+      RECT ( 0.89 0.73 ) ( 1.03 2.27 ) ;
+      RECT ( 1.775 2.255 ) ( 1.835 2.27 ) ;
+      RECT ( 2.005 2.41 ) ( 2.065 2.425 ) ;
+      RECT ( 4.655 2.425 ) ( 4.945 2.485 ) ;
+      RECT ( 2.005 2.27 ) ( 4.39 2.41 ) ;
+      RECT ( 2.005 2.255 ) ( 2.065 2.27 ) ;
+      RECT ( 1.775 2.195 ) ( 2.065 2.255 ) ;
+      RECT ( 4.25 2.07 ) ( 4.39 2.27 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.425 ) ;
+      RECT ( 4.885 2.255 ) ( 4.945 2.425 ) ;
+      RECT ( 4.655 2.195 ) ( 4.945 2.255 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
+      RECT ( 2.81 1.135 ) ( 2.95 1.78 ) ;
+      RECT ( 4.25 1.135 ) ( 4.39 1.78 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 1.075 ) ;
+      RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 4.73 0.73 ) ( 4.87 2.195 ) ;
+      RECT ( 0.815 0.67 ) ( 1.105 0.73 ) ;
+      RECT ( 0.815 0.5 ) ( 0.875 0.67 ) ;
+      RECT ( 1.045 0.5 ) ( 1.105 0.67 ) ;
+      RECT ( 4.655 0.67 ) ( 4.945 0.73 ) ;
+      RECT ( 0.815 0.44 ) ( 1.105 0.5 ) ;
+      RECT ( 3.215 0.51 ) ( 3.505 0.57 ) ;
+      RECT ( 3.215 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.505 0.51 ) ;
+      RECT ( 4.655 0.5 ) ( 4.715 0.67 ) ;
+      RECT ( 4.885 0.5 ) ( 4.945 0.67 ) ;
+      RECT ( 4.655 0.44 ) ( 4.945 0.5 ) ;
+      RECT ( 3.215 0.24 ) ( 3.505 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+
+  end
+END AND2X1
diff --git a/cells/lef/AND2X1.lef.temp b/cells/lef/AND2X1.lef.temp
new file mode 100644
index 0000000..8d61f30
--- /dev/null
+++ b/cells/lef/AND2X1.lef.temp
@@ -0,0 +1,73 @@
+MACRO AND2X1
+ CLASS CORE ;
+ FOREIGN AND2X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+END AND2X1
diff --git a/cells/lef/AND2X2.lef b/cells/lef/AND2X2.lef
new file mode 100644
index 0000000..fe5e376
--- /dev/null
+++ b/cells/lef/AND2X2.lef
@@ -0,0 +1,165 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AND2X2
+  CLASS CORE ;
+  FOREIGN AND2X2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 0.580 2.990 0.870 3.090 ;
+        RECT 0.580 2.820 0.640 2.990 ;
+        RECT 0.810 2.820 0.870 2.990 ;
+        RECT 0.580 2.760 0.870 2.820 ;
+        RECT 3.220 2.990 3.510 3.090 ;
+        RECT 3.220 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.510 2.990 ;
+        RECT 3.220 2.760 3.510 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 0.560 2.990 0.890 3.090 ;
+        RECT 0.560 2.820 0.640 2.990 ;
+        RECT 0.810 2.820 0.890 2.990 ;
+        RECT 0.560 2.740 0.890 2.820 ;
+        RECT 3.200 2.990 3.530 3.090 ;
+        RECT 3.200 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.530 2.990 ;
+        RECT 3.200 2.740 3.530 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 3.220 0.510 3.510 0.570 ;
+        RECT 3.220 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.510 0.510 ;
+        RECT 3.220 0.240 3.510 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.200 0.510 3.530 0.590 ;
+        RECT 3.200 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.530 0.510 ;
+        RECT 3.200 0.240 3.530 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 4.660 2.200 4.950 2.490 ;
+        RECT 4.730 0.730 4.870 2.200 ;
+        RECT 4.660 0.440 4.950 0.730 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+    END
+  END A
+  PIN B
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 2.740 1.780 3.030 2.070 ;
+    END
+  END B
+  OBS
+      LAYER li1 ;
+        RECT 1.760 2.430 2.090 2.510 ;
+        RECT 1.760 2.260 1.840 2.430 ;
+        RECT 2.010 2.260 2.090 2.430 ;
+        RECT 4.640 2.430 4.970 2.510 ;
+        RECT 4.640 2.260 4.720 2.430 ;
+        RECT 4.890 2.260 4.970 2.430 ;
+        RECT 1.780 2.180 2.090 2.260 ;
+        RECT 4.660 2.180 4.970 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 2.800 1.160 2.970 1.760 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.920 1.360 1.080 ;
+        RECT 1.300 0.910 1.360 0.920 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.300 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.910 4.240 1.080 ;
+        RECT 4.410 0.910 4.490 1.080 ;
+        RECT 4.160 0.830 4.490 0.910 ;
+        RECT 0.800 0.670 1.130 0.750 ;
+        RECT 0.800 0.500 0.880 0.670 ;
+        RECT 1.050 0.500 1.130 0.670 ;
+        RECT 4.660 0.670 4.970 0.750 ;
+        RECT 4.660 0.660 4.720 0.670 ;
+        RECT 0.800 0.420 1.130 0.500 ;
+        RECT 4.640 0.500 4.720 0.660 ;
+        RECT 4.890 0.500 4.970 0.670 ;
+        RECT 4.640 0.420 4.970 0.500 ;
+      LAYER met1 ;
+        RECT 1.780 2.430 2.070 2.490 ;
+        RECT 1.780 2.260 1.840 2.430 ;
+        RECT 2.010 2.260 2.070 2.430 ;
+        RECT 1.780 2.200 2.070 2.260 ;
+        RECT 1.850 1.060 1.990 2.200 ;
+        RECT 4.180 2.010 4.470 2.070 ;
+        RECT 4.180 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.470 2.010 ;
+        RECT 4.180 1.780 4.470 1.840 ;
+        RECT 4.250 1.140 4.390 1.780 ;
+        RECT 4.180 1.080 4.470 1.140 ;
+        RECT 4.180 1.060 4.240 1.080 ;
+        RECT 1.850 0.920 4.240 1.060 ;
+        RECT 0.820 0.670 1.110 0.730 ;
+        RECT 0.820 0.500 0.880 0.670 ;
+        RECT 1.050 0.660 1.110 0.670 ;
+        RECT 1.850 0.660 1.990 0.920 ;
+        RECT 4.180 0.910 4.240 0.920 ;
+        RECT 4.410 0.910 4.470 1.080 ;
+        RECT 4.180 0.850 4.470 0.910 ;
+        RECT 1.050 0.520 1.990 0.660 ;
+        RECT 1.050 0.500 1.110 0.520 ;
+        RECT 0.820 0.440 1.110 0.500 ;
+  END
+END AND2X2
+END LIBRARY
+
diff --git a/cells/lef/AND2X2.lef.beforemagic b/cells/lef/AND2X2.lef.beforemagic
new file mode 100644
index 0000000..8a95474
--- /dev/null
+++ b/cells/lef/AND2X2.lef.beforemagic
@@ -0,0 +1,251 @@
+MACRO AND2X2
+ CLASS CORE ;
+ FOREIGN AND2X2 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 0.555 2.99 ) ( 0.885 3.09 ) ;
+      RECT ( 0.555 2.82 ) ( 0.635 2.99 ) ;
+      RECT ( 0.805 2.82 ) ( 0.885 2.99 ) ;
+      RECT ( 0.555 2.74 ) ( 0.885 2.82 ) ;
+      RECT ( 3.195 2.99 ) ( 3.525 3.09 ) ;
+      RECT ( 3.195 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.525 2.99 ) ;
+      RECT ( 3.195 2.74 ) ( 3.525 2.82 ) ;
+      RECT ( 1.755 2.425 ) ( 2.085 2.505 ) ;
+      RECT ( 1.755 2.26 ) ( 1.835 2.425 ) ;
+      RECT ( 1.775 2.255 ) ( 1.835 2.26 ) ;
+      RECT ( 2.005 2.255 ) ( 2.085 2.425 ) ;
+      RECT ( 4.635 2.425 ) ( 4.965 2.505 ) ;
+      RECT ( 4.635 2.26 ) ( 4.715 2.425 ) ;
+      RECT ( 1.775 2.175 ) ( 2.085 2.255 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.26 ) ;
+      RECT ( 4.885 2.255 ) ( 4.965 2.425 ) ;
+      RECT ( 4.655 2.175 ) ( 4.965 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 2.795 1.155 ) ( 2.965 1.76 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.92 ) ( 1.355 1.075 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 0.92 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.295 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.485 1.075 ) ;
+      RECT ( 4.155 0.825 ) ( 4.485 0.905 ) ;
+      RECT ( 0.795 0.67 ) ( 1.125 0.75 ) ;
+      RECT ( 0.795 0.5 ) ( 0.875 0.67 ) ;
+      RECT ( 1.045 0.5 ) ( 1.125 0.67 ) ;
+      RECT ( 4.655 0.67 ) ( 4.965 0.75 ) ;
+      RECT ( 4.655 0.655 ) ( 4.715 0.67 ) ;
+      RECT ( 0.795 0.42 ) ( 1.125 0.5 ) ;
+      RECT ( 3.195 0.51 ) ( 3.525 0.59 ) ;
+      RECT ( 3.195 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.525 0.51 ) ;
+      RECT ( 4.635 0.5 ) ( 4.715 0.655 ) ;
+      RECT ( 4.885 0.5 ) ( 4.965 0.67 ) ;
+      RECT ( 4.635 0.42 ) ( 4.965 0.5 ) ;
+      RECT ( 3.195 0.24 ) ( 3.525 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 0.575 2.99 ) ( 0.865 3.09 ) ;
+      RECT ( 0.575 2.82 ) ( 0.635 2.99 ) ;
+      RECT ( 0.805 2.82 ) ( 0.865 2.99 ) ;
+      RECT ( 0.575 2.76 ) ( 0.865 2.82 ) ;
+      RECT ( 3.215 2.99 ) ( 3.505 3.09 ) ;
+      RECT ( 3.215 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.505 2.99 ) ;
+      RECT ( 3.215 2.76 ) ( 3.505 2.82 ) ;
+      RECT ( 1.775 2.425 ) ( 2.065 2.485 ) ;
+      RECT ( 1.775 2.255 ) ( 1.835 2.425 ) ;
+      RECT ( 2.005 2.255 ) ( 2.065 2.425 ) ;
+      RECT ( 1.775 2.195 ) ( 2.065 2.255 ) ;
+      RECT ( 4.655 2.425 ) ( 4.945 2.485 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.425 ) ;
+      RECT ( 4.885 2.255 ) ( 4.945 2.425 ) ;
+      RECT ( 4.655 2.195 ) ( 4.945 2.255 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 1.85 1.06 ) ( 1.99 2.195 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 4.25 1.135 ) ( 4.39 1.78 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 1.06 ) ( 4.235 1.075 ) ;
+      RECT ( 1.85 0.92 ) ( 4.235 1.06 ) ;
+      RECT ( 0.815 0.67 ) ( 1.105 0.73 ) ;
+      RECT ( 0.815 0.5 ) ( 0.875 0.67 ) ;
+      RECT ( 1.045 0.655 ) ( 1.105 0.67 ) ;
+      RECT ( 1.85 0.655 ) ( 1.99 0.92 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 0.92 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 4.73 0.73 ) ( 4.87 2.195 ) ;
+      RECT ( 1.045 0.515 ) ( 1.99 0.655 ) ;
+      RECT ( 4.655 0.67 ) ( 4.945 0.73 ) ;
+      RECT ( 1.045 0.5 ) ( 1.105 0.515 ) ;
+      RECT ( 0.815 0.44 ) ( 1.105 0.5 ) ;
+      RECT ( 3.215 0.51 ) ( 3.505 0.57 ) ;
+      RECT ( 3.215 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.505 0.51 ) ;
+      RECT ( 4.655 0.5 ) ( 4.715 0.67 ) ;
+      RECT ( 4.885 0.5 ) ( 4.945 0.67 ) ;
+      RECT ( 4.655 0.44 ) ( 4.945 0.5 ) ;
+      RECT ( 3.215 0.24 ) ( 3.505 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+
+  end
+END AND2X2
diff --git a/cells/lef/AND2X2.lef.temp b/cells/lef/AND2X2.lef.temp
new file mode 100644
index 0000000..efd3ff5
--- /dev/null
+++ b/cells/lef/AND2X2.lef.temp
@@ -0,0 +1,71 @@
+MACRO AND2X2
+ CLASS CORE ;
+ FOREIGN AND2X2 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+END AND2X2
diff --git a/cells/lef/AOI21X1.lef b/cells/lef/AOI21X1.lef
new file mode 100644
index 0000000..9e5c643
--- /dev/null
+++ b/cells/lef/AOI21X1.lef
@@ -0,0 +1,160 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AOI21X1
+  CLASS CORE ;
+  FOREIGN AOI21X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.220 2.990 3.510 3.090 ;
+        RECT 3.220 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.510 2.990 ;
+        RECT 3.220 2.760 3.510 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.200 2.990 3.530 3.090 ;
+        RECT 3.200 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.530 2.990 ;
+        RECT 3.200 2.740 3.530 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.760 0.510 2.090 0.590 ;
+        RECT 1.760 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.090 0.510 ;
+        RECT 1.760 0.240 2.090 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.580 2.200 0.870 2.490 ;
+        RECT 0.650 0.730 0.790 2.200 ;
+        RECT 0.580 0.660 0.870 0.730 ;
+        RECT 4.660 0.660 4.950 0.730 ;
+        RECT 0.580 0.520 4.950 0.660 ;
+        RECT 0.580 0.440 0.870 0.520 ;
+        RECT 4.660 0.440 4.950 0.520 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 2.740 1.780 3.030 2.070 ;
+        RECT 2.810 1.140 2.950 1.780 ;
+        RECT 2.740 0.850 3.030 1.140 ;
+    END
+  END A
+  PIN C
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+    END
+  END C
+  PIN B
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 4.180 1.780 4.470 2.070 ;
+        RECT 4.250 1.140 4.390 1.780 ;
+        RECT 4.180 0.850 4.470 1.140 ;
+    END
+  END B
+  OBS
+      LAYER li1 ;
+        RECT 0.560 2.430 0.890 2.510 ;
+        RECT 0.560 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.890 2.430 ;
+        RECT 0.560 2.180 0.890 2.260 ;
+        RECT 2.240 2.430 2.570 2.510 ;
+        RECT 2.240 2.260 2.320 2.430 ;
+        RECT 2.490 2.260 2.570 2.430 ;
+        RECT 4.640 2.430 4.970 2.510 ;
+        RECT 4.640 2.260 4.720 2.430 ;
+        RECT 4.890 2.260 4.970 2.430 ;
+        RECT 2.240 2.180 2.550 2.260 ;
+        RECT 4.660 2.180 4.970 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.910 4.240 1.080 ;
+        RECT 4.410 0.920 4.490 1.080 ;
+        RECT 4.410 0.910 4.470 0.920 ;
+        RECT 4.160 0.830 4.470 0.910 ;
+        RECT 0.560 0.670 0.890 0.750 ;
+        RECT 0.560 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.890 0.670 ;
+        RECT 0.560 0.420 0.890 0.500 ;
+        RECT 4.640 0.670 4.970 0.750 ;
+        RECT 4.640 0.500 4.720 0.670 ;
+        RECT 4.890 0.500 4.970 0.670 ;
+        RECT 4.640 0.420 4.970 0.500 ;
+      LAYER met1 ;
+        RECT 2.260 2.430 2.550 2.490 ;
+        RECT 2.260 2.260 2.320 2.430 ;
+        RECT 2.490 2.410 2.550 2.430 ;
+        RECT 4.660 2.430 4.950 2.490 ;
+        RECT 4.660 2.410 4.720 2.430 ;
+        RECT 2.490 2.270 4.720 2.410 ;
+        RECT 2.490 2.260 2.550 2.270 ;
+        RECT 2.260 2.200 2.550 2.260 ;
+        RECT 4.660 2.260 4.720 2.270 ;
+        RECT 4.890 2.260 4.950 2.430 ;
+        RECT 4.660 2.200 4.950 2.260 ;
+  END
+END AOI21X1
+END LIBRARY
+
diff --git a/cells/lef/AOI21X1.lef.beforemagic b/cells/lef/AOI21X1.lef.beforemagic
new file mode 100644
index 0000000..4e34cbd
--- /dev/null
+++ b/cells/lef/AOI21X1.lef.beforemagic
@@ -0,0 +1,269 @@
+MACRO AOI21X1
+ CLASS CORE ;
+ FOREIGN AOI21X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.51500000 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.51500000 ;
+        RECT 0.57500000 0.51500000 4.94500000 0.65500000 ;
+        RECT 0.57500000 0.65500000 0.86500000 0.73000000 ;
+        RECT 4.65500000 0.65500000 4.94500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END A
+
+  PIN C
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END C
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+    END
+  END B
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 3.195 2.99 ) ( 3.525 3.09 ) ;
+      RECT ( 3.195 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.525 2.99 ) ;
+      RECT ( 3.195 2.74 ) ( 3.525 2.82 ) ;
+      RECT ( 0.555 2.425 ) ( 0.885 2.505 ) ;
+      RECT ( 0.555 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.885 2.425 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.255 ) ;
+      RECT ( 2.235 2.425 ) ( 2.565 2.505 ) ;
+      RECT ( 2.235 2.255 ) ( 2.315 2.425 ) ;
+      RECT ( 2.485 2.26 ) ( 2.565 2.425 ) ;
+      RECT ( 4.635 2.425 ) ( 4.965 2.505 ) ;
+      RECT ( 4.635 2.26 ) ( 4.715 2.425 ) ;
+      RECT ( 2.485 2.255 ) ( 2.545 2.26 ) ;
+      RECT ( 2.235 2.175 ) ( 2.545 2.255 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.26 ) ;
+      RECT ( 4.885 2.255 ) ( 4.965 2.425 ) ;
+      RECT ( 4.655 2.175 ) ( 4.965 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.92 ) ( 4.485 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 0.92 ) ;
+      RECT ( 4.155 0.825 ) ( 4.465 0.905 ) ;
+      RECT ( 0.555 0.67 ) ( 0.885 0.75 ) ;
+      RECT ( 0.555 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.885 0.67 ) ;
+      RECT ( 4.635 0.67 ) ( 4.965 0.75 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.5 ) ;
+      RECT ( 1.755 0.51 ) ( 2.085 0.59 ) ;
+      RECT ( 1.755 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.085 0.51 ) ;
+      RECT ( 4.635 0.5 ) ( 4.715 0.67 ) ;
+      RECT ( 4.885 0.5 ) ( 4.965 0.67 ) ;
+      RECT ( 4.635 0.42 ) ( 4.965 0.5 ) ;
+      RECT ( 1.755 0.24 ) ( 2.085 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 3.215 2.99 ) ( 3.505 3.09 ) ;
+      RECT ( 3.215 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.505 2.99 ) ;
+      RECT ( 3.215 2.76 ) ( 3.505 2.82 ) ;
+      RECT ( 0.575 2.425 ) ( 0.865 2.485 ) ;
+      RECT ( 0.575 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.865 2.425 ) ;
+      RECT ( 0.575 2.195 ) ( 0.865 2.255 ) ;
+      RECT ( 2.255 2.425 ) ( 2.545 2.485 ) ;
+      RECT ( 2.255 2.255 ) ( 2.315 2.425 ) ;
+      RECT ( 2.485 2.41 ) ( 2.545 2.425 ) ;
+      RECT ( 4.655 2.425 ) ( 4.945 2.485 ) ;
+      RECT ( 4.655 2.41 ) ( 4.715 2.425 ) ;
+      RECT ( 2.485 2.27 ) ( 4.715 2.41 ) ;
+      RECT ( 2.485 2.255 ) ( 2.545 2.27 ) ;
+      RECT ( 2.255 2.195 ) ( 2.545 2.255 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.27 ) ;
+      RECT ( 4.885 2.255 ) ( 4.945 2.425 ) ;
+      RECT ( 4.655 2.195 ) ( 4.945 2.255 ) ;
+      RECT ( 0.65 0.73 ) ( 0.79 2.195 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
+      RECT ( 2.81 1.135 ) ( 2.95 1.78 ) ;
+      RECT ( 4.25 1.135 ) ( 4.39 1.78 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 1.075 ) ;
+      RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 0.575 0.67 ) ( 0.865 0.73 ) ;
+      RECT ( 0.575 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.655 ) ( 0.865 0.67 ) ;
+      RECT ( 4.655 0.67 ) ( 4.945 0.73 ) ;
+      RECT ( 4.655 0.655 ) ( 4.715 0.67 ) ;
+      RECT ( 0.805 0.515 ) ( 4.715 0.655 ) ;
+      RECT ( 0.805 0.5 ) ( 0.865 0.515 ) ;
+      RECT ( 0.575 0.44 ) ( 0.865 0.5 ) ;
+      RECT ( 4.655 0.5 ) ( 4.715 0.515 ) ;
+      RECT ( 4.885 0.5 ) ( 4.945 0.67 ) ;
+      RECT ( 4.655 0.44 ) ( 4.945 0.5 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+
+  end
+END AOI21X1
diff --git a/cells/lef/AOI21X1.lef.temp b/cells/lef/AOI21X1.lef.temp
new file mode 100644
index 0000000..c375c88
--- /dev/null
+++ b/cells/lef/AOI21X1.lef.temp
@@ -0,0 +1,90 @@
+MACRO AOI21X1
+ CLASS CORE ;
+ FOREIGN AOI21X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.51500000 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.51500000 ;
+        RECT 0.57500000 0.51500000 4.94500000 0.65500000 ;
+        RECT 0.57500000 0.65500000 0.86500000 0.73000000 ;
+        RECT 4.65500000 0.65500000 4.94500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END A
+
+  PIN C
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END C
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+    END
+  END B
+
+END AOI21X1
diff --git a/cells/lef/AOI22X1.lef b/cells/lef/AOI22X1.lef
new file mode 100644
index 0000000..618c08e
--- /dev/null
+++ b/cells/lef/AOI22X1.lef
@@ -0,0 +1,191 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AOI22X1
+  CLASS CORE ;
+  FOREIGN AOI22X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 7.200 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 7.200 3.570 ;
+        RECT 1.780 2.990 2.070 3.090 ;
+        RECT 1.780 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.070 2.990 ;
+        RECT 1.780 2.760 2.070 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 7.200 3.570 ;
+        RECT 1.760 2.990 2.090 3.090 ;
+        RECT 1.760 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.090 2.990 ;
+        RECT 1.760 2.740 2.090 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 7.200 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.200 0.510 3.530 0.590 ;
+        RECT 3.200 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.530 0.510 ;
+        RECT 3.200 0.240 3.530 0.340 ;
+        RECT 0.000 -0.240 7.200 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 5.140 2.200 5.430 2.490 ;
+        RECT 0.820 0.660 1.110 0.730 ;
+        RECT 5.210 0.660 5.350 2.200 ;
+        RECT 6.100 0.660 6.390 0.730 ;
+        RECT 0.820 0.520 6.390 0.660 ;
+        RECT 0.820 0.440 1.110 0.520 ;
+        RECT 6.100 0.440 6.390 0.520 ;
+    END
+  END Y
+  PIN D
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 5.620 1.780 5.910 2.070 ;
+        RECT 5.690 1.140 5.830 1.780 ;
+        RECT 5.620 0.850 5.910 1.140 ;
+    END
+  END D
+  PIN B
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+    END
+  END B
+  PIN C
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 4.180 1.780 4.470 2.070 ;
+        RECT 4.250 1.140 4.390 1.780 ;
+        RECT 4.180 0.850 4.470 1.140 ;
+    END
+  END C
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 2.740 1.780 3.030 2.070 ;
+        RECT 2.810 1.140 2.950 1.780 ;
+        RECT 2.740 0.850 3.030 1.140 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 6.080 2.830 6.410 2.910 ;
+        RECT 6.080 2.660 6.160 2.830 ;
+        RECT 6.330 2.660 6.410 2.830 ;
+        RECT 6.080 2.580 6.410 2.660 ;
+        RECT 0.800 2.430 1.130 2.510 ;
+        RECT 0.800 2.260 0.880 2.430 ;
+        RECT 1.050 2.260 1.130 2.430 ;
+        RECT 3.200 2.430 3.530 2.510 ;
+        RECT 3.200 2.260 3.280 2.430 ;
+        RECT 3.450 2.260 3.530 2.430 ;
+        RECT 0.800 2.180 1.130 2.260 ;
+        RECT 3.220 2.180 3.530 2.260 ;
+        RECT 5.120 2.430 5.450 2.510 ;
+        RECT 5.120 2.260 5.200 2.430 ;
+        RECT 5.370 2.260 5.450 2.430 ;
+        RECT 5.120 2.180 5.430 2.260 ;
+        RECT 1.300 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 5.600 2.010 5.930 2.090 ;
+        RECT 5.600 1.840 5.680 2.010 ;
+        RECT 5.850 1.840 5.930 2.010 ;
+        RECT 5.600 1.760 5.930 1.840 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.910 4.240 1.080 ;
+        RECT 4.410 0.910 4.490 1.080 ;
+        RECT 4.160 0.830 4.490 0.910 ;
+        RECT 5.600 1.080 5.930 1.160 ;
+        RECT 5.600 0.910 5.680 1.080 ;
+        RECT 5.850 0.920 5.930 1.080 ;
+        RECT 5.850 0.910 5.910 0.920 ;
+        RECT 5.600 0.830 5.910 0.910 ;
+        RECT 0.800 0.670 1.110 0.750 ;
+        RECT 0.800 0.500 0.880 0.670 ;
+        RECT 1.050 0.660 1.110 0.670 ;
+        RECT 6.080 0.670 6.410 0.750 ;
+        RECT 1.050 0.500 1.130 0.660 ;
+        RECT 0.800 0.420 1.130 0.500 ;
+        RECT 6.080 0.500 6.160 0.670 ;
+        RECT 6.330 0.500 6.410 0.670 ;
+        RECT 6.080 0.420 6.410 0.500 ;
+      LAYER met1 ;
+        RECT 6.100 2.830 6.390 2.890 ;
+        RECT 6.100 2.820 6.160 2.830 ;
+        RECT 3.290 2.680 6.160 2.820 ;
+        RECT 3.290 2.490 3.430 2.680 ;
+        RECT 6.100 2.660 6.160 2.680 ;
+        RECT 6.330 2.660 6.390 2.830 ;
+        RECT 6.100 2.600 6.390 2.660 ;
+        RECT 0.820 2.430 1.110 2.490 ;
+        RECT 0.820 2.260 0.880 2.430 ;
+        RECT 1.050 2.410 1.110 2.430 ;
+        RECT 3.220 2.430 3.510 2.490 ;
+        RECT 3.220 2.410 3.280 2.430 ;
+        RECT 1.050 2.270 3.280 2.410 ;
+        RECT 1.050 2.260 1.110 2.270 ;
+        RECT 0.820 2.200 1.110 2.260 ;
+        RECT 3.220 2.260 3.280 2.270 ;
+        RECT 3.450 2.260 3.510 2.430 ;
+        RECT 3.220 2.200 3.510 2.260 ;
+  END
+END AOI22X1
+END LIBRARY
+
diff --git a/cells/lef/AOI22X1.lef.beforemagic b/cells/lef/AOI22X1.lef.beforemagic
new file mode 100644
index 0000000..d468c70
--- /dev/null
+++ b/cells/lef/AOI22X1.lef.beforemagic
@@ -0,0 +1,324 @@
+MACRO AOI22X1
+ CLASS CORE ;
+ FOREIGN AOI22X1 0 0 ;
+ SIZE 7.2 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.81500000 0.44000000 1.10500000 0.51500000 ;
+        RECT 6.09500000 0.44000000 6.38500000 0.51500000 ;
+        RECT 0.81500000 0.51500000 6.38500000 0.65500000 ;
+        RECT 0.81500000 0.65500000 1.10500000 0.73000000 ;
+        RECT 6.09500000 0.65500000 6.38500000 0.73000000 ;
+        RECT 5.21000000 0.65500000 5.35000000 2.19500000 ;
+        RECT 5.13500000 2.19500000 5.42500000 2.48500000 ;
+    END
+  END Y
+
+  PIN D
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 5.61500000 0.84500000 5.90500000 1.13500000 ;
+        RECT 5.69000000 1.13500000 5.83000000 1.78000000 ;
+        RECT 5.61500000 1.78000000 5.90500000 2.07000000 ;
+    END
+  END D
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END B
+
+  PIN C
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+    END
+  END C
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 7.2 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.2 3.415 ) ;
+      RECT ( 0 3.09 ) ( 7.2 3.245 ) ;
+      RECT ( 1.755 2.99 ) ( 2.085 3.09 ) ;
+      RECT ( 1.755 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.085 2.99 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 2.82 ) ;
+      RECT ( 6.075 2.83 ) ( 6.405 2.91 ) ;
+      RECT ( 6.075 2.66 ) ( 6.155 2.83 ) ;
+      RECT ( 6.325 2.66 ) ( 6.405 2.83 ) ;
+      RECT ( 6.075 2.58 ) ( 6.405 2.66 ) ;
+      RECT ( 0.795 2.425 ) ( 1.125 2.505 ) ;
+      RECT ( 0.795 2.255 ) ( 0.875 2.425 ) ;
+      RECT ( 1.045 2.255 ) ( 1.125 2.425 ) ;
+      RECT ( 3.195 2.425 ) ( 3.525 2.505 ) ;
+      RECT ( 3.195 2.26 ) ( 3.275 2.425 ) ;
+      RECT ( 0.795 2.175 ) ( 1.125 2.255 ) ;
+      RECT ( 3.215 2.255 ) ( 3.275 2.26 ) ;
+      RECT ( 3.445 2.255 ) ( 3.525 2.425 ) ;
+      RECT ( 3.215 2.175 ) ( 3.525 2.255 ) ;
+      RECT ( 5.115 2.425 ) ( 5.445 2.505 ) ;
+      RECT ( 5.115 2.255 ) ( 5.195 2.425 ) ;
+      RECT ( 5.365 2.26 ) ( 5.445 2.425 ) ;
+      RECT ( 5.365 2.255 ) ( 5.425 2.26 ) ;
+      RECT ( 5.115 2.175 ) ( 5.425 2.255 ) ;
+      RECT ( 1.295 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.295 2.005 ) ( 1.355 2.01 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.005 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 5.595 2.01 ) ( 5.925 2.09 ) ;
+      RECT ( 5.595 1.84 ) ( 5.675 2.01 ) ;
+      RECT ( 5.845 1.84 ) ( 5.925 2.01 ) ;
+      RECT ( 5.595 1.76 ) ( 5.925 1.84 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.485 1.075 ) ;
+      RECT ( 4.155 0.825 ) ( 4.485 0.905 ) ;
+      RECT ( 5.595 1.075 ) ( 5.925 1.155 ) ;
+      RECT ( 5.595 0.905 ) ( 5.675 1.075 ) ;
+      RECT ( 5.845 0.92 ) ( 5.925 1.075 ) ;
+      RECT ( 5.845 0.905 ) ( 5.905 0.92 ) ;
+      RECT ( 5.595 0.825 ) ( 5.905 0.905 ) ;
+      RECT ( 0.795 0.67 ) ( 1.105 0.75 ) ;
+      RECT ( 0.795 0.5 ) ( 0.875 0.67 ) ;
+      RECT ( 1.045 0.655 ) ( 1.105 0.67 ) ;
+      RECT ( 6.075 0.67 ) ( 6.405 0.75 ) ;
+      RECT ( 1.045 0.5 ) ( 1.125 0.655 ) ;
+      RECT ( 0.795 0.42 ) ( 1.125 0.5 ) ;
+      RECT ( 3.195 0.51 ) ( 3.525 0.59 ) ;
+      RECT ( 3.195 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.525 0.51 ) ;
+      RECT ( 6.075 0.5 ) ( 6.155 0.67 ) ;
+      RECT ( 6.325 0.5 ) ( 6.405 0.67 ) ;
+      RECT ( 6.075 0.42 ) ( 6.405 0.5 ) ;
+      RECT ( 3.195 0.24 ) ( 3.525 0.34 ) ;
+      RECT ( 0 0.085 ) ( 7.2 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.2 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 7.2 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 7.2 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.2 3.415 ) ;
+      RECT ( 0 3.09 ) ( 7.2 3.245 ) ;
+      RECT ( 1.775 2.99 ) ( 2.065 3.09 ) ;
+      RECT ( 1.775 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.065 2.99 ) ;
+      RECT ( 1.775 2.76 ) ( 2.065 2.82 ) ;
+      RECT ( 6.095 2.83 ) ( 6.385 2.89 ) ;
+      RECT ( 6.095 2.815 ) ( 6.155 2.83 ) ;
+      RECT ( 3.29 2.675 ) ( 6.155 2.815 ) ;
+      RECT ( 3.29 2.485 ) ( 3.43 2.675 ) ;
+      RECT ( 6.095 2.66 ) ( 6.155 2.675 ) ;
+      RECT ( 6.325 2.66 ) ( 6.385 2.83 ) ;
+      RECT ( 6.095 2.6 ) ( 6.385 2.66 ) ;
+      RECT ( 0.815 2.425 ) ( 1.105 2.485 ) ;
+      RECT ( 0.815 2.255 ) ( 0.875 2.425 ) ;
+      RECT ( 1.045 2.41 ) ( 1.105 2.425 ) ;
+      RECT ( 3.215 2.425 ) ( 3.505 2.485 ) ;
+      RECT ( 3.215 2.41 ) ( 3.275 2.425 ) ;
+      RECT ( 1.045 2.27 ) ( 3.275 2.41 ) ;
+      RECT ( 1.045 2.255 ) ( 1.105 2.27 ) ;
+      RECT ( 0.815 2.195 ) ( 1.105 2.255 ) ;
+      RECT ( 3.215 2.255 ) ( 3.275 2.27 ) ;
+      RECT ( 3.445 2.255 ) ( 3.505 2.425 ) ;
+      RECT ( 3.215 2.195 ) ( 3.505 2.255 ) ;
+      RECT ( 5.135 2.425 ) ( 5.425 2.485 ) ;
+      RECT ( 5.135 2.255 ) ( 5.195 2.425 ) ;
+      RECT ( 5.365 2.255 ) ( 5.425 2.425 ) ;
+      RECT ( 5.135 2.195 ) ( 5.425 2.255 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
+      RECT ( 2.81 1.135 ) ( 2.95 1.78 ) ;
+      RECT ( 4.25 1.135 ) ( 4.39 1.78 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 1.075 ) ;
+      RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 0.815 0.67 ) ( 1.105 0.73 ) ;
+      RECT ( 0.815 0.5 ) ( 0.875 0.67 ) ;
+      RECT ( 1.045 0.655 ) ( 1.105 0.67 ) ;
+      RECT ( 5.21 0.655 ) ( 5.35 2.195 ) ;
+      RECT ( 5.615 2.01 ) ( 5.905 2.07 ) ;
+      RECT ( 5.615 1.84 ) ( 5.675 2.01 ) ;
+      RECT ( 5.845 1.84 ) ( 5.905 2.01 ) ;
+      RECT ( 5.615 1.78 ) ( 5.905 1.84 ) ;
+      RECT ( 5.69 1.135 ) ( 5.83 1.78 ) ;
+      RECT ( 5.615 1.075 ) ( 5.905 1.135 ) ;
+      RECT ( 5.615 0.905 ) ( 5.675 1.075 ) ;
+      RECT ( 5.845 0.905 ) ( 5.905 1.075 ) ;
+      RECT ( 5.615 0.845 ) ( 5.905 0.905 ) ;
+      RECT ( 6.095 0.67 ) ( 6.385 0.73 ) ;
+      RECT ( 6.095 0.655 ) ( 6.155 0.67 ) ;
+      RECT ( 1.045 0.515 ) ( 6.155 0.655 ) ;
+      RECT ( 1.045 0.5 ) ( 1.105 0.515 ) ;
+      RECT ( 0.815 0.44 ) ( 1.105 0.5 ) ;
+      RECT ( 6.095 0.5 ) ( 6.155 0.515 ) ;
+      RECT ( 6.325 0.5 ) ( 6.385 0.67 ) ;
+      RECT ( 6.095 0.44 ) ( 6.385 0.5 ) ;
+      RECT ( 0 0.085 ) ( 7.2 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.2 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 7.2 -0.085 ) ;
+
+  end
+END AOI22X1
diff --git a/cells/lef/AOI22X1.lef.temp b/cells/lef/AOI22X1.lef.temp
new file mode 100644
index 0000000..1ec3a84
--- /dev/null
+++ b/cells/lef/AOI22X1.lef.temp
@@ -0,0 +1,103 @@
+MACRO AOI22X1
+ CLASS CORE ;
+ FOREIGN AOI22X1 0 0 ;
+ SIZE 7.2 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.81500000 0.44000000 1.10500000 0.51500000 ;
+        RECT 6.09500000 0.44000000 6.38500000 0.51500000 ;
+        RECT 0.81500000 0.51500000 6.38500000 0.65500000 ;
+        RECT 0.81500000 0.65500000 1.10500000 0.73000000 ;
+        RECT 6.09500000 0.65500000 6.38500000 0.73000000 ;
+        RECT 5.21000000 0.65500000 5.35000000 2.19500000 ;
+        RECT 5.13500000 2.19500000 5.42500000 2.48500000 ;
+    END
+  END Y
+
+  PIN D
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 5.61500000 0.84500000 5.90500000 1.13500000 ;
+        RECT 5.69000000 1.13500000 5.83000000 1.78000000 ;
+        RECT 5.61500000 1.78000000 5.90500000 2.07000000 ;
+    END
+  END D
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END B
+
+  PIN C
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+    END
+  END C
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END A
+
+END AOI22X1
diff --git a/cells/lef/BUFX2.lef b/cells/lef/BUFX2.lef
new file mode 100644
index 0000000..a306b76
--- /dev/null
+++ b/cells/lef/BUFX2.lef
@@ -0,0 +1,135 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO BUFX2
+  CLASS CORE ;
+  FOREIGN BUFX2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 4.320 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.780 2.990 2.070 3.090 ;
+        RECT 1.780 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.070 2.990 ;
+        RECT 1.780 2.760 2.070 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.760 2.990 2.090 3.090 ;
+        RECT 1.760 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.090 2.990 ;
+        RECT 1.760 2.740 2.090 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.780 0.510 2.070 0.570 ;
+        RECT 1.780 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.070 0.510 ;
+        RECT 1.780 0.240 2.070 0.340 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.760 0.510 2.090 0.590 ;
+        RECT 1.760 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.090 0.510 ;
+        RECT 1.760 0.240 2.090 0.340 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 3.220 2.200 3.510 2.490 ;
+        RECT 3.290 0.730 3.430 2.200 ;
+        RECT 3.220 0.440 3.510 0.730 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 0.560 2.430 0.890 2.510 ;
+        RECT 0.560 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.890 2.430 ;
+        RECT 0.560 2.180 0.890 2.260 ;
+        RECT 3.200 2.430 3.530 2.510 ;
+        RECT 3.200 2.260 3.280 2.430 ;
+        RECT 3.450 2.260 3.530 2.430 ;
+        RECT 3.200 2.180 3.530 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.030 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 1.360 1.160 1.530 1.760 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.920 3.050 1.080 ;
+        RECT 2.970 0.910 3.030 0.920 ;
+        RECT 2.720 0.830 3.030 0.910 ;
+        RECT 0.560 0.670 0.890 0.750 ;
+        RECT 0.560 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.890 0.670 ;
+        RECT 0.560 0.420 0.890 0.500 ;
+        RECT 3.200 0.670 3.530 0.750 ;
+        RECT 3.200 0.500 3.280 0.670 ;
+        RECT 3.450 0.500 3.530 0.670 ;
+        RECT 3.200 0.420 3.530 0.500 ;
+      LAYER met1 ;
+        RECT 0.580 2.430 0.870 2.490 ;
+        RECT 0.580 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.870 2.430 ;
+        RECT 0.580 2.200 0.870 2.260 ;
+        RECT 0.650 2.000 0.790 2.200 ;
+        RECT 2.740 2.010 3.030 2.070 ;
+        RECT 2.740 2.000 2.800 2.010 ;
+        RECT 0.650 1.860 2.800 2.000 ;
+        RECT 0.650 0.730 0.790 1.860 ;
+        RECT 2.740 1.840 2.800 1.860 ;
+        RECT 2.970 1.840 3.030 2.010 ;
+        RECT 2.740 1.780 3.030 1.840 ;
+        RECT 2.810 1.140 2.950 1.780 ;
+        RECT 2.740 1.080 3.030 1.140 ;
+        RECT 2.740 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.030 1.080 ;
+        RECT 2.740 0.850 3.030 0.910 ;
+        RECT 0.580 0.670 0.870 0.730 ;
+        RECT 0.580 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.870 0.670 ;
+        RECT 0.580 0.440 0.870 0.500 ;
+  END
+END BUFX2
+END LIBRARY
+
diff --git a/cells/lef/BUFX2.lef.beforemagic b/cells/lef/BUFX2.lef.beforemagic
new file mode 100644
index 0000000..50e98e6
--- /dev/null
+++ b/cells/lef/BUFX2.lef.beforemagic
@@ -0,0 +1,197 @@
+MACRO BUFX2
+ CLASS CORE ;
+ FOREIGN BUFX2 0 0 ;
+ SIZE 4.32 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 3.21500000 0.44000000 3.50500000 0.73000000 ;
+        RECT 3.29000000 0.73000000 3.43000000 2.19500000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 4.32 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.32 3.415 ) ;
+      RECT ( 0 3.09 ) ( 4.32 3.245 ) ;
+      RECT ( 1.755 2.99 ) ( 2.085 3.09 ) ;
+      RECT ( 1.755 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.085 2.99 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 2.82 ) ;
+      RECT ( 0.555 2.425 ) ( 0.885 2.505 ) ;
+      RECT ( 0.555 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.885 2.425 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.255 ) ;
+      RECT ( 3.195 2.425 ) ( 3.525 2.505 ) ;
+      RECT ( 3.195 2.255 ) ( 3.275 2.425 ) ;
+      RECT ( 3.445 2.255 ) ( 3.525 2.425 ) ;
+      RECT ( 3.195 2.175 ) ( 3.525 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.025 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 2.005 ) ( 3.025 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.005 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 1.355 1.155 ) ( 1.525 1.76 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.92 ) ( 3.045 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 0.92 ) ;
+      RECT ( 2.715 0.825 ) ( 3.025 0.905 ) ;
+      RECT ( 0.555 0.67 ) ( 0.885 0.75 ) ;
+      RECT ( 0.555 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.885 0.67 ) ;
+      RECT ( 3.195 0.67 ) ( 3.525 0.75 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.5 ) ;
+      RECT ( 1.755 0.51 ) ( 2.085 0.59 ) ;
+      RECT ( 1.755 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.085 0.51 ) ;
+      RECT ( 3.195 0.5 ) ( 3.275 0.67 ) ;
+      RECT ( 3.445 0.5 ) ( 3.525 0.67 ) ;
+      RECT ( 3.195 0.42 ) ( 3.525 0.5 ) ;
+      RECT ( 1.755 0.24 ) ( 2.085 0.34 ) ;
+      RECT ( 0 0.085 ) ( 4.32 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.32 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 4.32 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 4.32 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.32 3.415 ) ;
+      RECT ( 0 3.09 ) ( 4.32 3.245 ) ;
+      RECT ( 1.775 2.99 ) ( 2.065 3.09 ) ;
+      RECT ( 1.775 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.065 2.99 ) ;
+      RECT ( 1.775 2.76 ) ( 2.065 2.82 ) ;
+      RECT ( 0.575 2.425 ) ( 0.865 2.485 ) ;
+      RECT ( 0.575 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.865 2.425 ) ;
+      RECT ( 0.575 2.195 ) ( 0.865 2.255 ) ;
+      RECT ( 3.215 2.425 ) ( 3.505 2.485 ) ;
+      RECT ( 3.215 2.255 ) ( 3.275 2.425 ) ;
+      RECT ( 3.445 2.255 ) ( 3.505 2.425 ) ;
+      RECT ( 3.215 2.195 ) ( 3.505 2.255 ) ;
+      RECT ( 0.65 1.995 ) ( 0.79 2.195 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.995 ) ( 2.795 2.01 ) ;
+      RECT ( 0.65 1.855 ) ( 2.795 1.995 ) ;
+      RECT ( 0.65 0.73 ) ( 0.79 1.855 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 1.855 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 2.81 1.135 ) ( 2.95 1.78 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 1.075 ) ;
+      RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
+      RECT ( 3.29 0.73 ) ( 3.43 2.195 ) ;
+      RECT ( 0.575 0.67 ) ( 0.865 0.73 ) ;
+      RECT ( 0.575 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.865 0.67 ) ;
+      RECT ( 3.215 0.67 ) ( 3.505 0.73 ) ;
+      RECT ( 0.575 0.44 ) ( 0.865 0.5 ) ;
+      RECT ( 1.775 0.51 ) ( 2.065 0.57 ) ;
+      RECT ( 1.775 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.065 0.51 ) ;
+      RECT ( 3.215 0.5 ) ( 3.275 0.67 ) ;
+      RECT ( 3.445 0.5 ) ( 3.505 0.67 ) ;
+      RECT ( 3.215 0.44 ) ( 3.505 0.5 ) ;
+      RECT ( 1.775 0.24 ) ( 2.065 0.34 ) ;
+      RECT ( 0 0.085 ) ( 4.32 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.32 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 4.32 -0.085 ) ;
+
+  end
+END BUFX2
diff --git a/cells/lef/BUFX2.lef.temp b/cells/lef/BUFX2.lef.temp
new file mode 100644
index 0000000..8f7177b
--- /dev/null
+++ b/cells/lef/BUFX2.lef.temp
@@ -0,0 +1,58 @@
+MACRO BUFX2
+ CLASS CORE ;
+ FOREIGN BUFX2 0 0 ;
+ SIZE 4.32 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 3.21500000 0.44000000 3.50500000 0.73000000 ;
+        RECT 3.29000000 0.73000000 3.43000000 2.19500000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+    END
+  END A
+
+END BUFX2
diff --git a/cells/lef/BUFX4.lef b/cells/lef/BUFX4.lef
new file mode 100644
index 0000000..f7e81f6
--- /dev/null
+++ b/cells/lef/BUFX4.lef
@@ -0,0 +1,173 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO BUFX4
+  CLASS CORE ;
+  FOREIGN BUFX4 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 1.780 2.990 2.070 3.090 ;
+        RECT 1.780 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.070 2.990 ;
+        RECT 1.780 2.760 2.070 2.820 ;
+        RECT 4.660 2.990 4.950 3.090 ;
+        RECT 4.660 2.820 4.720 2.990 ;
+        RECT 4.890 2.820 4.950 2.990 ;
+        RECT 4.660 2.760 4.950 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 1.760 2.990 2.090 3.090 ;
+        RECT 1.760 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.090 2.990 ;
+        RECT 1.760 2.740 2.090 2.820 ;
+        RECT 4.640 2.990 4.970 3.090 ;
+        RECT 4.640 2.820 4.720 2.990 ;
+        RECT 4.890 2.820 4.970 2.990 ;
+        RECT 4.640 2.740 4.970 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.780 0.510 2.070 0.570 ;
+        RECT 1.780 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.070 0.510 ;
+        RECT 1.780 0.240 2.070 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.760 0.510 2.090 0.590 ;
+        RECT 1.760 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.090 0.510 ;
+        RECT 1.760 0.240 2.090 0.340 ;
+        RECT 4.640 0.510 4.970 0.590 ;
+        RECT 4.640 0.340 4.720 0.510 ;
+        RECT 4.890 0.340 4.970 0.510 ;
+        RECT 4.640 0.240 4.970 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 3.700 2.410 3.990 2.490 ;
+        RECT 3.700 2.270 4.870 2.410 ;
+        RECT 3.700 2.200 3.990 2.270 ;
+        RECT 3.700 0.660 3.990 0.730 ;
+        RECT 4.730 0.660 4.870 2.270 ;
+        RECT 3.700 0.520 4.870 0.660 ;
+        RECT 3.700 0.440 3.990 0.520 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 1.370 1.540 1.510 1.780 ;
+        RECT 1.300 1.250 1.590 1.540 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 0.560 2.430 0.890 2.510 ;
+        RECT 0.560 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.890 2.430 ;
+        RECT 0.560 2.180 0.890 2.260 ;
+        RECT 3.680 2.430 4.010 2.510 ;
+        RECT 3.680 2.260 3.760 2.430 ;
+        RECT 3.930 2.260 4.010 2.430 ;
+        RECT 3.680 2.180 4.010 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 4.180 2.010 4.490 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 1.360 1.160 1.530 1.310 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.920 4.240 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 4.180 0.910 4.240 0.920 ;
+        RECT 4.410 0.910 4.490 1.080 ;
+        RECT 4.180 0.830 4.490 0.910 ;
+        RECT 0.560 0.670 0.890 0.750 ;
+        RECT 0.560 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.890 0.670 ;
+        RECT 0.560 0.420 0.890 0.500 ;
+        RECT 3.680 0.670 4.010 0.750 ;
+        RECT 3.680 0.500 3.760 0.670 ;
+        RECT 3.930 0.500 4.010 0.670 ;
+        RECT 3.680 0.420 4.010 0.500 ;
+      LAYER met1 ;
+        RECT 0.580 2.430 0.870 2.490 ;
+        RECT 0.580 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.870 2.430 ;
+        RECT 0.580 2.200 0.870 2.260 ;
+        RECT 0.650 1.060 0.790 2.200 ;
+        RECT 2.740 2.010 3.030 2.070 ;
+        RECT 2.740 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.030 2.010 ;
+        RECT 2.740 1.780 3.030 1.840 ;
+        RECT 4.180 2.010 4.470 2.070 ;
+        RECT 4.180 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.470 2.010 ;
+        RECT 4.180 1.780 4.470 1.840 ;
+        RECT 2.810 1.140 2.950 1.780 ;
+        RECT 4.250 1.140 4.390 1.780 ;
+        RECT 2.740 1.080 3.030 1.140 ;
+        RECT 2.740 1.060 2.800 1.080 ;
+        RECT 0.650 0.920 2.800 1.060 ;
+        RECT 0.650 0.730 0.790 0.920 ;
+        RECT 2.740 0.910 2.800 0.920 ;
+        RECT 2.970 1.060 3.030 1.080 ;
+        RECT 4.180 1.080 4.470 1.140 ;
+        RECT 4.180 1.060 4.240 1.080 ;
+        RECT 2.970 0.920 4.240 1.060 ;
+        RECT 2.970 0.910 3.030 0.920 ;
+        RECT 2.740 0.850 3.030 0.910 ;
+        RECT 4.180 0.910 4.240 0.920 ;
+        RECT 4.410 0.910 4.470 1.080 ;
+        RECT 4.180 0.850 4.470 0.910 ;
+        RECT 0.580 0.670 0.870 0.730 ;
+        RECT 0.580 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.870 0.670 ;
+        RECT 0.580 0.440 0.870 0.500 ;
+  END
+END BUFX4
+END LIBRARY
+
diff --git a/cells/lef/BUFX4.lef.beforemagic b/cells/lef/BUFX4.lef.beforemagic
new file mode 100644
index 0000000..9cdbd98
--- /dev/null
+++ b/cells/lef/BUFX4.lef.beforemagic
@@ -0,0 +1,256 @@
+MACRO BUFX4
+ CLASS CORE ;
+ FOREIGN BUFX4 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 3.69500000 0.44000000 3.98500000 0.51500000 ;
+        RECT 3.69500000 0.51500000 4.87000000 0.65500000 ;
+        RECT 3.69500000 0.65500000 3.98500000 0.73000000 ;
+        RECT 3.69500000 2.19500000 3.98500000 2.27000000 ;
+        RECT 4.73000000 0.65500000 4.87000000 2.27000000 ;
+        RECT 3.69500000 2.27000000 4.87000000 2.41000000 ;
+        RECT 3.69500000 2.41000000 3.98500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 1.25000000 1.58500000 1.54000000 ;
+        RECT 1.37000000 1.54000000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 1.755 2.99 ) ( 2.085 3.09 ) ;
+      RECT ( 1.755 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.085 2.99 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 2.82 ) ;
+      RECT ( 4.635 2.99 ) ( 4.965 3.09 ) ;
+      RECT ( 4.635 2.82 ) ( 4.715 2.99 ) ;
+      RECT ( 4.885 2.82 ) ( 4.965 2.99 ) ;
+      RECT ( 4.635 2.74 ) ( 4.965 2.82 ) ;
+      RECT ( 0.555 2.425 ) ( 0.885 2.505 ) ;
+      RECT ( 0.555 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.885 2.425 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.255 ) ;
+      RECT ( 3.675 2.425 ) ( 4.005 2.505 ) ;
+      RECT ( 3.675 2.255 ) ( 3.755 2.425 ) ;
+      RECT ( 3.925 2.255 ) ( 4.005 2.425 ) ;
+      RECT ( 3.675 2.175 ) ( 4.005 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 4.175 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.175 2.005 ) ( 4.235 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.005 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 1.355 1.155 ) ( 1.525 1.31 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.92 ) ( 4.235 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 0.92 ) ;
+      RECT ( 4.405 0.905 ) ( 4.485 1.075 ) ;
+      RECT ( 4.175 0.825 ) ( 4.485 0.905 ) ;
+      RECT ( 0.555 0.67 ) ( 0.885 0.75 ) ;
+      RECT ( 0.555 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.885 0.67 ) ;
+      RECT ( 3.675 0.67 ) ( 4.005 0.75 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.5 ) ;
+      RECT ( 1.755 0.51 ) ( 2.085 0.59 ) ;
+      RECT ( 1.755 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.085 0.51 ) ;
+      RECT ( 3.675 0.5 ) ( 3.755 0.67 ) ;
+      RECT ( 3.925 0.5 ) ( 4.005 0.67 ) ;
+      RECT ( 3.675 0.42 ) ( 4.005 0.5 ) ;
+      RECT ( 4.635 0.51 ) ( 4.965 0.59 ) ;
+      RECT ( 1.755 0.24 ) ( 2.085 0.34 ) ;
+      RECT ( 4.635 0.34 ) ( 4.715 0.51 ) ;
+      RECT ( 4.885 0.34 ) ( 4.965 0.51 ) ;
+      RECT ( 4.635 0.24 ) ( 4.965 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 1.775 2.99 ) ( 2.065 3.09 ) ;
+      RECT ( 1.775 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.065 2.99 ) ;
+      RECT ( 1.775 2.76 ) ( 2.065 2.82 ) ;
+      RECT ( 4.655 2.99 ) ( 4.945 3.09 ) ;
+      RECT ( 4.655 2.82 ) ( 4.715 2.99 ) ;
+      RECT ( 4.885 2.82 ) ( 4.945 2.99 ) ;
+      RECT ( 4.655 2.76 ) ( 4.945 2.82 ) ;
+      RECT ( 0.575 2.425 ) ( 0.865 2.485 ) ;
+      RECT ( 0.575 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.865 2.425 ) ;
+      RECT ( 0.575 2.195 ) ( 0.865 2.255 ) ;
+      RECT ( 3.695 2.425 ) ( 3.985 2.485 ) ;
+      RECT ( 3.695 2.255 ) ( 3.755 2.425 ) ;
+      RECT ( 3.925 2.41 ) ( 3.985 2.425 ) ;
+      RECT ( 3.925 2.27 ) ( 4.87 2.41 ) ;
+      RECT ( 3.925 2.255 ) ( 3.985 2.27 ) ;
+      RECT ( 3.695 2.195 ) ( 3.985 2.255 ) ;
+      RECT ( 0.65 1.06 ) ( 0.79 2.195 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 1.37 1.54 ) ( 1.51 1.78 ) ;
+      RECT ( 1.295 1.48 ) ( 1.585 1.54 ) ;
+      RECT ( 1.295 1.31 ) ( 1.355 1.48 ) ;
+      RECT ( 1.525 1.31 ) ( 1.585 1.48 ) ;
+      RECT ( 1.295 1.25 ) ( 1.585 1.31 ) ;
+      RECT ( 2.81 1.135 ) ( 2.95 1.78 ) ;
+      RECT ( 4.25 1.135 ) ( 4.39 1.78 ) ;
+      RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
+      RECT ( 2.735 1.06 ) ( 2.795 1.075 ) ;
+      RECT ( 0.65 0.92 ) ( 2.795 1.06 ) ;
+      RECT ( 0.65 0.73 ) ( 0.79 0.92 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 0.92 ) ;
+      RECT ( 2.965 1.06 ) ( 3.025 1.075 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 1.06 ) ( 4.235 1.075 ) ;
+      RECT ( 2.965 0.92 ) ( 4.235 1.06 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 0.92 ) ;
+      RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 0.92 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 0.575 0.67 ) ( 0.865 0.73 ) ;
+      RECT ( 0.575 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.865 0.67 ) ;
+      RECT ( 3.695 0.67 ) ( 3.985 0.73 ) ;
+      RECT ( 0.575 0.44 ) ( 0.865 0.5 ) ;
+      RECT ( 1.775 0.51 ) ( 2.065 0.57 ) ;
+      RECT ( 1.775 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.065 0.51 ) ;
+      RECT ( 3.695 0.5 ) ( 3.755 0.67 ) ;
+      RECT ( 3.925 0.655 ) ( 3.985 0.67 ) ;
+      RECT ( 4.73 0.655 ) ( 4.87 2.27 ) ;
+      RECT ( 3.925 0.515 ) ( 4.87 0.655 ) ;
+      RECT ( 3.925 0.5 ) ( 3.985 0.515 ) ;
+      RECT ( 3.695 0.44 ) ( 3.985 0.5 ) ;
+      RECT ( 1.775 0.24 ) ( 2.065 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+
+  end
+END BUFX4
diff --git a/cells/lef/BUFX4.lef.temp b/cells/lef/BUFX4.lef.temp
new file mode 100644
index 0000000..e445ba4
--- /dev/null
+++ b/cells/lef/BUFX4.lef.temp
@@ -0,0 +1,64 @@
+MACRO BUFX4
+ CLASS CORE ;
+ FOREIGN BUFX4 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 3.69500000 0.44000000 3.98500000 0.51500000 ;
+        RECT 3.69500000 0.51500000 4.87000000 0.65500000 ;
+        RECT 3.69500000 0.65500000 3.98500000 0.73000000 ;
+        RECT 3.69500000 2.19500000 3.98500000 2.27000000 ;
+        RECT 4.73000000 0.65500000 4.87000000 2.27000000 ;
+        RECT 3.69500000 2.27000000 4.87000000 2.41000000 ;
+        RECT 3.69500000 2.41000000 3.98500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 1.25000000 1.58500000 1.54000000 ;
+        RECT 1.37000000 1.54000000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+END BUFX4
diff --git a/cells/lef/CLKBUF1.lef b/cells/lef/CLKBUF1.lef
new file mode 100644
index 0000000..db3d232
--- /dev/null
+++ b/cells/lef/CLKBUF1.lef
@@ -0,0 +1,363 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO CLKBUF1
+  CLASS CORE ;
+  FOREIGN CLKBUF1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 12.960 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 12.960 3.570 ;
+        RECT 0.580 2.990 0.870 3.090 ;
+        RECT 0.580 2.820 0.640 2.990 ;
+        RECT 0.810 2.820 0.870 2.990 ;
+        RECT 0.580 2.760 0.870 2.820 ;
+        RECT 3.220 2.990 3.510 3.090 ;
+        RECT 3.220 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.510 2.990 ;
+        RECT 3.220 2.760 3.510 2.820 ;
+        RECT 6.100 2.990 6.390 3.090 ;
+        RECT 6.100 2.820 6.160 2.990 ;
+        RECT 6.330 2.820 6.390 2.990 ;
+        RECT 6.100 2.760 6.390 2.820 ;
+        RECT 8.980 2.990 9.270 3.090 ;
+        RECT 8.980 2.820 9.040 2.990 ;
+        RECT 9.210 2.820 9.270 2.990 ;
+        RECT 8.980 2.760 9.270 2.820 ;
+        RECT 11.860 2.990 12.150 3.090 ;
+        RECT 11.860 2.820 11.920 2.990 ;
+        RECT 12.090 2.820 12.150 2.990 ;
+        RECT 11.860 2.760 12.150 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 12.960 3.570 ;
+        RECT 0.560 2.990 0.890 3.090 ;
+        RECT 0.560 2.820 0.640 2.990 ;
+        RECT 0.810 2.820 0.890 2.990 ;
+        RECT 0.560 2.740 0.890 2.820 ;
+        RECT 3.200 2.990 3.530 3.090 ;
+        RECT 3.200 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.530 2.990 ;
+        RECT 3.200 2.740 3.530 2.820 ;
+        RECT 6.080 2.990 6.410 3.090 ;
+        RECT 6.080 2.820 6.160 2.990 ;
+        RECT 6.330 2.820 6.410 2.990 ;
+        RECT 6.080 2.740 6.410 2.820 ;
+        RECT 8.960 2.990 9.290 3.090 ;
+        RECT 8.960 2.820 9.040 2.990 ;
+        RECT 9.210 2.820 9.290 2.990 ;
+        RECT 8.960 2.740 9.290 2.820 ;
+        RECT 11.840 2.990 12.170 3.090 ;
+        RECT 11.840 2.820 11.920 2.990 ;
+        RECT 12.090 2.820 12.170 2.990 ;
+        RECT 11.840 2.740 12.170 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.580 0.510 0.870 0.570 ;
+        RECT 0.580 0.340 0.640 0.510 ;
+        RECT 0.810 0.340 0.870 0.510 ;
+        RECT 0.580 0.240 0.870 0.340 ;
+        RECT 3.220 0.510 3.510 0.570 ;
+        RECT 3.220 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.510 0.510 ;
+        RECT 3.220 0.240 3.510 0.340 ;
+        RECT 6.100 0.510 6.390 0.570 ;
+        RECT 6.100 0.340 6.160 0.510 ;
+        RECT 6.330 0.340 6.390 0.510 ;
+        RECT 6.100 0.240 6.390 0.340 ;
+        RECT 8.980 0.510 9.270 0.570 ;
+        RECT 8.980 0.340 9.040 0.510 ;
+        RECT 9.210 0.340 9.270 0.510 ;
+        RECT 8.980 0.240 9.270 0.340 ;
+        RECT 11.860 0.510 12.150 0.570 ;
+        RECT 11.860 0.340 11.920 0.510 ;
+        RECT 12.090 0.340 12.150 0.510 ;
+        RECT 11.860 0.240 12.150 0.340 ;
+        RECT 0.000 -0.240 12.960 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.560 0.510 0.890 0.590 ;
+        RECT 0.560 0.340 0.640 0.510 ;
+        RECT 0.810 0.340 0.890 0.510 ;
+        RECT 0.560 0.240 0.890 0.340 ;
+        RECT 3.200 0.510 3.530 0.590 ;
+        RECT 3.200 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.530 0.510 ;
+        RECT 3.200 0.240 3.530 0.340 ;
+        RECT 6.080 0.510 6.410 0.590 ;
+        RECT 6.080 0.340 6.160 0.510 ;
+        RECT 6.330 0.340 6.410 0.510 ;
+        RECT 6.080 0.240 6.410 0.340 ;
+        RECT 8.960 0.510 9.290 0.590 ;
+        RECT 8.960 0.340 9.040 0.510 ;
+        RECT 9.210 0.340 9.290 0.510 ;
+        RECT 8.960 0.240 9.290 0.340 ;
+        RECT 11.840 0.510 12.170 0.590 ;
+        RECT 11.840 0.340 11.920 0.510 ;
+        RECT 12.090 0.340 12.170 0.510 ;
+        RECT 11.840 0.240 12.170 0.340 ;
+        RECT 0.000 -0.240 12.960 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 10.420 0.440 10.710 0.730 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.370 2.680 2.950 2.820 ;
+        RECT 1.370 2.070 1.510 2.680 ;
+        RECT 2.810 2.070 2.950 2.680 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 2.740 1.780 3.030 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 2.810 1.540 2.950 1.780 ;
+        RECT 2.740 1.250 3.030 1.540 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 1.760 2.430 2.090 2.510 ;
+        RECT 1.760 2.260 1.840 2.430 ;
+        RECT 2.010 2.260 2.090 2.430 ;
+        RECT 4.640 2.430 4.970 2.510 ;
+        RECT 4.640 2.260 4.720 2.430 ;
+        RECT 4.890 2.260 4.970 2.430 ;
+        RECT 1.780 2.180 2.090 2.260 ;
+        RECT 4.660 2.180 4.970 2.260 ;
+        RECT 5.680 2.090 5.850 2.660 ;
+        RECT 7.520 2.430 7.850 2.510 ;
+        RECT 7.520 2.260 7.600 2.430 ;
+        RECT 7.770 2.260 7.850 2.430 ;
+        RECT 7.520 2.180 7.850 2.260 ;
+        RECT 8.560 2.090 8.730 2.660 ;
+        RECT 10.400 2.430 10.730 2.510 ;
+        RECT 10.400 2.260 10.480 2.430 ;
+        RECT 10.650 2.260 10.730 2.430 ;
+        RECT 10.420 2.180 10.730 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 5.600 2.010 5.930 2.090 ;
+        RECT 5.600 1.840 5.680 2.010 ;
+        RECT 5.850 1.840 5.930 2.010 ;
+        RECT 5.600 1.760 5.930 1.840 ;
+        RECT 7.040 2.010 7.350 2.090 ;
+        RECT 8.480 2.010 8.810 2.090 ;
+        RECT 7.040 1.840 7.120 2.010 ;
+        RECT 7.290 1.840 7.370 2.010 ;
+        RECT 7.040 1.760 7.370 1.840 ;
+        RECT 8.480 1.840 8.560 2.010 ;
+        RECT 8.730 1.840 8.810 2.010 ;
+        RECT 8.480 1.760 8.810 1.840 ;
+        RECT 9.920 2.010 10.250 2.090 ;
+        RECT 9.920 1.840 10.000 2.010 ;
+        RECT 10.170 1.840 10.250 2.010 ;
+        RECT 9.920 1.760 10.250 1.840 ;
+        RECT 5.680 1.620 5.850 1.760 ;
+        RECT 8.560 1.620 8.730 1.760 ;
+        RECT 2.800 1.160 2.970 1.310 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.910 4.240 1.080 ;
+        RECT 4.410 0.920 4.490 1.080 ;
+        RECT 5.600 1.080 5.930 1.160 ;
+        RECT 4.410 0.910 4.470 0.920 ;
+        RECT 4.160 0.830 4.470 0.910 ;
+        RECT 5.600 0.910 5.680 1.080 ;
+        RECT 5.850 0.910 5.930 1.080 ;
+        RECT 5.600 0.830 5.930 0.910 ;
+        RECT 7.040 1.080 7.370 1.160 ;
+        RECT 7.040 0.910 7.120 1.080 ;
+        RECT 7.290 0.920 7.370 1.080 ;
+        RECT 8.480 1.080 8.810 1.160 ;
+        RECT 7.290 0.910 7.350 0.920 ;
+        RECT 7.040 0.830 7.350 0.910 ;
+        RECT 8.480 0.910 8.560 1.080 ;
+        RECT 8.730 0.910 8.810 1.080 ;
+        RECT 8.480 0.830 8.810 0.910 ;
+        RECT 9.920 1.080 10.250 1.160 ;
+        RECT 9.920 0.910 10.000 1.080 ;
+        RECT 10.170 0.920 10.250 1.080 ;
+        RECT 10.170 0.910 10.230 0.920 ;
+        RECT 9.920 0.830 10.230 0.910 ;
+        RECT 10.480 0.750 10.650 2.180 ;
+        RECT 11.360 2.010 11.690 2.090 ;
+        RECT 11.360 1.840 11.440 2.010 ;
+        RECT 11.610 1.840 11.690 2.010 ;
+        RECT 11.360 1.760 11.690 1.840 ;
+        RECT 11.360 1.080 11.690 1.160 ;
+        RECT 11.360 0.910 11.440 1.080 ;
+        RECT 11.610 0.910 11.690 1.080 ;
+        RECT 11.360 0.830 11.690 0.910 ;
+        RECT 1.780 0.670 2.090 0.750 ;
+        RECT 1.780 0.660 1.840 0.670 ;
+        RECT 1.760 0.500 1.840 0.660 ;
+        RECT 2.010 0.500 2.090 0.670 ;
+        RECT 1.760 0.420 2.090 0.500 ;
+        RECT 4.640 0.670 4.970 0.750 ;
+        RECT 4.640 0.500 4.720 0.670 ;
+        RECT 4.890 0.500 4.970 0.670 ;
+        RECT 4.640 0.420 4.970 0.500 ;
+        RECT 7.520 0.670 7.850 0.750 ;
+        RECT 7.520 0.500 7.600 0.670 ;
+        RECT 7.770 0.500 7.850 0.670 ;
+        RECT 7.520 0.420 7.850 0.500 ;
+        RECT 10.400 0.670 10.730 0.750 ;
+        RECT 10.400 0.500 10.480 0.670 ;
+        RECT 10.650 0.500 10.730 0.670 ;
+        RECT 10.400 0.420 10.730 0.500 ;
+      LAYER met1 ;
+        RECT 5.620 2.830 5.910 2.890 ;
+        RECT 5.620 2.820 5.680 2.830 ;
+        RECT 4.250 2.680 5.680 2.820 ;
+        RECT 1.780 2.430 2.070 2.490 ;
+        RECT 1.780 2.260 1.840 2.430 ;
+        RECT 2.010 2.260 2.070 2.430 ;
+        RECT 1.780 2.200 2.070 2.260 ;
+        RECT 1.850 1.060 1.990 2.200 ;
+        RECT 4.250 2.070 4.390 2.680 ;
+        RECT 5.620 2.660 5.680 2.680 ;
+        RECT 5.850 2.660 5.910 2.830 ;
+        RECT 8.500 2.830 8.790 2.890 ;
+        RECT 8.500 2.820 8.560 2.830 ;
+        RECT 5.620 2.600 5.910 2.660 ;
+        RECT 7.130 2.680 8.560 2.820 ;
+        RECT 4.660 2.430 4.950 2.490 ;
+        RECT 4.660 2.260 4.720 2.430 ;
+        RECT 4.890 2.260 4.950 2.430 ;
+        RECT 4.660 2.200 4.950 2.260 ;
+        RECT 4.180 2.010 4.470 2.070 ;
+        RECT 4.180 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.470 2.010 ;
+        RECT 4.180 1.780 4.470 1.840 ;
+        RECT 4.730 2.000 4.870 2.200 ;
+        RECT 7.130 2.070 7.270 2.680 ;
+        RECT 8.500 2.660 8.560 2.680 ;
+        RECT 8.730 2.660 8.790 2.830 ;
+        RECT 8.500 2.600 8.790 2.660 ;
+        RECT 7.540 2.430 7.830 2.490 ;
+        RECT 7.540 2.260 7.600 2.430 ;
+        RECT 7.770 2.260 7.830 2.430 ;
+        RECT 7.540 2.200 7.830 2.260 ;
+        RECT 7.060 2.010 7.350 2.070 ;
+        RECT 7.060 2.000 7.120 2.010 ;
+        RECT 4.730 1.860 7.120 2.000 ;
+        RECT 4.250 1.140 4.390 1.780 ;
+        RECT 4.180 1.080 4.470 1.140 ;
+        RECT 4.180 1.060 4.240 1.080 ;
+        RECT 1.850 0.920 4.240 1.060 ;
+        RECT 1.850 0.730 1.990 0.920 ;
+        RECT 4.180 0.910 4.240 0.920 ;
+        RECT 4.410 0.910 4.470 1.080 ;
+        RECT 4.180 0.850 4.470 0.910 ;
+        RECT 4.730 0.730 4.870 1.860 ;
+        RECT 7.060 1.840 7.120 1.860 ;
+        RECT 7.290 1.840 7.350 2.010 ;
+        RECT 7.060 1.780 7.350 1.840 ;
+        RECT 7.610 2.000 7.750 2.200 ;
+        RECT 9.940 2.010 10.230 2.070 ;
+        RECT 9.940 2.000 10.000 2.010 ;
+        RECT 7.610 1.860 10.000 2.000 ;
+        RECT 5.620 1.620 5.910 1.680 ;
+        RECT 5.620 1.450 5.680 1.620 ;
+        RECT 5.850 1.450 5.910 1.620 ;
+        RECT 5.620 1.390 5.910 1.450 ;
+        RECT 5.690 1.140 5.830 1.390 ;
+        RECT 7.130 1.140 7.270 1.780 ;
+        RECT 5.620 1.080 5.910 1.140 ;
+        RECT 5.620 0.910 5.680 1.080 ;
+        RECT 5.850 0.910 5.910 1.080 ;
+        RECT 5.620 0.850 5.910 0.910 ;
+        RECT 7.060 1.080 7.350 1.140 ;
+        RECT 7.060 0.910 7.120 1.080 ;
+        RECT 7.290 0.910 7.350 1.080 ;
+        RECT 7.060 0.850 7.350 0.910 ;
+        RECT 7.610 0.730 7.750 1.860 ;
+        RECT 9.940 1.840 10.000 1.860 ;
+        RECT 10.170 2.000 10.230 2.010 ;
+        RECT 11.380 2.010 11.670 2.070 ;
+        RECT 11.380 2.000 11.440 2.010 ;
+        RECT 10.170 1.860 11.440 2.000 ;
+        RECT 10.170 1.840 10.230 1.860 ;
+        RECT 9.940 1.780 10.230 1.840 ;
+        RECT 11.380 1.840 11.440 1.860 ;
+        RECT 11.610 1.840 11.670 2.010 ;
+        RECT 11.380 1.780 11.670 1.840 ;
+        RECT 8.500 1.620 8.790 1.680 ;
+        RECT 8.500 1.450 8.560 1.620 ;
+        RECT 8.730 1.450 8.790 1.620 ;
+        RECT 8.500 1.390 8.790 1.450 ;
+        RECT 8.570 1.140 8.710 1.390 ;
+        RECT 10.010 1.140 10.150 1.780 ;
+        RECT 11.450 1.140 11.590 1.780 ;
+        RECT 8.500 1.080 8.790 1.140 ;
+        RECT 8.500 0.910 8.560 1.080 ;
+        RECT 8.730 0.910 8.790 1.080 ;
+        RECT 8.500 0.850 8.790 0.910 ;
+        RECT 9.940 1.080 10.230 1.140 ;
+        RECT 9.940 0.910 10.000 1.080 ;
+        RECT 10.170 0.910 10.230 1.080 ;
+        RECT 9.940 0.850 10.230 0.910 ;
+        RECT 11.380 1.080 11.670 1.140 ;
+        RECT 11.380 0.910 11.440 1.080 ;
+        RECT 11.610 0.910 11.670 1.080 ;
+        RECT 11.380 0.850 11.670 0.910 ;
+        RECT 1.780 0.670 2.070 0.730 ;
+        RECT 1.780 0.500 1.840 0.670 ;
+        RECT 2.010 0.500 2.070 0.670 ;
+        RECT 1.780 0.440 2.070 0.500 ;
+        RECT 4.660 0.670 4.950 0.730 ;
+        RECT 4.660 0.500 4.720 0.670 ;
+        RECT 4.890 0.500 4.950 0.670 ;
+        RECT 4.660 0.440 4.950 0.500 ;
+        RECT 7.540 0.670 7.830 0.730 ;
+        RECT 7.540 0.500 7.600 0.670 ;
+        RECT 7.770 0.500 7.830 0.670 ;
+        RECT 7.540 0.440 7.830 0.500 ;
+  END
+END CLKBUF1
+END LIBRARY
+
diff --git a/cells/lef/CLKBUF1.lef.beforemagic b/cells/lef/CLKBUF1.lef.beforemagic
new file mode 100644
index 0000000..d3da44a
--- /dev/null
+++ b/cells/lef/CLKBUF1.lef.beforemagic
@@ -0,0 +1,512 @@
+MACRO CLKBUF1
+ CLASS CORE ;
+ FOREIGN CLKBUF1 0 0 ;
+ SIZE 12.96 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 12.96000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 12.96000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 12.96000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 12.96000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 10.41500000 0.44000000 10.70500000 0.73000000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.54000000 2.95000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+        RECT 1.37000000 2.07000000 1.51000000 2.67500000 ;
+        RECT 2.81000000 2.07000000 2.95000000 2.67500000 ;
+        RECT 1.37000000 2.67500000 2.95000000 2.81500000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 12.96 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.355 3.415 ) ;
+      RECT ( 7.525 3.245 ) ( 7.835 3.415 ) ;
+      RECT ( 8.005 3.245 ) ( 8.315 3.415 ) ;
+      RECT ( 8.485 3.245 ) ( 8.795 3.415 ) ;
+      RECT ( 8.965 3.245 ) ( 9.275 3.415 ) ;
+      RECT ( 9.445 3.245 ) ( 9.755 3.415 ) ;
+      RECT ( 9.925 3.245 ) ( 10.235 3.415 ) ;
+      RECT ( 10.405 3.245 ) ( 10.715 3.415 ) ;
+      RECT ( 10.885 3.245 ) ( 11.195 3.415 ) ;
+      RECT ( 11.365 3.245 ) ( 11.675 3.415 ) ;
+      RECT ( 11.845 3.245 ) ( 12.155 3.415 ) ;
+      RECT ( 12.325 3.245 ) ( 12.635 3.415 ) ;
+      RECT ( 12.805 3.245 ) ( 12.96 3.415 ) ;
+      RECT ( 0 3.09 ) ( 12.96 3.245 ) ;
+      RECT ( 0.555 2.99 ) ( 0.885 3.09 ) ;
+      RECT ( 0.555 2.82 ) ( 0.635 2.99 ) ;
+      RECT ( 0.805 2.82 ) ( 0.885 2.99 ) ;
+      RECT ( 0.555 2.74 ) ( 0.885 2.82 ) ;
+      RECT ( 3.195 2.99 ) ( 3.525 3.09 ) ;
+      RECT ( 3.195 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.525 2.99 ) ;
+      RECT ( 6.075 2.99 ) ( 6.405 3.09 ) ;
+      RECT ( 3.195 2.74 ) ( 3.525 2.82 ) ;
+      RECT ( 6.075 2.82 ) ( 6.155 2.99 ) ;
+      RECT ( 6.325 2.82 ) ( 6.405 2.99 ) ;
+      RECT ( 8.955 2.99 ) ( 9.285 3.09 ) ;
+      RECT ( 6.075 2.74 ) ( 6.405 2.82 ) ;
+      RECT ( 1.755 2.425 ) ( 2.085 2.505 ) ;
+      RECT ( 1.755 2.26 ) ( 1.835 2.425 ) ;
+      RECT ( 1.775 2.255 ) ( 1.835 2.26 ) ;
+      RECT ( 2.005 2.255 ) ( 2.085 2.425 ) ;
+      RECT ( 4.635 2.425 ) ( 4.965 2.505 ) ;
+      RECT ( 4.635 2.26 ) ( 4.715 2.425 ) ;
+      RECT ( 1.775 2.175 ) ( 2.085 2.255 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.26 ) ;
+      RECT ( 4.885 2.255 ) ( 4.965 2.425 ) ;
+      RECT ( 4.655 2.175 ) ( 4.965 2.255 ) ;
+      RECT ( 5.675 2.09 ) ( 5.845 2.66 ) ;
+      RECT ( 8.955 2.82 ) ( 9.035 2.99 ) ;
+      RECT ( 9.205 2.82 ) ( 9.285 2.99 ) ;
+      RECT ( 8.955 2.74 ) ( 9.285 2.82 ) ;
+      RECT ( 11.835 2.99 ) ( 12.165 3.09 ) ;
+      RECT ( 11.835 2.82 ) ( 11.915 2.99 ) ;
+      RECT ( 12.085 2.82 ) ( 12.165 2.99 ) ;
+      RECT ( 11.835 2.74 ) ( 12.165 2.82 ) ;
+      RECT ( 7.515 2.425 ) ( 7.845 2.505 ) ;
+      RECT ( 7.515 2.255 ) ( 7.595 2.425 ) ;
+      RECT ( 7.765 2.255 ) ( 7.845 2.425 ) ;
+      RECT ( 7.515 2.175 ) ( 7.845 2.255 ) ;
+      RECT ( 8.555 2.09 ) ( 8.725 2.66 ) ;
+      RECT ( 10.395 2.425 ) ( 10.725 2.505 ) ;
+      RECT ( 10.395 2.26 ) ( 10.475 2.425 ) ;
+      RECT ( 10.415 2.255 ) ( 10.475 2.26 ) ;
+      RECT ( 10.645 2.255 ) ( 10.725 2.425 ) ;
+      RECT ( 10.415 2.175 ) ( 10.725 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 5.595 2.01 ) ( 5.925 2.09 ) ;
+      RECT ( 5.595 1.84 ) ( 5.675 2.01 ) ;
+      RECT ( 5.845 1.84 ) ( 5.925 2.01 ) ;
+      RECT ( 5.595 1.76 ) ( 5.925 1.84 ) ;
+      RECT ( 7.035 2.01 ) ( 7.345 2.09 ) ;
+      RECT ( 7.035 1.84 ) ( 7.115 2.01 ) ;
+      RECT ( 7.285 2.005 ) ( 7.345 2.01 ) ;
+      RECT ( 8.475 2.01 ) ( 8.805 2.09 ) ;
+      RECT ( 7.285 1.84 ) ( 7.365 2.005 ) ;
+      RECT ( 7.035 1.76 ) ( 7.365 1.84 ) ;
+      RECT ( 8.475 1.84 ) ( 8.555 2.01 ) ;
+      RECT ( 8.725 1.84 ) ( 8.805 2.01 ) ;
+      RECT ( 8.475 1.76 ) ( 8.805 1.84 ) ;
+      RECT ( 9.915 2.01 ) ( 10.245 2.09 ) ;
+      RECT ( 9.915 1.84 ) ( 9.995 2.01 ) ;
+      RECT ( 10.165 1.84 ) ( 10.245 2.01 ) ;
+      RECT ( 9.915 1.76 ) ( 10.245 1.84 ) ;
+      RECT ( 5.675 1.615 ) ( 5.845 1.76 ) ;
+      RECT ( 8.555 1.615 ) ( 8.725 1.76 ) ;
+      RECT ( 2.795 1.155 ) ( 2.965 1.31 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.92 ) ( 4.485 1.075 ) ;
+      RECT ( 5.595 1.075 ) ( 5.925 1.155 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 0.92 ) ;
+      RECT ( 4.155 0.825 ) ( 4.465 0.905 ) ;
+      RECT ( 5.595 0.905 ) ( 5.675 1.075 ) ;
+      RECT ( 5.845 0.905 ) ( 5.925 1.075 ) ;
+      RECT ( 5.595 0.825 ) ( 5.925 0.905 ) ;
+      RECT ( 7.035 1.075 ) ( 7.365 1.155 ) ;
+      RECT ( 7.035 0.905 ) ( 7.115 1.075 ) ;
+      RECT ( 7.285 0.92 ) ( 7.365 1.075 ) ;
+      RECT ( 8.475 1.075 ) ( 8.805 1.155 ) ;
+      RECT ( 7.285 0.905 ) ( 7.345 0.92 ) ;
+      RECT ( 7.035 0.825 ) ( 7.345 0.905 ) ;
+      RECT ( 8.475 0.905 ) ( 8.555 1.075 ) ;
+      RECT ( 8.725 0.905 ) ( 8.805 1.075 ) ;
+      RECT ( 8.475 0.825 ) ( 8.805 0.905 ) ;
+      RECT ( 9.915 1.075 ) ( 10.245 1.155 ) ;
+      RECT ( 9.915 0.905 ) ( 9.995 1.075 ) ;
+      RECT ( 10.165 0.92 ) ( 10.245 1.075 ) ;
+      RECT ( 10.165 0.905 ) ( 10.225 0.92 ) ;
+      RECT ( 9.915 0.825 ) ( 10.225 0.905 ) ;
+      RECT ( 10.475 0.75 ) ( 10.645 2.175 ) ;
+      RECT ( 11.355 2.01 ) ( 11.685 2.09 ) ;
+      RECT ( 11.355 1.84 ) ( 11.435 2.01 ) ;
+      RECT ( 11.605 1.84 ) ( 11.685 2.01 ) ;
+      RECT ( 11.355 1.76 ) ( 11.685 1.84 ) ;
+      RECT ( 11.355 1.075 ) ( 11.685 1.155 ) ;
+      RECT ( 11.355 0.905 ) ( 11.435 1.075 ) ;
+      RECT ( 11.605 0.905 ) ( 11.685 1.075 ) ;
+      RECT ( 11.355 0.825 ) ( 11.685 0.905 ) ;
+      RECT ( 1.775 0.67 ) ( 2.085 0.75 ) ;
+      RECT ( 1.775 0.655 ) ( 1.835 0.67 ) ;
+      RECT ( 0.555 0.51 ) ( 0.885 0.59 ) ;
+      RECT ( 0.555 0.34 ) ( 0.635 0.51 ) ;
+      RECT ( 0.805 0.34 ) ( 0.885 0.51 ) ;
+      RECT ( 1.755 0.5 ) ( 1.835 0.655 ) ;
+      RECT ( 2.005 0.5 ) ( 2.085 0.67 ) ;
+      RECT ( 4.635 0.67 ) ( 4.965 0.75 ) ;
+      RECT ( 1.755 0.42 ) ( 2.085 0.5 ) ;
+      RECT ( 3.195 0.51 ) ( 3.525 0.59 ) ;
+      RECT ( 0.555 0.24 ) ( 0.885 0.34 ) ;
+      RECT ( 3.195 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.525 0.51 ) ;
+      RECT ( 4.635 0.5 ) ( 4.715 0.67 ) ;
+      RECT ( 4.885 0.5 ) ( 4.965 0.67 ) ;
+      RECT ( 7.515 0.67 ) ( 7.845 0.75 ) ;
+      RECT ( 4.635 0.42 ) ( 4.965 0.5 ) ;
+      RECT ( 6.075 0.51 ) ( 6.405 0.59 ) ;
+      RECT ( 3.195 0.24 ) ( 3.525 0.34 ) ;
+      RECT ( 6.075 0.34 ) ( 6.155 0.51 ) ;
+      RECT ( 6.325 0.34 ) ( 6.405 0.51 ) ;
+      RECT ( 7.515 0.5 ) ( 7.595 0.67 ) ;
+      RECT ( 7.765 0.5 ) ( 7.845 0.67 ) ;
+      RECT ( 10.395 0.67 ) ( 10.725 0.75 ) ;
+      RECT ( 7.515 0.42 ) ( 7.845 0.5 ) ;
+      RECT ( 8.955 0.51 ) ( 9.285 0.59 ) ;
+      RECT ( 6.075 0.24 ) ( 6.405 0.34 ) ;
+      RECT ( 8.955 0.34 ) ( 9.035 0.51 ) ;
+      RECT ( 9.205 0.34 ) ( 9.285 0.51 ) ;
+      RECT ( 10.395 0.5 ) ( 10.475 0.67 ) ;
+      RECT ( 10.645 0.5 ) ( 10.725 0.67 ) ;
+      RECT ( 10.395 0.42 ) ( 10.725 0.5 ) ;
+      RECT ( 11.835 0.51 ) ( 12.165 0.59 ) ;
+      RECT ( 8.955 0.24 ) ( 9.285 0.34 ) ;
+      RECT ( 11.835 0.34 ) ( 11.915 0.51 ) ;
+      RECT ( 12.085 0.34 ) ( 12.165 0.51 ) ;
+      RECT ( 11.835 0.24 ) ( 12.165 0.34 ) ;
+      RECT ( 0 0.085 ) ( 12.96 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.355 0.085 ) ;
+      RECT ( 7.525 -0.085 ) ( 7.835 0.085 ) ;
+      RECT ( 8.005 -0.085 ) ( 8.315 0.085 ) ;
+      RECT ( 8.485 -0.085 ) ( 8.795 0.085 ) ;
+      RECT ( 8.965 -0.085 ) ( 9.275 0.085 ) ;
+      RECT ( 9.445 -0.085 ) ( 9.755 0.085 ) ;
+      RECT ( 9.925 -0.085 ) ( 10.235 0.085 ) ;
+      RECT ( 10.405 -0.085 ) ( 10.715 0.085 ) ;
+      RECT ( 10.885 -0.085 ) ( 11.195 0.085 ) ;
+      RECT ( 11.365 -0.085 ) ( 11.675 0.085 ) ;
+      RECT ( 11.845 -0.085 ) ( 12.155 0.085 ) ;
+      RECT ( 12.325 -0.085 ) ( 12.635 0.085 ) ;
+      RECT ( 12.805 -0.085 ) ( 12.96 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 12.96 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 12.96 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.355 3.415 ) ;
+      RECT ( 7.525 3.245 ) ( 7.835 3.415 ) ;
+      RECT ( 8.005 3.245 ) ( 8.315 3.415 ) ;
+      RECT ( 8.485 3.245 ) ( 8.795 3.415 ) ;
+      RECT ( 8.965 3.245 ) ( 9.275 3.415 ) ;
+      RECT ( 9.445 3.245 ) ( 9.755 3.415 ) ;
+      RECT ( 9.925 3.245 ) ( 10.235 3.415 ) ;
+      RECT ( 10.405 3.245 ) ( 10.715 3.415 ) ;
+      RECT ( 10.885 3.245 ) ( 11.195 3.415 ) ;
+      RECT ( 11.365 3.245 ) ( 11.675 3.415 ) ;
+      RECT ( 11.845 3.245 ) ( 12.155 3.415 ) ;
+      RECT ( 12.325 3.245 ) ( 12.635 3.415 ) ;
+      RECT ( 12.805 3.245 ) ( 12.96 3.415 ) ;
+      RECT ( 0 3.09 ) ( 12.96 3.245 ) ;
+      RECT ( 0.575 2.99 ) ( 0.865 3.09 ) ;
+      RECT ( 0.575 2.82 ) ( 0.635 2.99 ) ;
+      RECT ( 0.805 2.82 ) ( 0.865 2.99 ) ;
+      RECT ( 0.575 2.76 ) ( 0.865 2.82 ) ;
+      RECT ( 3.215 2.99 ) ( 3.505 3.09 ) ;
+      RECT ( 3.215 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.505 2.99 ) ;
+      RECT ( 6.095 2.99 ) ( 6.385 3.09 ) ;
+      RECT ( 1.37 2.675 ) ( 2.95 2.815 ) ;
+      RECT ( 3.215 2.76 ) ( 3.505 2.82 ) ;
+      RECT ( 5.615 2.83 ) ( 5.905 2.89 ) ;
+      RECT ( 5.615 2.815 ) ( 5.675 2.83 ) ;
+      RECT ( 1.37 2.07 ) ( 1.51 2.675 ) ;
+      RECT ( 1.775 2.425 ) ( 2.065 2.485 ) ;
+      RECT ( 1.775 2.255 ) ( 1.835 2.425 ) ;
+      RECT ( 2.005 2.255 ) ( 2.065 2.425 ) ;
+      RECT ( 1.775 2.195 ) ( 2.065 2.255 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 1.85 1.06 ) ( 1.99 2.195 ) ;
+      RECT ( 2.81 2.07 ) ( 2.95 2.675 ) ;
+      RECT ( 4.25 2.675 ) ( 5.675 2.815 ) ;
+      RECT ( 4.25 2.07 ) ( 4.39 2.675 ) ;
+      RECT ( 5.615 2.66 ) ( 5.675 2.675 ) ;
+      RECT ( 5.845 2.66 ) ( 5.905 2.83 ) ;
+      RECT ( 6.095 2.82 ) ( 6.155 2.99 ) ;
+      RECT ( 6.325 2.82 ) ( 6.385 2.99 ) ;
+      RECT ( 8.975 2.99 ) ( 9.265 3.09 ) ;
+      RECT ( 6.095 2.76 ) ( 6.385 2.82 ) ;
+      RECT ( 8.495 2.83 ) ( 8.785 2.89 ) ;
+      RECT ( 8.495 2.815 ) ( 8.555 2.83 ) ;
+      RECT ( 5.615 2.6 ) ( 5.905 2.66 ) ;
+      RECT ( 7.13 2.675 ) ( 8.555 2.815 ) ;
+      RECT ( 4.655 2.425 ) ( 4.945 2.485 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.425 ) ;
+      RECT ( 4.885 2.255 ) ( 4.945 2.425 ) ;
+      RECT ( 4.655 2.195 ) ( 4.945 2.255 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 4.73 1.995 ) ( 4.87 2.195 ) ;
+      RECT ( 7.13 2.07 ) ( 7.27 2.675 ) ;
+      RECT ( 8.495 2.66 ) ( 8.555 2.675 ) ;
+      RECT ( 8.725 2.66 ) ( 8.785 2.83 ) ;
+      RECT ( 8.975 2.82 ) ( 9.035 2.99 ) ;
+      RECT ( 9.205 2.82 ) ( 9.265 2.99 ) ;
+      RECT ( 8.975 2.76 ) ( 9.265 2.82 ) ;
+      RECT ( 11.855 2.99 ) ( 12.145 3.09 ) ;
+      RECT ( 11.855 2.82 ) ( 11.915 2.99 ) ;
+      RECT ( 12.085 2.82 ) ( 12.145 2.99 ) ;
+      RECT ( 11.855 2.76 ) ( 12.145 2.82 ) ;
+      RECT ( 8.495 2.6 ) ( 8.785 2.66 ) ;
+      RECT ( 7.535 2.425 ) ( 7.825 2.485 ) ;
+      RECT ( 7.535 2.255 ) ( 7.595 2.425 ) ;
+      RECT ( 7.765 2.255 ) ( 7.825 2.425 ) ;
+      RECT ( 7.535 2.195 ) ( 7.825 2.255 ) ;
+      RECT ( 7.055 2.01 ) ( 7.345 2.07 ) ;
+      RECT ( 7.055 1.995 ) ( 7.115 2.01 ) ;
+      RECT ( 4.73 1.855 ) ( 7.115 1.995 ) ;
+      RECT ( 2.81 1.54 ) ( 2.95 1.78 ) ;
+      RECT ( 2.735 1.48 ) ( 3.025 1.54 ) ;
+      RECT ( 2.735 1.31 ) ( 2.795 1.48 ) ;
+      RECT ( 2.965 1.31 ) ( 3.025 1.48 ) ;
+      RECT ( 2.735 1.25 ) ( 3.025 1.31 ) ;
+      RECT ( 4.25 1.135 ) ( 4.39 1.78 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 1.06 ) ( 4.235 1.075 ) ;
+      RECT ( 1.85 0.92 ) ( 4.235 1.06 ) ;
+      RECT ( 1.85 0.73 ) ( 1.99 0.92 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 0.92 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 4.73 0.73 ) ( 4.87 1.855 ) ;
+      RECT ( 7.055 1.84 ) ( 7.115 1.855 ) ;
+      RECT ( 7.285 1.84 ) ( 7.345 2.01 ) ;
+      RECT ( 7.055 1.78 ) ( 7.345 1.84 ) ;
+      RECT ( 7.61 1.995 ) ( 7.75 2.195 ) ;
+      RECT ( 9.935 2.01 ) ( 10.225 2.07 ) ;
+      RECT ( 9.935 1.995 ) ( 9.995 2.01 ) ;
+      RECT ( 7.61 1.855 ) ( 9.995 1.995 ) ;
+      RECT ( 5.615 1.615 ) ( 5.905 1.675 ) ;
+      RECT ( 5.615 1.445 ) ( 5.675 1.615 ) ;
+      RECT ( 5.845 1.445 ) ( 5.905 1.615 ) ;
+      RECT ( 5.615 1.385 ) ( 5.905 1.445 ) ;
+      RECT ( 5.69 1.135 ) ( 5.83 1.385 ) ;
+      RECT ( 7.13 1.135 ) ( 7.27 1.78 ) ;
+      RECT ( 5.615 1.075 ) ( 5.905 1.135 ) ;
+      RECT ( 5.615 0.905 ) ( 5.675 1.075 ) ;
+      RECT ( 5.845 0.905 ) ( 5.905 1.075 ) ;
+      RECT ( 5.615 0.845 ) ( 5.905 0.905 ) ;
+      RECT ( 7.055 1.075 ) ( 7.345 1.135 ) ;
+      RECT ( 7.055 0.905 ) ( 7.115 1.075 ) ;
+      RECT ( 7.285 0.905 ) ( 7.345 1.075 ) ;
+      RECT ( 7.055 0.845 ) ( 7.345 0.905 ) ;
+      RECT ( 7.61 0.73 ) ( 7.75 1.855 ) ;
+      RECT ( 9.935 1.84 ) ( 9.995 1.855 ) ;
+      RECT ( 10.165 1.995 ) ( 10.225 2.01 ) ;
+      RECT ( 11.375 2.01 ) ( 11.665 2.07 ) ;
+      RECT ( 11.375 1.995 ) ( 11.435 2.01 ) ;
+      RECT ( 10.165 1.855 ) ( 11.435 1.995 ) ;
+      RECT ( 10.165 1.84 ) ( 10.225 1.855 ) ;
+      RECT ( 9.935 1.78 ) ( 10.225 1.84 ) ;
+      RECT ( 11.375 1.84 ) ( 11.435 1.855 ) ;
+      RECT ( 11.605 1.84 ) ( 11.665 2.01 ) ;
+      RECT ( 11.375 1.78 ) ( 11.665 1.84 ) ;
+      RECT ( 8.495 1.615 ) ( 8.785 1.675 ) ;
+      RECT ( 8.495 1.445 ) ( 8.555 1.615 ) ;
+      RECT ( 8.725 1.445 ) ( 8.785 1.615 ) ;
+      RECT ( 8.495 1.385 ) ( 8.785 1.445 ) ;
+      RECT ( 8.57 1.135 ) ( 8.71 1.385 ) ;
+      RECT ( 10.01 1.135 ) ( 10.15 1.78 ) ;
+      RECT ( 11.45 1.135 ) ( 11.59 1.78 ) ;
+      RECT ( 8.495 1.075 ) ( 8.785 1.135 ) ;
+      RECT ( 8.495 0.905 ) ( 8.555 1.075 ) ;
+      RECT ( 8.725 0.905 ) ( 8.785 1.075 ) ;
+      RECT ( 8.495 0.845 ) ( 8.785 0.905 ) ;
+      RECT ( 9.935 1.075 ) ( 10.225 1.135 ) ;
+      RECT ( 9.935 0.905 ) ( 9.995 1.075 ) ;
+      RECT ( 10.165 0.905 ) ( 10.225 1.075 ) ;
+      RECT ( 9.935 0.845 ) ( 10.225 0.905 ) ;
+      RECT ( 11.375 1.075 ) ( 11.665 1.135 ) ;
+      RECT ( 11.375 0.905 ) ( 11.435 1.075 ) ;
+      RECT ( 11.605 0.905 ) ( 11.665 1.075 ) ;
+      RECT ( 11.375 0.845 ) ( 11.665 0.905 ) ;
+      RECT ( 1.775 0.67 ) ( 2.065 0.73 ) ;
+      RECT ( 0.575 0.51 ) ( 0.865 0.57 ) ;
+      RECT ( 0.575 0.34 ) ( 0.635 0.51 ) ;
+      RECT ( 0.805 0.34 ) ( 0.865 0.51 ) ;
+      RECT ( 1.775 0.5 ) ( 1.835 0.67 ) ;
+      RECT ( 2.005 0.5 ) ( 2.065 0.67 ) ;
+      RECT ( 4.655 0.67 ) ( 4.945 0.73 ) ;
+      RECT ( 1.775 0.44 ) ( 2.065 0.5 ) ;
+      RECT ( 3.215 0.51 ) ( 3.505 0.57 ) ;
+      RECT ( 0.575 0.24 ) ( 0.865 0.34 ) ;
+      RECT ( 3.215 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.505 0.51 ) ;
+      RECT ( 4.655 0.5 ) ( 4.715 0.67 ) ;
+      RECT ( 4.885 0.5 ) ( 4.945 0.67 ) ;
+      RECT ( 7.535 0.67 ) ( 7.825 0.73 ) ;
+      RECT ( 4.655 0.44 ) ( 4.945 0.5 ) ;
+      RECT ( 6.095 0.51 ) ( 6.385 0.57 ) ;
+      RECT ( 3.215 0.24 ) ( 3.505 0.34 ) ;
+      RECT ( 6.095 0.34 ) ( 6.155 0.51 ) ;
+      RECT ( 6.325 0.34 ) ( 6.385 0.51 ) ;
+      RECT ( 7.535 0.5 ) ( 7.595 0.67 ) ;
+      RECT ( 7.765 0.5 ) ( 7.825 0.67 ) ;
+      RECT ( 10.415 0.67 ) ( 10.705 0.73 ) ;
+      RECT ( 7.535 0.44 ) ( 7.825 0.5 ) ;
+      RECT ( 8.975 0.51 ) ( 9.265 0.57 ) ;
+      RECT ( 6.095 0.24 ) ( 6.385 0.34 ) ;
+      RECT ( 8.975 0.34 ) ( 9.035 0.51 ) ;
+      RECT ( 9.205 0.34 ) ( 9.265 0.51 ) ;
+      RECT ( 10.415 0.5 ) ( 10.475 0.67 ) ;
+      RECT ( 10.645 0.5 ) ( 10.705 0.67 ) ;
+      RECT ( 10.415 0.44 ) ( 10.705 0.5 ) ;
+      RECT ( 11.855 0.51 ) ( 12.145 0.57 ) ;
+      RECT ( 8.975 0.24 ) ( 9.265 0.34 ) ;
+      RECT ( 11.855 0.34 ) ( 11.915 0.51 ) ;
+      RECT ( 12.085 0.34 ) ( 12.145 0.51 ) ;
+      RECT ( 11.855 0.24 ) ( 12.145 0.34 ) ;
+      RECT ( 0 0.085 ) ( 12.96 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.355 0.085 ) ;
+      RECT ( 7.525 -0.085 ) ( 7.835 0.085 ) ;
+      RECT ( 8.005 -0.085 ) ( 8.315 0.085 ) ;
+      RECT ( 8.485 -0.085 ) ( 8.795 0.085 ) ;
+      RECT ( 8.965 -0.085 ) ( 9.275 0.085 ) ;
+      RECT ( 9.445 -0.085 ) ( 9.755 0.085 ) ;
+      RECT ( 9.925 -0.085 ) ( 10.235 0.085 ) ;
+      RECT ( 10.405 -0.085 ) ( 10.715 0.085 ) ;
+      RECT ( 10.885 -0.085 ) ( 11.195 0.085 ) ;
+      RECT ( 11.365 -0.085 ) ( 11.675 0.085 ) ;
+      RECT ( 11.845 -0.085 ) ( 12.155 0.085 ) ;
+      RECT ( 12.325 -0.085 ) ( 12.635 0.085 ) ;
+      RECT ( 12.805 -0.085 ) ( 12.96 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 12.96 -0.085 ) ;
+
+  end
+END CLKBUF1
diff --git a/cells/lef/CLKBUF1.lef.temp b/cells/lef/CLKBUF1.lef.temp
new file mode 100644
index 0000000..9be703c
--- /dev/null
+++ b/cells/lef/CLKBUF1.lef.temp
@@ -0,0 +1,64 @@
+MACRO CLKBUF1
+ CLASS CORE ;
+ FOREIGN CLKBUF1 0 0 ;
+ SIZE 12.96 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 12.96000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 12.96000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 12.96000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 12.96000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 10.41500000 0.44000000 10.70500000 0.73000000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.54000000 2.95000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+        RECT 1.37000000 2.07000000 1.51000000 2.67500000 ;
+        RECT 2.81000000 2.07000000 2.95000000 2.67500000 ;
+        RECT 1.37000000 2.67500000 2.95000000 2.81500000 ;
+    END
+  END A
+
+END CLKBUF1
diff --git a/cells/lef/INV.lef b/cells/lef/INV.lef
new file mode 100644
index 0000000..c4ff98d
--- /dev/null
+++ b/cells/lef/INV.lef
@@ -0,0 +1,65 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INV
+  CLASS CORE ;
+  FOREIGN INV ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 2.880 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.580 2.200 0.870 2.490 ;
+        RECT 0.650 0.730 0.790 2.200 ;
+        RECT 0.580 0.440 0.870 0.730 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+        RECT 1.760 2.740 2.090 3.090 ;
+        RECT 0.560 2.180 0.890 2.510 ;
+        RECT 1.280 1.760 1.610 2.090 ;
+        RECT 1.280 0.830 1.610 1.160 ;
+        RECT 0.560 0.420 0.890 0.750 ;
+        RECT 1.760 0.240 2.090 0.590 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+  END
+END INV
+END LIBRARY
+
diff --git a/cells/lef/INV.lef.beforemagic b/cells/lef/INV.lef.beforemagic
new file mode 100644
index 0000000..53f242b
--- /dev/null
+++ b/cells/lef/INV.lef.beforemagic
@@ -0,0 +1,75 @@
+MACRO INV
+ CLASS CORE ;
+ FOREIGN INV 0 0 ;
+ SIZE 2.88 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER li1 ;
+      RECT ( 0 -0.24 ) ( 2.88 0.24 ) ;
+      RECT ( 1.755 0.24 ) ( 2.085 0.59 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.75 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 2.09 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.505 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 3.09 ) ;
+      RECT ( 0 3.09 ) ( 2.88 3.57 ) ;
+    LAYER li1 ;
+    LAYER li1 ;
+
+  end
+END INV
diff --git a/cells/lef/INV.lef.temp b/cells/lef/INV.lef.temp
new file mode 100644
index 0000000..537b72f
--- /dev/null
+++ b/cells/lef/INV.lef.temp
@@ -0,0 +1,60 @@
+MACRO INV
+ CLASS CORE ;
+ FOREIGN INV 0 0 ;
+ SIZE 2.88 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+END INV
diff --git a/cells/lef/INVX1.lef b/cells/lef/INVX1.lef
new file mode 100644
index 0000000..c033b16
--- /dev/null
+++ b/cells/lef/INVX1.lef
@@ -0,0 +1,65 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX1
+  CLASS CORE ;
+  FOREIGN INVX1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 2.880 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.580 2.200 0.870 2.490 ;
+        RECT 0.650 0.730 0.790 2.200 ;
+        RECT 0.580 0.440 0.870 0.730 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+        RECT 1.760 2.740 2.090 3.090 ;
+        RECT 0.560 2.180 0.890 2.510 ;
+        RECT 1.280 1.760 1.610 2.090 ;
+        RECT 1.280 0.830 1.610 1.160 ;
+        RECT 0.560 0.420 0.890 0.750 ;
+        RECT 1.760 0.240 2.090 0.590 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+  END
+END INVX1
+END LIBRARY
+
diff --git a/cells/lef/INVX1.lef.beforemagic b/cells/lef/INVX1.lef.beforemagic
new file mode 100644
index 0000000..7189061
--- /dev/null
+++ b/cells/lef/INVX1.lef.beforemagic
@@ -0,0 +1,75 @@
+MACRO INVX1
+ CLASS CORE ;
+ FOREIGN INVX1 0 0 ;
+ SIZE 2.88 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER li1 ;
+      RECT ( 0 -0.24 ) ( 2.88 0.24 ) ;
+      RECT ( 1.755 0.24 ) ( 2.085 0.59 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.75 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 2.09 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.505 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 3.09 ) ;
+      RECT ( 0 3.09 ) ( 2.88 3.57 ) ;
+    LAYER li1 ;
+    LAYER li1 ;
+
+  end
+END INVX1
diff --git a/cells/lef/INVX1.lef.temp b/cells/lef/INVX1.lef.temp
new file mode 100644
index 0000000..731c3cb
--- /dev/null
+++ b/cells/lef/INVX1.lef.temp
@@ -0,0 +1,60 @@
+MACRO INVX1
+ CLASS CORE ;
+ FOREIGN INVX1 0 0 ;
+ SIZE 2.88 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+END INVX1
diff --git a/cells/lef/INVX2.lef b/cells/lef/INVX2.lef
new file mode 100644
index 0000000..b92c1ea
--- /dev/null
+++ b/cells/lef/INVX2.lef
@@ -0,0 +1,65 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX2
+  CLASS CORE ;
+  FOREIGN INVX2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 2.880 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.580 2.200 0.870 2.490 ;
+        RECT 0.650 0.730 0.790 2.200 ;
+        RECT 0.580 0.440 0.870 0.730 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+        RECT 1.760 2.740 2.090 3.090 ;
+        RECT 0.560 2.180 0.890 2.510 ;
+        RECT 1.280 1.760 1.610 2.090 ;
+        RECT 1.280 0.830 1.610 1.160 ;
+        RECT 0.560 0.420 0.890 0.750 ;
+        RECT 1.760 0.240 2.090 0.590 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+  END
+END INVX2
+END LIBRARY
+
diff --git a/cells/lef/INVX2.lef.beforemagic b/cells/lef/INVX2.lef.beforemagic
new file mode 100644
index 0000000..9d100a4
--- /dev/null
+++ b/cells/lef/INVX2.lef.beforemagic
@@ -0,0 +1,75 @@
+MACRO INVX2
+ CLASS CORE ;
+ FOREIGN INVX2 0 0 ;
+ SIZE 2.88 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER li1 ;
+      RECT ( 0 -0.24 ) ( 2.88 0.24 ) ;
+      RECT ( 1.755 0.24 ) ( 2.085 0.59 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.75 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 2.09 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.505 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 3.09 ) ;
+      RECT ( 0 3.09 ) ( 2.88 3.57 ) ;
+    LAYER li1 ;
+    LAYER li1 ;
+
+  end
+END INVX2
diff --git a/cells/lef/INVX2.lef.temp b/cells/lef/INVX2.lef.temp
new file mode 100644
index 0000000..bc52aac
--- /dev/null
+++ b/cells/lef/INVX2.lef.temp
@@ -0,0 +1,60 @@
+MACRO INVX2
+ CLASS CORE ;
+ FOREIGN INVX2 0 0 ;
+ SIZE 2.88 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+END INVX2
diff --git a/cells/lef/INVX4.lef b/cells/lef/INVX4.lef
new file mode 100644
index 0000000..8aca2de
--- /dev/null
+++ b/cells/lef/INVX4.lef
@@ -0,0 +1,126 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX4
+  CLASS CORE ;
+  FOREIGN INVX4 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 4.320 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.780 2.990 2.070 3.090 ;
+        RECT 1.780 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.070 2.990 ;
+        RECT 1.780 2.760 2.070 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.760 2.990 2.090 3.090 ;
+        RECT 1.760 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.090 2.990 ;
+        RECT 1.760 2.740 2.090 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.780 0.510 2.070 0.570 ;
+        RECT 1.780 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.070 0.510 ;
+        RECT 1.780 0.240 2.070 0.340 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.760 0.510 2.090 0.590 ;
+        RECT 1.760 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.090 0.510 ;
+        RECT 1.760 0.240 2.090 0.340 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.580 2.410 0.870 2.490 ;
+        RECT 3.220 2.410 3.510 2.490 ;
+        RECT 0.580 2.270 3.510 2.410 ;
+        RECT 0.580 2.200 0.870 2.270 ;
+        RECT 3.220 2.200 3.510 2.270 ;
+        RECT 0.650 0.730 0.790 2.200 ;
+        RECT 3.290 0.730 3.430 2.200 ;
+        RECT 0.580 0.440 0.870 0.730 ;
+        RECT 3.220 0.440 3.510 0.730 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 2.000 1.590 2.070 ;
+        RECT 2.740 2.000 3.030 2.070 ;
+        RECT 1.300 1.860 3.030 2.000 ;
+        RECT 1.300 1.780 1.590 1.860 ;
+        RECT 2.740 1.780 3.030 1.860 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 2.810 1.140 2.950 1.780 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+        RECT 2.740 0.850 3.030 1.140 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 0.560 2.430 0.890 2.510 ;
+        RECT 0.560 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.890 2.430 ;
+        RECT 0.560 2.180 0.890 2.260 ;
+        RECT 3.200 2.430 3.530 2.510 ;
+        RECT 3.200 2.260 3.280 2.430 ;
+        RECT 3.450 2.260 3.530 2.430 ;
+        RECT 3.200 2.180 3.530 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.030 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.920 3.050 1.080 ;
+        RECT 2.970 0.910 3.030 0.920 ;
+        RECT 2.720 0.830 3.030 0.910 ;
+        RECT 0.560 0.670 0.890 0.750 ;
+        RECT 0.560 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.890 0.670 ;
+        RECT 0.560 0.420 0.890 0.500 ;
+        RECT 3.200 0.670 3.530 0.750 ;
+        RECT 3.200 0.500 3.280 0.670 ;
+        RECT 3.450 0.500 3.530 0.670 ;
+        RECT 3.200 0.420 3.530 0.500 ;
+  END
+END INVX4
+END LIBRARY
+
diff --git a/cells/lef/INVX4.lef.beforemagic b/cells/lef/INVX4.lef.beforemagic
new file mode 100644
index 0000000..6af5fd7
--- /dev/null
+++ b/cells/lef/INVX4.lef.beforemagic
@@ -0,0 +1,218 @@
+MACRO INVX4
+ CLASS CORE ;
+ FOREIGN INVX4 0 0 ;
+ SIZE 4.32 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 3.21500000 0.44000000 3.50500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 3.29000000 0.73000000 3.43000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+        RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+        RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+        RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 1.85500000 ;
+        RECT 2.73500000 1.78000000 3.02500000 1.85500000 ;
+        RECT 1.29500000 1.85500000 3.02500000 1.99500000 ;
+        RECT 1.29500000 1.99500000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.99500000 3.02500000 2.07000000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 4.32 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.32 3.415 ) ;
+      RECT ( 0 3.09 ) ( 4.32 3.245 ) ;
+      RECT ( 1.755 2.99 ) ( 2.085 3.09 ) ;
+      RECT ( 1.755 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.085 2.99 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 2.82 ) ;
+      RECT ( 0.555 2.425 ) ( 0.885 2.505 ) ;
+      RECT ( 0.555 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.885 2.425 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.255 ) ;
+      RECT ( 3.195 2.425 ) ( 3.525 2.505 ) ;
+      RECT ( 3.195 2.255 ) ( 3.275 2.425 ) ;
+      RECT ( 3.445 2.255 ) ( 3.525 2.425 ) ;
+      RECT ( 3.195 2.175 ) ( 3.525 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.025 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 2.005 ) ( 3.025 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.005 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.92 ) ( 3.045 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 0.92 ) ;
+      RECT ( 2.715 0.825 ) ( 3.025 0.905 ) ;
+      RECT ( 0.555 0.67 ) ( 0.885 0.75 ) ;
+      RECT ( 0.555 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.885 0.67 ) ;
+      RECT ( 3.195 0.67 ) ( 3.525 0.75 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.5 ) ;
+      RECT ( 1.755 0.51 ) ( 2.085 0.59 ) ;
+      RECT ( 1.755 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.085 0.51 ) ;
+      RECT ( 3.195 0.5 ) ( 3.275 0.67 ) ;
+      RECT ( 3.445 0.5 ) ( 3.525 0.67 ) ;
+      RECT ( 3.195 0.42 ) ( 3.525 0.5 ) ;
+      RECT ( 1.755 0.24 ) ( 2.085 0.34 ) ;
+      RECT ( 0 0.085 ) ( 4.32 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.32 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 4.32 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 4.32 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.32 3.415 ) ;
+      RECT ( 0 3.09 ) ( 4.32 3.245 ) ;
+      RECT ( 1.775 2.99 ) ( 2.065 3.09 ) ;
+      RECT ( 1.775 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.065 2.99 ) ;
+      RECT ( 1.775 2.76 ) ( 2.065 2.82 ) ;
+      RECT ( 0.575 2.425 ) ( 0.865 2.485 ) ;
+      RECT ( 0.575 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.41 ) ( 0.865 2.425 ) ;
+      RECT ( 3.215 2.425 ) ( 3.505 2.485 ) ;
+      RECT ( 3.215 2.41 ) ( 3.275 2.425 ) ;
+      RECT ( 0.805 2.27 ) ( 3.275 2.41 ) ;
+      RECT ( 0.805 2.255 ) ( 0.865 2.27 ) ;
+      RECT ( 0.575 2.195 ) ( 0.865 2.255 ) ;
+      RECT ( 3.215 2.255 ) ( 3.275 2.27 ) ;
+      RECT ( 3.445 2.255 ) ( 3.505 2.425 ) ;
+      RECT ( 3.215 2.195 ) ( 3.505 2.255 ) ;
+      RECT ( 0.65 0.73 ) ( 0.79 2.195 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.995 ) ( 1.585 2.01 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.995 ) ( 2.795 2.01 ) ;
+      RECT ( 1.525 1.855 ) ( 2.795 1.995 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 1.855 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 1.855 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
+      RECT ( 2.81 1.135 ) ( 2.95 1.78 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 1.075 ) ;
+      RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
+      RECT ( 3.29 0.73 ) ( 3.43 2.195 ) ;
+      RECT ( 0.575 0.67 ) ( 0.865 0.73 ) ;
+      RECT ( 0.575 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.865 0.67 ) ;
+      RECT ( 3.215 0.67 ) ( 3.505 0.73 ) ;
+      RECT ( 0.575 0.44 ) ( 0.865 0.5 ) ;
+      RECT ( 1.775 0.51 ) ( 2.065 0.57 ) ;
+      RECT ( 1.775 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.065 0.51 ) ;
+      RECT ( 3.215 0.5 ) ( 3.275 0.67 ) ;
+      RECT ( 3.445 0.5 ) ( 3.505 0.67 ) ;
+      RECT ( 3.215 0.44 ) ( 3.505 0.5 ) ;
+      RECT ( 1.775 0.24 ) ( 2.065 0.34 ) ;
+      RECT ( 0 0.085 ) ( 4.32 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.32 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 4.32 -0.085 ) ;
+
+  end
+END INVX4
diff --git a/cells/lef/INVX4.lef.temp b/cells/lef/INVX4.lef.temp
new file mode 100644
index 0000000..2f0a182
--- /dev/null
+++ b/cells/lef/INVX4.lef.temp
@@ -0,0 +1,72 @@
+MACRO INVX4
+ CLASS CORE ;
+ FOREIGN INVX4 0 0 ;
+ SIZE 4.32 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 3.21500000 0.44000000 3.50500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 3.29000000 0.73000000 3.43000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+        RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+        RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+        RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 1.85500000 ;
+        RECT 2.73500000 1.78000000 3.02500000 1.85500000 ;
+        RECT 1.29500000 1.85500000 3.02500000 1.99500000 ;
+        RECT 1.29500000 1.99500000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.99500000 3.02500000 2.07000000 ;
+    END
+  END A
+
+END INVX4
diff --git a/cells/lef/INVX8.lef b/cells/lef/INVX8.lef
new file mode 100644
index 0000000..5764cca
--- /dev/null
+++ b/cells/lef/INVX8.lef
@@ -0,0 +1,174 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX8
+  CLASS CORE ;
+  FOREIGN INVX8 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 7.200 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 7.200 3.570 ;
+        RECT 1.780 2.990 2.070 3.090 ;
+        RECT 1.780 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.070 2.990 ;
+        RECT 1.780 2.760 2.070 2.820 ;
+        RECT 4.660 2.990 4.950 3.090 ;
+        RECT 4.660 2.820 4.720 2.990 ;
+        RECT 4.890 2.820 4.950 2.990 ;
+        RECT 4.660 2.760 4.950 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 7.200 3.570 ;
+        RECT 1.760 2.990 2.090 3.090 ;
+        RECT 1.760 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.090 2.990 ;
+        RECT 1.760 2.740 2.090 2.820 ;
+        RECT 4.640 2.990 4.970 3.090 ;
+        RECT 4.640 2.820 4.720 2.990 ;
+        RECT 4.890 2.820 4.970 2.990 ;
+        RECT 4.640 2.740 4.970 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 7.200 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.760 0.510 2.090 0.590 ;
+        RECT 1.760 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.090 0.510 ;
+        RECT 1.760 0.240 2.090 0.340 ;
+        RECT 4.640 0.510 4.970 0.590 ;
+        RECT 4.640 0.340 4.720 0.510 ;
+        RECT 4.890 0.340 4.970 0.510 ;
+        RECT 4.640 0.240 4.970 0.340 ;
+        RECT 0.000 -0.240 7.200 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.820 2.410 1.110 2.490 ;
+        RECT 3.700 2.410 3.990 2.490 ;
+        RECT 6.100 2.410 6.390 2.490 ;
+        RECT 0.820 2.270 6.390 2.410 ;
+        RECT 0.820 2.200 1.110 2.270 ;
+        RECT 3.700 2.200 3.990 2.270 ;
+        RECT 6.100 2.200 6.390 2.270 ;
+        RECT 0.890 0.730 1.030 2.200 ;
+        RECT 6.170 0.730 6.310 2.200 ;
+        RECT 0.820 0.440 1.110 0.730 ;
+        RECT 3.700 0.660 3.990 0.730 ;
+        RECT 6.100 0.660 6.390 0.730 ;
+        RECT 3.700 0.520 6.390 0.660 ;
+        RECT 3.700 0.440 3.990 0.520 ;
+        RECT 6.100 0.440 6.390 0.520 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 2.740 1.780 3.030 2.070 ;
+        RECT 4.180 1.780 4.470 2.070 ;
+        RECT 5.620 1.780 5.910 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 2.810 1.140 2.950 1.780 ;
+        RECT 4.250 1.140 4.390 1.780 ;
+        RECT 5.690 1.140 5.830 1.780 ;
+        RECT 1.300 1.060 1.590 1.140 ;
+        RECT 2.740 1.060 3.030 1.140 ;
+        RECT 4.180 1.060 4.470 1.140 ;
+        RECT 5.620 1.060 5.910 1.140 ;
+        RECT 1.300 0.920 5.910 1.060 ;
+        RECT 1.300 0.850 1.590 0.920 ;
+        RECT 2.740 0.850 3.030 0.920 ;
+        RECT 4.180 0.850 4.470 0.920 ;
+        RECT 5.620 0.850 5.910 0.920 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 0.800 2.430 1.130 2.510 ;
+        RECT 0.800 2.260 0.880 2.430 ;
+        RECT 1.050 2.260 1.130 2.430 ;
+        RECT 0.800 2.180 1.130 2.260 ;
+        RECT 3.680 2.430 4.010 2.510 ;
+        RECT 3.680 2.260 3.760 2.430 ;
+        RECT 3.930 2.260 4.010 2.430 ;
+        RECT 6.080 2.430 6.410 2.510 ;
+        RECT 6.080 2.260 6.160 2.430 ;
+        RECT 6.330 2.260 6.410 2.430 ;
+        RECT 3.680 2.180 3.990 2.260 ;
+        RECT 6.100 2.180 6.410 2.260 ;
+        RECT 1.300 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 5.600 2.010 5.930 2.090 ;
+        RECT 5.600 1.840 5.680 2.010 ;
+        RECT 5.850 1.840 5.930 2.010 ;
+        RECT 5.600 1.760 5.930 1.840 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.920 4.240 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 4.180 0.910 4.240 0.920 ;
+        RECT 4.410 0.910 4.490 1.080 ;
+        RECT 4.180 0.830 4.490 0.910 ;
+        RECT 5.600 1.080 5.930 1.160 ;
+        RECT 5.600 0.910 5.680 1.080 ;
+        RECT 5.850 0.910 5.930 1.080 ;
+        RECT 5.600 0.830 5.930 0.910 ;
+        RECT 0.800 0.670 1.110 0.750 ;
+        RECT 0.800 0.500 0.880 0.670 ;
+        RECT 1.050 0.660 1.110 0.670 ;
+        RECT 3.680 0.670 4.010 0.750 ;
+        RECT 1.050 0.500 1.130 0.660 ;
+        RECT 0.800 0.420 1.130 0.500 ;
+        RECT 3.680 0.500 3.760 0.670 ;
+        RECT 3.930 0.500 4.010 0.670 ;
+        RECT 6.100 0.670 6.410 0.750 ;
+        RECT 6.100 0.660 6.160 0.670 ;
+        RECT 3.680 0.420 4.010 0.500 ;
+        RECT 6.080 0.500 6.160 0.660 ;
+        RECT 6.330 0.500 6.410 0.670 ;
+        RECT 6.080 0.420 6.410 0.500 ;
+  END
+END INVX8
+END LIBRARY
+
diff --git a/cells/lef/INVX8.lef.beforemagic b/cells/lef/INVX8.lef.beforemagic
new file mode 100644
index 0000000..4aa822d
--- /dev/null
+++ b/cells/lef/INVX8.lef.beforemagic
@@ -0,0 +1,330 @@
+MACRO INVX8
+ CLASS CORE ;
+ FOREIGN INVX8 0 0 ;
+ SIZE 7.2 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 3.69500000 0.44000000 3.98500000 0.51500000 ;
+        RECT 6.09500000 0.44000000 6.38500000 0.51500000 ;
+        RECT 3.69500000 0.51500000 6.38500000 0.65500000 ;
+        RECT 0.81500000 0.44000000 1.10500000 0.73000000 ;
+        RECT 3.69500000 0.65500000 3.98500000 0.73000000 ;
+        RECT 6.09500000 0.65500000 6.38500000 0.73000000 ;
+        RECT 0.89000000 0.73000000 1.03000000 2.19500000 ;
+        RECT 6.17000000 0.73000000 6.31000000 2.19500000 ;
+        RECT 0.81500000 2.19500000 1.10500000 2.27000000 ;
+        RECT 3.69500000 2.19500000 3.98500000 2.27000000 ;
+        RECT 6.09500000 2.19500000 6.38500000 2.27000000 ;
+        RECT 0.81500000 2.27000000 6.38500000 2.41000000 ;
+        RECT 0.81500000 2.41000000 1.10500000 2.48500000 ;
+        RECT 3.69500000 2.41000000 3.98500000 2.48500000 ;
+        RECT 6.09500000 2.41000000 6.38500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+        RECT 2.73500000 0.84500000 3.02500000 0.92000000 ;
+        RECT 4.17500000 0.84500000 4.46500000 0.92000000 ;
+        RECT 5.61500000 0.84500000 5.90500000 0.92000000 ;
+        RECT 1.29500000 0.92000000 5.90500000 1.06000000 ;
+        RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+        RECT 2.73500000 1.06000000 3.02500000 1.13500000 ;
+        RECT 4.17500000 1.06000000 4.46500000 1.13500000 ;
+        RECT 5.61500000 1.06000000 5.90500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 5.69000000 1.13500000 5.83000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+        RECT 5.61500000 1.78000000 5.90500000 2.07000000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 7.2 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.2 3.415 ) ;
+      RECT ( 0 3.09 ) ( 7.2 3.245 ) ;
+      RECT ( 1.755 2.99 ) ( 2.085 3.09 ) ;
+      RECT ( 1.755 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.085 2.99 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 2.82 ) ;
+      RECT ( 4.635 2.99 ) ( 4.965 3.09 ) ;
+      RECT ( 4.635 2.82 ) ( 4.715 2.99 ) ;
+      RECT ( 4.885 2.82 ) ( 4.965 2.99 ) ;
+      RECT ( 4.635 2.74 ) ( 4.965 2.82 ) ;
+      RECT ( 0.795 2.425 ) ( 1.125 2.505 ) ;
+      RECT ( 0.795 2.255 ) ( 0.875 2.425 ) ;
+      RECT ( 1.045 2.255 ) ( 1.125 2.425 ) ;
+      RECT ( 0.795 2.175 ) ( 1.125 2.255 ) ;
+      RECT ( 3.675 2.425 ) ( 4.005 2.505 ) ;
+      RECT ( 3.675 2.255 ) ( 3.755 2.425 ) ;
+      RECT ( 3.925 2.26 ) ( 4.005 2.425 ) ;
+      RECT ( 6.075 2.425 ) ( 6.405 2.505 ) ;
+      RECT ( 6.075 2.26 ) ( 6.155 2.425 ) ;
+      RECT ( 3.925 2.255 ) ( 3.985 2.26 ) ;
+      RECT ( 3.675 2.175 ) ( 3.985 2.255 ) ;
+      RECT ( 6.095 2.255 ) ( 6.155 2.26 ) ;
+      RECT ( 6.325 2.255 ) ( 6.405 2.425 ) ;
+      RECT ( 6.095 2.175 ) ( 6.405 2.255 ) ;
+      RECT ( 1.295 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.295 2.005 ) ( 1.355 2.01 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.005 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 5.595 2.01 ) ( 5.925 2.09 ) ;
+      RECT ( 5.595 1.84 ) ( 5.675 2.01 ) ;
+      RECT ( 5.845 1.84 ) ( 5.925 2.01 ) ;
+      RECT ( 5.595 1.76 ) ( 5.925 1.84 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.92 ) ( 4.235 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 0.92 ) ;
+      RECT ( 4.405 0.905 ) ( 4.485 1.075 ) ;
+      RECT ( 4.175 0.825 ) ( 4.485 0.905 ) ;
+      RECT ( 5.595 1.075 ) ( 5.925 1.155 ) ;
+      RECT ( 5.595 0.905 ) ( 5.675 1.075 ) ;
+      RECT ( 5.845 0.905 ) ( 5.925 1.075 ) ;
+      RECT ( 5.595 0.825 ) ( 5.925 0.905 ) ;
+      RECT ( 0.795 0.67 ) ( 1.105 0.75 ) ;
+      RECT ( 0.795 0.5 ) ( 0.875 0.67 ) ;
+      RECT ( 1.045 0.655 ) ( 1.105 0.67 ) ;
+      RECT ( 3.675 0.67 ) ( 4.005 0.75 ) ;
+      RECT ( 1.045 0.5 ) ( 1.125 0.655 ) ;
+      RECT ( 0.795 0.42 ) ( 1.125 0.5 ) ;
+      RECT ( 1.755 0.51 ) ( 2.085 0.59 ) ;
+      RECT ( 1.755 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.085 0.51 ) ;
+      RECT ( 3.675 0.5 ) ( 3.755 0.67 ) ;
+      RECT ( 3.925 0.5 ) ( 4.005 0.67 ) ;
+      RECT ( 6.095 0.67 ) ( 6.405 0.75 ) ;
+      RECT ( 6.095 0.655 ) ( 6.155 0.67 ) ;
+      RECT ( 3.675 0.42 ) ( 4.005 0.5 ) ;
+      RECT ( 4.635 0.51 ) ( 4.965 0.59 ) ;
+      RECT ( 1.755 0.24 ) ( 2.085 0.34 ) ;
+      RECT ( 4.635 0.34 ) ( 4.715 0.51 ) ;
+      RECT ( 4.885 0.34 ) ( 4.965 0.51 ) ;
+      RECT ( 6.075 0.5 ) ( 6.155 0.655 ) ;
+      RECT ( 6.325 0.5 ) ( 6.405 0.67 ) ;
+      RECT ( 6.075 0.42 ) ( 6.405 0.5 ) ;
+      RECT ( 4.635 0.24 ) ( 4.965 0.34 ) ;
+      RECT ( 0 0.085 ) ( 7.2 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.2 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 7.2 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 7.2 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.2 3.415 ) ;
+      RECT ( 0 3.09 ) ( 7.2 3.245 ) ;
+      RECT ( 1.775 2.99 ) ( 2.065 3.09 ) ;
+      RECT ( 1.775 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.065 2.99 ) ;
+      RECT ( 1.775 2.76 ) ( 2.065 2.82 ) ;
+      RECT ( 4.655 2.99 ) ( 4.945 3.09 ) ;
+      RECT ( 4.655 2.82 ) ( 4.715 2.99 ) ;
+      RECT ( 4.885 2.82 ) ( 4.945 2.99 ) ;
+      RECT ( 4.655 2.76 ) ( 4.945 2.82 ) ;
+      RECT ( 0.815 2.425 ) ( 1.105 2.485 ) ;
+      RECT ( 0.815 2.255 ) ( 0.875 2.425 ) ;
+      RECT ( 1.045 2.41 ) ( 1.105 2.425 ) ;
+      RECT ( 3.695 2.425 ) ( 3.985 2.485 ) ;
+      RECT ( 3.695 2.41 ) ( 3.755 2.425 ) ;
+      RECT ( 1.045 2.27 ) ( 3.755 2.41 ) ;
+      RECT ( 1.045 2.255 ) ( 1.105 2.27 ) ;
+      RECT ( 0.815 2.195 ) ( 1.105 2.255 ) ;
+      RECT ( 3.695 2.255 ) ( 3.755 2.27 ) ;
+      RECT ( 3.925 2.41 ) ( 3.985 2.425 ) ;
+      RECT ( 6.095 2.425 ) ( 6.385 2.485 ) ;
+      RECT ( 6.095 2.41 ) ( 6.155 2.425 ) ;
+      RECT ( 3.925 2.27 ) ( 6.155 2.41 ) ;
+      RECT ( 3.925 2.255 ) ( 3.985 2.27 ) ;
+      RECT ( 3.695 2.195 ) ( 3.985 2.255 ) ;
+      RECT ( 6.095 2.255 ) ( 6.155 2.27 ) ;
+      RECT ( 6.325 2.255 ) ( 6.385 2.425 ) ;
+      RECT ( 6.095 2.195 ) ( 6.385 2.255 ) ;
+      RECT ( 0.89 0.73 ) ( 1.03 2.195 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 5.615 2.01 ) ( 5.905 2.07 ) ;
+      RECT ( 5.615 1.84 ) ( 5.675 2.01 ) ;
+      RECT ( 5.845 1.84 ) ( 5.905 2.01 ) ;
+      RECT ( 5.615 1.78 ) ( 5.905 1.84 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
+      RECT ( 2.81 1.135 ) ( 2.95 1.78 ) ;
+      RECT ( 4.25 1.135 ) ( 4.39 1.78 ) ;
+      RECT ( 5.69 1.135 ) ( 5.83 1.78 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 1.06 ) ( 1.585 1.075 ) ;
+      RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
+      RECT ( 2.735 1.06 ) ( 2.795 1.075 ) ;
+      RECT ( 1.525 0.92 ) ( 2.795 1.06 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 0.92 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 0.92 ) ;
+      RECT ( 2.965 1.06 ) ( 3.025 1.075 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 1.06 ) ( 4.235 1.075 ) ;
+      RECT ( 2.965 0.92 ) ( 4.235 1.06 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 0.92 ) ;
+      RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 0.92 ) ;
+      RECT ( 4.405 1.06 ) ( 4.465 1.075 ) ;
+      RECT ( 5.615 1.075 ) ( 5.905 1.135 ) ;
+      RECT ( 5.615 1.06 ) ( 5.675 1.075 ) ;
+      RECT ( 4.405 0.92 ) ( 5.675 1.06 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 0.92 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 5.615 0.905 ) ( 5.675 0.92 ) ;
+      RECT ( 5.845 0.905 ) ( 5.905 1.075 ) ;
+      RECT ( 5.615 0.845 ) ( 5.905 0.905 ) ;
+      RECT ( 6.17 0.73 ) ( 6.31 2.195 ) ;
+      RECT ( 0.815 0.67 ) ( 1.105 0.73 ) ;
+      RECT ( 0.815 0.5 ) ( 0.875 0.67 ) ;
+      RECT ( 1.045 0.5 ) ( 1.105 0.67 ) ;
+      RECT ( 0.815 0.44 ) ( 1.105 0.5 ) ;
+      RECT ( 3.695 0.67 ) ( 3.985 0.73 ) ;
+      RECT ( 3.695 0.5 ) ( 3.755 0.67 ) ;
+      RECT ( 3.925 0.655 ) ( 3.985 0.67 ) ;
+      RECT ( 6.095 0.67 ) ( 6.385 0.73 ) ;
+      RECT ( 6.095 0.655 ) ( 6.155 0.67 ) ;
+      RECT ( 3.925 0.515 ) ( 6.155 0.655 ) ;
+      RECT ( 3.925 0.5 ) ( 3.985 0.515 ) ;
+      RECT ( 3.695 0.44 ) ( 3.985 0.5 ) ;
+      RECT ( 6.095 0.5 ) ( 6.155 0.515 ) ;
+      RECT ( 6.325 0.5 ) ( 6.385 0.67 ) ;
+      RECT ( 6.095 0.44 ) ( 6.385 0.5 ) ;
+      RECT ( 0 0.085 ) ( 7.2 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.2 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 7.2 -0.085 ) ;
+
+  end
+END INVX8
diff --git a/cells/lef/INVX8.lef.temp b/cells/lef/INVX8.lef.temp
new file mode 100644
index 0000000..c90617a
--- /dev/null
+++ b/cells/lef/INVX8.lef.temp
@@ -0,0 +1,86 @@
+MACRO INVX8
+ CLASS CORE ;
+ FOREIGN INVX8 0 0 ;
+ SIZE 7.2 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 3.69500000 0.44000000 3.98500000 0.51500000 ;
+        RECT 6.09500000 0.44000000 6.38500000 0.51500000 ;
+        RECT 3.69500000 0.51500000 6.38500000 0.65500000 ;
+        RECT 0.81500000 0.44000000 1.10500000 0.73000000 ;
+        RECT 3.69500000 0.65500000 3.98500000 0.73000000 ;
+        RECT 6.09500000 0.65500000 6.38500000 0.73000000 ;
+        RECT 0.89000000 0.73000000 1.03000000 2.19500000 ;
+        RECT 6.17000000 0.73000000 6.31000000 2.19500000 ;
+        RECT 0.81500000 2.19500000 1.10500000 2.27000000 ;
+        RECT 3.69500000 2.19500000 3.98500000 2.27000000 ;
+        RECT 6.09500000 2.19500000 6.38500000 2.27000000 ;
+        RECT 0.81500000 2.27000000 6.38500000 2.41000000 ;
+        RECT 0.81500000 2.41000000 1.10500000 2.48500000 ;
+        RECT 3.69500000 2.41000000 3.98500000 2.48500000 ;
+        RECT 6.09500000 2.41000000 6.38500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+        RECT 2.73500000 0.84500000 3.02500000 0.92000000 ;
+        RECT 4.17500000 0.84500000 4.46500000 0.92000000 ;
+        RECT 5.61500000 0.84500000 5.90500000 0.92000000 ;
+        RECT 1.29500000 0.92000000 5.90500000 1.06000000 ;
+        RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+        RECT 2.73500000 1.06000000 3.02500000 1.13500000 ;
+        RECT 4.17500000 1.06000000 4.46500000 1.13500000 ;
+        RECT 5.61500000 1.06000000 5.90500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 5.69000000 1.13500000 5.83000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+        RECT 5.61500000 1.78000000 5.90500000 2.07000000 ;
+    END
+  END A
+
+END INVX8
diff --git a/cells/lef/MUX2X1.lef b/cells/lef/MUX2X1.lef
new file mode 100644
index 0000000..66bb32b
--- /dev/null
+++ b/cells/lef/MUX2X1.lef
@@ -0,0 +1,223 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO MUX2X1
+  CLASS CORE ;
+  FOREIGN MUX2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 8.640 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 8.640 3.570 ;
+        RECT 1.780 2.990 2.070 3.090 ;
+        RECT 1.780 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.070 2.990 ;
+        RECT 1.780 2.760 2.070 2.820 ;
+        RECT 7.540 2.990 7.830 3.090 ;
+        RECT 7.540 2.820 7.600 2.990 ;
+        RECT 7.770 2.820 7.830 2.990 ;
+        RECT 7.540 2.760 7.830 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 8.640 3.570 ;
+        RECT 1.760 2.990 2.090 3.090 ;
+        RECT 1.760 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.090 2.990 ;
+        RECT 1.760 2.740 2.090 2.820 ;
+        RECT 7.520 2.990 7.850 3.090 ;
+        RECT 7.520 2.820 7.600 2.990 ;
+        RECT 7.770 2.820 7.850 2.990 ;
+        RECT 7.520 2.740 7.850 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.780 0.510 2.070 0.570 ;
+        RECT 1.780 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.070 0.510 ;
+        RECT 1.780 0.240 2.070 0.340 ;
+        RECT 7.540 0.510 7.830 0.570 ;
+        RECT 7.540 0.340 7.600 0.510 ;
+        RECT 7.770 0.340 7.830 0.510 ;
+        RECT 7.540 0.240 7.830 0.340 ;
+        RECT 0.000 -0.240 8.640 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.760 0.510 2.090 0.590 ;
+        RECT 1.760 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.090 0.510 ;
+        RECT 1.760 0.240 2.090 0.340 ;
+        RECT 7.520 0.510 7.850 0.590 ;
+        RECT 7.520 0.340 7.600 0.510 ;
+        RECT 7.770 0.340 7.850 0.510 ;
+        RECT 7.520 0.240 7.850 0.340 ;
+        RECT 0.000 -0.240 8.640 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 5.140 2.410 5.430 2.490 ;
+        RECT 5.140 2.270 6.310 2.410 ;
+        RECT 5.140 2.200 5.430 2.270 ;
+        RECT 5.140 0.660 5.430 0.730 ;
+        RECT 6.170 0.660 6.310 2.270 ;
+        RECT 5.140 0.520 6.310 0.660 ;
+        RECT 5.140 0.440 5.430 0.520 ;
+    END
+  END Y
+  PIN S
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.390 1.590 1.680 ;
+        RECT 1.370 1.140 1.510 1.390 ;
+        RECT 1.300 1.060 1.590 1.140 ;
+        RECT 3.220 1.060 3.510 1.140 ;
+        RECT 1.300 0.920 3.510 1.060 ;
+        RECT 1.300 0.850 1.590 0.920 ;
+        RECT 3.220 0.850 3.510 0.920 ;
+    END
+  END S
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 2.740 1.250 3.030 1.540 ;
+    END
+  END A
+  PIN B
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 7.060 1.780 7.350 2.070 ;
+        RECT 7.130 1.140 7.270 1.780 ;
+        RECT 7.060 0.850 7.350 1.140 ;
+    END
+  END B
+  OBS
+      LAYER li1 ;
+        RECT 0.560 2.430 0.890 2.510 ;
+        RECT 0.560 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.890 2.430 ;
+        RECT 5.120 2.430 5.450 2.510 ;
+        RECT 5.120 2.260 5.200 2.430 ;
+        RECT 5.370 2.260 5.450 2.430 ;
+        RECT 0.560 2.180 0.890 2.260 ;
+        RECT 1.360 2.090 1.530 2.260 ;
+        RECT 5.120 2.180 5.450 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 5.620 2.010 5.930 2.090 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 5.600 1.840 5.680 2.010 ;
+        RECT 5.850 1.840 5.930 2.010 ;
+        RECT 5.600 1.760 5.930 1.840 ;
+        RECT 7.040 2.010 7.370 2.090 ;
+        RECT 7.040 1.840 7.120 2.010 ;
+        RECT 7.290 1.840 7.370 2.010 ;
+        RECT 7.040 1.760 7.370 1.840 ;
+        RECT 1.360 1.620 1.530 1.760 ;
+        RECT 2.800 1.480 2.970 1.760 ;
+        RECT 2.800 1.160 2.970 1.310 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 3.450 0.910 4.240 1.080 ;
+        RECT 4.410 0.910 4.490 1.080 ;
+        RECT 5.600 1.080 5.930 1.160 ;
+        RECT 5.600 0.920 5.680 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 4.160 0.830 4.490 0.910 ;
+        RECT 5.620 0.910 5.680 0.920 ;
+        RECT 5.850 0.910 5.930 1.080 ;
+        RECT 5.620 0.830 5.930 0.910 ;
+        RECT 7.040 1.080 7.370 1.160 ;
+        RECT 7.040 0.910 7.120 1.080 ;
+        RECT 7.290 0.910 7.370 1.080 ;
+        RECT 7.040 0.830 7.370 0.910 ;
+        RECT 0.560 0.670 0.890 0.750 ;
+        RECT 0.560 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.890 0.670 ;
+        RECT 0.560 0.420 0.890 0.500 ;
+        RECT 5.120 0.670 5.450 0.750 ;
+        RECT 5.120 0.500 5.200 0.670 ;
+        RECT 5.370 0.500 5.450 0.670 ;
+        RECT 5.120 0.420 5.450 0.500 ;
+      LAYER met1 ;
+        RECT 0.580 2.430 0.870 2.490 ;
+        RECT 0.580 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.870 2.430 ;
+        RECT 0.580 2.200 0.870 2.260 ;
+        RECT 1.300 2.430 1.590 2.490 ;
+        RECT 1.300 2.260 1.360 2.430 ;
+        RECT 1.530 2.410 1.590 2.430 ;
+        RECT 1.530 2.270 4.870 2.410 ;
+        RECT 1.530 2.260 1.590 2.270 ;
+        RECT 1.300 2.200 1.590 2.260 ;
+        RECT 0.650 2.000 0.790 2.200 ;
+        RECT 4.180 2.010 4.470 2.070 ;
+        RECT 4.180 2.000 4.240 2.010 ;
+        RECT 0.650 1.860 4.240 2.000 ;
+        RECT 0.650 0.730 0.790 1.860 ;
+        RECT 4.180 1.840 4.240 1.860 ;
+        RECT 4.410 1.840 4.470 2.010 ;
+        RECT 4.730 2.000 4.870 2.270 ;
+        RECT 5.620 2.010 5.910 2.070 ;
+        RECT 5.620 2.000 5.680 2.010 ;
+        RECT 4.730 1.860 5.680 2.000 ;
+        RECT 4.180 1.780 4.470 1.840 ;
+        RECT 5.620 1.840 5.680 1.860 ;
+        RECT 5.850 1.840 5.910 2.010 ;
+        RECT 5.620 1.780 5.910 1.840 ;
+        RECT 4.250 1.060 4.390 1.780 ;
+        RECT 5.620 1.080 5.910 1.140 ;
+        RECT 5.620 1.060 5.680 1.080 ;
+        RECT 4.250 0.920 5.680 1.060 ;
+        RECT 5.620 0.910 5.680 0.920 ;
+        RECT 5.850 0.910 5.910 1.080 ;
+        RECT 5.620 0.850 5.910 0.910 ;
+        RECT 0.580 0.670 0.870 0.730 ;
+        RECT 0.580 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.870 0.670 ;
+        RECT 0.580 0.440 0.870 0.500 ;
+  END
+END MUX2X1
+END LIBRARY
+
diff --git a/cells/lef/MUX2X1.lef.beforemagic b/cells/lef/MUX2X1.lef.beforemagic
new file mode 100644
index 0000000..4ccc250
--- /dev/null
+++ b/cells/lef/MUX2X1.lef.beforemagic
@@ -0,0 +1,354 @@
+MACRO MUX2X1
+ CLASS CORE ;
+ FOREIGN MUX2X1 0 0 ;
+ SIZE 8.64 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 8.64000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 8.64000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 8.64000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 8.64000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 5.13500000 0.44000000 5.42500000 0.51500000 ;
+        RECT 5.13500000 0.51500000 6.31000000 0.65500000 ;
+        RECT 5.13500000 0.65500000 5.42500000 0.73000000 ;
+        RECT 5.13500000 2.19500000 5.42500000 2.27000000 ;
+        RECT 6.17000000 0.65500000 6.31000000 2.27000000 ;
+        RECT 5.13500000 2.27000000 6.31000000 2.41000000 ;
+        RECT 5.13500000 2.41000000 5.42500000 2.48500000 ;
+    END
+  END Y
+
+  PIN S
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+        RECT 3.21500000 0.84500000 3.50500000 0.92000000 ;
+        RECT 1.29500000 0.92000000 3.50500000 1.06000000 ;
+        RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+        RECT 3.21500000 1.06000000 3.50500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.38500000 ;
+        RECT 1.29500000 1.38500000 1.58500000 1.67500000 ;
+    END
+  END S
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 7.05500000 0.84500000 7.34500000 1.13500000 ;
+        RECT 7.13000000 1.13500000 7.27000000 1.78000000 ;
+        RECT 7.05500000 1.78000000 7.34500000 2.07000000 ;
+    END
+  END B
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 8.64 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.355 3.415 ) ;
+      RECT ( 7.525 3.245 ) ( 7.835 3.415 ) ;
+      RECT ( 8.005 3.245 ) ( 8.315 3.415 ) ;
+      RECT ( 8.485 3.245 ) ( 8.64 3.415 ) ;
+      RECT ( 0 3.09 ) ( 8.64 3.245 ) ;
+      RECT ( 1.755 2.99 ) ( 2.085 3.09 ) ;
+      RECT ( 1.755 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.085 2.99 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 2.82 ) ;
+      RECT ( 7.515 2.99 ) ( 7.845 3.09 ) ;
+      RECT ( 7.515 2.82 ) ( 7.595 2.99 ) ;
+      RECT ( 7.765 2.82 ) ( 7.845 2.99 ) ;
+      RECT ( 7.515 2.74 ) ( 7.845 2.82 ) ;
+      RECT ( 0.555 2.425 ) ( 0.885 2.505 ) ;
+      RECT ( 5.115 2.425 ) ( 5.445 2.505 ) ;
+      RECT ( 0.555 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.885 2.425 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.255 ) ;
+      RECT ( 1.355 2.09 ) ( 1.525 2.255 ) ;
+      RECT ( 5.115 2.255 ) ( 5.195 2.425 ) ;
+      RECT ( 5.365 2.255 ) ( 5.445 2.425 ) ;
+      RECT ( 5.115 2.175 ) ( 5.445 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 5.615 2.01 ) ( 5.925 2.09 ) ;
+      RECT ( 5.615 2.005 ) ( 5.675 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 5.595 1.84 ) ( 5.675 2.005 ) ;
+      RECT ( 5.845 1.84 ) ( 5.925 2.01 ) ;
+      RECT ( 5.595 1.76 ) ( 5.925 1.84 ) ;
+      RECT ( 7.035 2.01 ) ( 7.365 2.09 ) ;
+      RECT ( 7.035 1.84 ) ( 7.115 2.01 ) ;
+      RECT ( 7.285 1.84 ) ( 7.365 2.01 ) ;
+      RECT ( 7.035 1.76 ) ( 7.365 1.84 ) ;
+      RECT ( 1.355 1.615 ) ( 1.525 1.76 ) ;
+      RECT ( 2.795 1.48 ) ( 2.965 1.76 ) ;
+      RECT ( 2.795 1.155 ) ( 2.965 1.31 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 3.445 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.485 1.075 ) ;
+      RECT ( 5.595 1.075 ) ( 5.925 1.155 ) ;
+      RECT ( 5.595 0.92 ) ( 5.675 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.155 0.825 ) ( 4.485 0.905 ) ;
+      RECT ( 5.615 0.905 ) ( 5.675 0.92 ) ;
+      RECT ( 5.845 0.905 ) ( 5.925 1.075 ) ;
+      RECT ( 5.615 0.825 ) ( 5.925 0.905 ) ;
+      RECT ( 7.035 1.075 ) ( 7.365 1.155 ) ;
+      RECT ( 7.035 0.905 ) ( 7.115 1.075 ) ;
+      RECT ( 7.285 0.905 ) ( 7.365 1.075 ) ;
+      RECT ( 7.035 0.825 ) ( 7.365 0.905 ) ;
+      RECT ( 0.555 0.67 ) ( 0.885 0.75 ) ;
+      RECT ( 0.555 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.885 0.67 ) ;
+      RECT ( 5.115 0.67 ) ( 5.445 0.75 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.5 ) ;
+      RECT ( 1.755 0.51 ) ( 2.085 0.59 ) ;
+      RECT ( 1.755 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.085 0.51 ) ;
+      RECT ( 5.115 0.5 ) ( 5.195 0.67 ) ;
+      RECT ( 5.365 0.5 ) ( 5.445 0.67 ) ;
+      RECT ( 5.115 0.42 ) ( 5.445 0.5 ) ;
+      RECT ( 7.515 0.51 ) ( 7.845 0.59 ) ;
+      RECT ( 1.755 0.24 ) ( 2.085 0.34 ) ;
+      RECT ( 7.515 0.34 ) ( 7.595 0.51 ) ;
+      RECT ( 7.765 0.34 ) ( 7.845 0.51 ) ;
+      RECT ( 7.515 0.24 ) ( 7.845 0.34 ) ;
+      RECT ( 0 0.085 ) ( 8.64 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.355 0.085 ) ;
+      RECT ( 7.525 -0.085 ) ( 7.835 0.085 ) ;
+      RECT ( 8.005 -0.085 ) ( 8.315 0.085 ) ;
+      RECT ( 8.485 -0.085 ) ( 8.64 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 8.64 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 8.64 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.355 3.415 ) ;
+      RECT ( 7.525 3.245 ) ( 7.835 3.415 ) ;
+      RECT ( 8.005 3.245 ) ( 8.315 3.415 ) ;
+      RECT ( 8.485 3.245 ) ( 8.64 3.415 ) ;
+      RECT ( 0 3.09 ) ( 8.64 3.245 ) ;
+      RECT ( 1.775 2.99 ) ( 2.065 3.09 ) ;
+      RECT ( 1.775 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.065 2.99 ) ;
+      RECT ( 1.775 2.76 ) ( 2.065 2.82 ) ;
+      RECT ( 7.535 2.99 ) ( 7.825 3.09 ) ;
+      RECT ( 7.535 2.82 ) ( 7.595 2.99 ) ;
+      RECT ( 7.765 2.82 ) ( 7.825 2.99 ) ;
+      RECT ( 7.535 2.76 ) ( 7.825 2.82 ) ;
+      RECT ( 0.575 2.425 ) ( 0.865 2.485 ) ;
+      RECT ( 0.575 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.865 2.425 ) ;
+      RECT ( 0.575 2.195 ) ( 0.865 2.255 ) ;
+      RECT ( 1.295 2.425 ) ( 1.585 2.485 ) ;
+      RECT ( 1.295 2.255 ) ( 1.355 2.425 ) ;
+      RECT ( 1.525 2.41 ) ( 1.585 2.425 ) ;
+      RECT ( 5.135 2.425 ) ( 5.425 2.485 ) ;
+      RECT ( 1.525 2.27 ) ( 4.87 2.41 ) ;
+      RECT ( 1.525 2.255 ) ( 1.585 2.27 ) ;
+      RECT ( 1.295 2.195 ) ( 1.585 2.255 ) ;
+      RECT ( 0.65 1.995 ) ( 0.79 2.195 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.995 ) ( 4.235 2.01 ) ;
+      RECT ( 0.65 1.855 ) ( 4.235 1.995 ) ;
+      RECT ( 0.65 0.73 ) ( 0.79 1.855 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 1.855 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
+      RECT ( 4.73 1.995 ) ( 4.87 2.27 ) ;
+      RECT ( 5.135 2.255 ) ( 5.195 2.425 ) ;
+      RECT ( 5.365 2.41 ) ( 5.425 2.425 ) ;
+      RECT ( 5.365 2.27 ) ( 6.31 2.41 ) ;
+      RECT ( 5.365 2.255 ) ( 5.425 2.27 ) ;
+      RECT ( 5.135 2.195 ) ( 5.425 2.255 ) ;
+      RECT ( 5.615 2.01 ) ( 5.905 2.07 ) ;
+      RECT ( 5.615 1.995 ) ( 5.675 2.01 ) ;
+      RECT ( 4.73 1.855 ) ( 5.675 1.995 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 5.615 1.84 ) ( 5.675 1.855 ) ;
+      RECT ( 5.845 1.84 ) ( 5.905 2.01 ) ;
+      RECT ( 5.615 1.78 ) ( 5.905 1.84 ) ;
+      RECT ( 1.295 1.615 ) ( 1.585 1.675 ) ;
+      RECT ( 1.295 1.445 ) ( 1.355 1.615 ) ;
+      RECT ( 1.525 1.445 ) ( 1.585 1.615 ) ;
+      RECT ( 1.295 1.385 ) ( 1.585 1.445 ) ;
+      RECT ( 2.735 1.48 ) ( 3.025 1.54 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.385 ) ;
+      RECT ( 2.735 1.31 ) ( 2.795 1.48 ) ;
+      RECT ( 2.965 1.31 ) ( 3.025 1.48 ) ;
+      RECT ( 2.735 1.25 ) ( 3.025 1.31 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 1.06 ) ( 1.585 1.075 ) ;
+      RECT ( 3.215 1.075 ) ( 3.505 1.135 ) ;
+      RECT ( 3.215 1.06 ) ( 3.275 1.075 ) ;
+      RECT ( 1.525 0.92 ) ( 3.275 1.06 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 0.92 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 3.215 0.905 ) ( 3.275 0.92 ) ;
+      RECT ( 3.445 0.905 ) ( 3.505 1.075 ) ;
+      RECT ( 4.25 1.06 ) ( 4.39 1.78 ) ;
+      RECT ( 5.615 1.075 ) ( 5.905 1.135 ) ;
+      RECT ( 5.615 1.06 ) ( 5.675 1.075 ) ;
+      RECT ( 4.25 0.92 ) ( 5.675 1.06 ) ;
+      RECT ( 3.215 0.845 ) ( 3.505 0.905 ) ;
+      RECT ( 5.615 0.905 ) ( 5.675 0.92 ) ;
+      RECT ( 5.845 0.905 ) ( 5.905 1.075 ) ;
+      RECT ( 5.615 0.845 ) ( 5.905 0.905 ) ;
+      RECT ( 0.575 0.67 ) ( 0.865 0.73 ) ;
+      RECT ( 0.575 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.865 0.67 ) ;
+      RECT ( 5.135 0.67 ) ( 5.425 0.73 ) ;
+      RECT ( 0.575 0.44 ) ( 0.865 0.5 ) ;
+      RECT ( 1.775 0.51 ) ( 2.065 0.57 ) ;
+      RECT ( 1.775 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.065 0.51 ) ;
+      RECT ( 5.135 0.5 ) ( 5.195 0.67 ) ;
+      RECT ( 5.365 0.655 ) ( 5.425 0.67 ) ;
+      RECT ( 6.17 0.655 ) ( 6.31 2.27 ) ;
+      RECT ( 7.055 2.01 ) ( 7.345 2.07 ) ;
+      RECT ( 7.055 1.84 ) ( 7.115 2.01 ) ;
+      RECT ( 7.285 1.84 ) ( 7.345 2.01 ) ;
+      RECT ( 7.055 1.78 ) ( 7.345 1.84 ) ;
+      RECT ( 7.13 1.135 ) ( 7.27 1.78 ) ;
+      RECT ( 7.055 1.075 ) ( 7.345 1.135 ) ;
+      RECT ( 7.055 0.905 ) ( 7.115 1.075 ) ;
+      RECT ( 7.285 0.905 ) ( 7.345 1.075 ) ;
+      RECT ( 7.055 0.845 ) ( 7.345 0.905 ) ;
+      RECT ( 5.365 0.515 ) ( 6.31 0.655 ) ;
+      RECT ( 5.365 0.5 ) ( 5.425 0.515 ) ;
+      RECT ( 5.135 0.44 ) ( 5.425 0.5 ) ;
+      RECT ( 7.535 0.51 ) ( 7.825 0.57 ) ;
+      RECT ( 1.775 0.24 ) ( 2.065 0.34 ) ;
+      RECT ( 7.535 0.34 ) ( 7.595 0.51 ) ;
+      RECT ( 7.765 0.34 ) ( 7.825 0.51 ) ;
+      RECT ( 7.535 0.24 ) ( 7.825 0.34 ) ;
+      RECT ( 0 0.085 ) ( 8.64 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.355 0.085 ) ;
+      RECT ( 7.525 -0.085 ) ( 7.835 0.085 ) ;
+      RECT ( 8.005 -0.085 ) ( 8.315 0.085 ) ;
+      RECT ( 8.485 -0.085 ) ( 8.64 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 8.64 -0.085 ) ;
+
+  end
+END MUX2X1
diff --git a/cells/lef/MUX2X1.lef.temp b/cells/lef/MUX2X1.lef.temp
new file mode 100644
index 0000000..a8ffb18
--- /dev/null
+++ b/cells/lef/MUX2X1.lef.temp
@@ -0,0 +1,92 @@
+MACRO MUX2X1
+ CLASS CORE ;
+ FOREIGN MUX2X1 0 0 ;
+ SIZE 8.64 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 8.64000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 8.64000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 8.64000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 8.64000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 5.13500000 0.44000000 5.42500000 0.51500000 ;
+        RECT 5.13500000 0.51500000 6.31000000 0.65500000 ;
+        RECT 5.13500000 0.65500000 5.42500000 0.73000000 ;
+        RECT 5.13500000 2.19500000 5.42500000 2.27000000 ;
+        RECT 6.17000000 0.65500000 6.31000000 2.27000000 ;
+        RECT 5.13500000 2.27000000 6.31000000 2.41000000 ;
+        RECT 5.13500000 2.41000000 5.42500000 2.48500000 ;
+    END
+  END Y
+
+  PIN S
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+        RECT 3.21500000 0.84500000 3.50500000 0.92000000 ;
+        RECT 1.29500000 0.92000000 3.50500000 1.06000000 ;
+        RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+        RECT 3.21500000 1.06000000 3.50500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.38500000 ;
+        RECT 1.29500000 1.38500000 1.58500000 1.67500000 ;
+    END
+  END S
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 7.05500000 0.84500000 7.34500000 1.13500000 ;
+        RECT 7.13000000 1.13500000 7.27000000 1.78000000 ;
+        RECT 7.05500000 1.78000000 7.34500000 2.07000000 ;
+    END
+  END B
+
+END MUX2X1
diff --git a/cells/lef/NAND2X1.lef b/cells/lef/NAND2X1.lef
new file mode 100644
index 0000000..b99b4c6
--- /dev/null
+++ b/cells/lef/NAND2X1.lef
@@ -0,0 +1,124 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO NAND2X1
+  CLASS CORE ;
+  FOREIGN NAND2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 4.320 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.780 2.990 2.070 3.090 ;
+        RECT 1.780 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.070 2.990 ;
+        RECT 1.780 2.760 2.070 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.760 2.990 2.090 3.090 ;
+        RECT 1.760 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.090 2.990 ;
+        RECT 1.760 2.740 2.090 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 3.220 0.510 3.510 0.570 ;
+        RECT 3.220 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.510 0.510 ;
+        RECT 3.220 0.240 3.510 0.340 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.200 0.510 3.530 0.590 ;
+        RECT 3.200 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.530 0.510 ;
+        RECT 3.200 0.240 3.530 0.340 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.580 2.410 0.870 2.490 ;
+        RECT 3.220 2.410 3.510 2.490 ;
+        RECT 0.580 2.270 3.510 2.410 ;
+        RECT 0.580 2.200 0.870 2.270 ;
+        RECT 3.220 2.200 3.510 2.270 ;
+        RECT 0.650 0.730 0.790 2.200 ;
+        RECT 0.580 0.440 0.870 0.730 ;
+    END
+  END Y
+  PIN B
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+    END
+  END B
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 2.740 1.780 3.030 2.070 ;
+        RECT 2.810 1.140 2.950 1.780 ;
+        RECT 2.740 0.850 3.030 1.140 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 0.560 2.430 0.890 2.510 ;
+        RECT 0.560 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.890 2.430 ;
+        RECT 0.560 2.180 0.890 2.260 ;
+        RECT 3.200 2.430 3.530 2.510 ;
+        RECT 3.200 2.260 3.280 2.430 ;
+        RECT 3.450 2.260 3.530 2.430 ;
+        RECT 3.200 2.180 3.530 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.030 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 0.560 0.670 0.890 0.750 ;
+        RECT 0.560 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.890 0.670 ;
+        RECT 0.560 0.420 0.890 0.500 ;
+  END
+END NAND2X1
+END LIBRARY
+
diff --git a/cells/lef/NAND2X1.lef.beforemagic b/cells/lef/NAND2X1.lef.beforemagic
new file mode 100644
index 0000000..1ea7356
--- /dev/null
+++ b/cells/lef/NAND2X1.lef.beforemagic
@@ -0,0 +1,210 @@
+MACRO NAND2X1
+ CLASS CORE ;
+ FOREIGN NAND2X1 0 0 ;
+ SIZE 4.32 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+        RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+        RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+        RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 4.32 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.32 3.415 ) ;
+      RECT ( 0 3.09 ) ( 4.32 3.245 ) ;
+      RECT ( 1.755 2.99 ) ( 2.085 3.09 ) ;
+      RECT ( 1.755 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.085 2.99 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 2.82 ) ;
+      RECT ( 0.555 2.425 ) ( 0.885 2.505 ) ;
+      RECT ( 0.555 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.885 2.425 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.255 ) ;
+      RECT ( 3.195 2.425 ) ( 3.525 2.505 ) ;
+      RECT ( 3.195 2.255 ) ( 3.275 2.425 ) ;
+      RECT ( 3.445 2.255 ) ( 3.525 2.425 ) ;
+      RECT ( 3.195 2.175 ) ( 3.525 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.025 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 2.005 ) ( 3.025 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.005 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 0.555 0.67 ) ( 0.885 0.75 ) ;
+      RECT ( 0.555 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.885 0.67 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.5 ) ;
+      RECT ( 3.195 0.51 ) ( 3.525 0.59 ) ;
+      RECT ( 3.195 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.525 0.51 ) ;
+      RECT ( 3.195 0.24 ) ( 3.525 0.34 ) ;
+      RECT ( 0 0.085 ) ( 4.32 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.32 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 4.32 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 4.32 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.32 3.415 ) ;
+      RECT ( 0 3.09 ) ( 4.32 3.245 ) ;
+      RECT ( 1.775 2.99 ) ( 2.065 3.09 ) ;
+      RECT ( 1.775 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.065 2.99 ) ;
+      RECT ( 1.775 2.76 ) ( 2.065 2.82 ) ;
+      RECT ( 0.575 2.425 ) ( 0.865 2.485 ) ;
+      RECT ( 0.575 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.41 ) ( 0.865 2.425 ) ;
+      RECT ( 3.215 2.425 ) ( 3.505 2.485 ) ;
+      RECT ( 3.215 2.41 ) ( 3.275 2.425 ) ;
+      RECT ( 0.805 2.27 ) ( 3.275 2.41 ) ;
+      RECT ( 0.805 2.255 ) ( 0.865 2.27 ) ;
+      RECT ( 0.575 2.195 ) ( 0.865 2.255 ) ;
+      RECT ( 3.215 2.255 ) ( 3.275 2.27 ) ;
+      RECT ( 3.445 2.255 ) ( 3.505 2.425 ) ;
+      RECT ( 3.215 2.195 ) ( 3.505 2.255 ) ;
+      RECT ( 0.65 0.73 ) ( 0.79 2.195 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
+      RECT ( 2.81 1.135 ) ( 2.95 1.78 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 1.075 ) ;
+      RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
+      RECT ( 0.575 0.67 ) ( 0.865 0.73 ) ;
+      RECT ( 0.575 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.865 0.67 ) ;
+      RECT ( 0.575 0.44 ) ( 0.865 0.5 ) ;
+      RECT ( 3.215 0.51 ) ( 3.505 0.57 ) ;
+      RECT ( 3.215 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.505 0.51 ) ;
+      RECT ( 3.215 0.24 ) ( 3.505 0.34 ) ;
+      RECT ( 0 0.085 ) ( 4.32 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.32 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 4.32 -0.085 ) ;
+
+  end
+END NAND2X1
diff --git a/cells/lef/NAND2X1.lef.temp b/cells/lef/NAND2X1.lef.temp
new file mode 100644
index 0000000..6a37a4a
--- /dev/null
+++ b/cells/lef/NAND2X1.lef.temp
@@ -0,0 +1,77 @@
+MACRO NAND2X1
+ CLASS CORE ;
+ FOREIGN NAND2X1 0 0 ;
+ SIZE 4.32 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+        RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+        RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+        RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END A
+
+END NAND2X1
diff --git a/cells/lef/NAND3X1.lef b/cells/lef/NAND3X1.lef
new file mode 100644
index 0000000..2c54211
--- /dev/null
+++ b/cells/lef/NAND3X1.lef
@@ -0,0 +1,151 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO NAND3X1
+  CLASS CORE ;
+  FOREIGN NAND3X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 1.780 2.990 2.070 3.090 ;
+        RECT 1.780 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.070 2.990 ;
+        RECT 1.780 2.760 2.070 2.820 ;
+        RECT 4.660 2.990 4.950 3.090 ;
+        RECT 4.660 2.820 4.720 2.990 ;
+        RECT 4.890 2.820 4.950 2.990 ;
+        RECT 4.660 2.760 4.950 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 1.760 2.990 2.090 3.090 ;
+        RECT 1.760 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.090 2.990 ;
+        RECT 1.760 2.740 2.090 2.820 ;
+        RECT 4.640 2.990 4.970 3.090 ;
+        RECT 4.640 2.820 4.720 2.990 ;
+        RECT 4.890 2.820 4.970 2.990 ;
+        RECT 4.640 2.740 4.970 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 4.660 0.510 4.950 0.570 ;
+        RECT 4.660 0.340 4.720 0.510 ;
+        RECT 4.890 0.340 4.950 0.510 ;
+        RECT 4.660 0.240 4.950 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.640 0.510 4.970 0.590 ;
+        RECT 4.640 0.340 4.720 0.510 ;
+        RECT 4.890 0.340 4.970 0.510 ;
+        RECT 4.640 0.240 4.970 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.580 2.410 0.870 2.490 ;
+        RECT 3.220 2.410 3.510 2.490 ;
+        RECT 0.580 2.270 3.510 2.410 ;
+        RECT 0.580 2.200 0.870 2.270 ;
+        RECT 3.220 2.200 3.510 2.270 ;
+        RECT 0.650 0.730 0.790 2.200 ;
+        RECT 0.580 0.440 0.870 0.730 ;
+    END
+  END Y
+  PIN C
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+    END
+  END C
+  PIN B
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 2.740 1.780 3.030 2.070 ;
+        RECT 2.810 1.140 2.950 1.780 ;
+        RECT 2.740 0.850 3.030 1.140 ;
+    END
+  END B
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 4.180 1.780 4.470 2.070 ;
+        RECT 4.250 1.140 4.390 1.780 ;
+        RECT 4.180 0.850 4.470 1.140 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 0.560 2.430 0.890 2.510 ;
+        RECT 0.560 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.890 2.430 ;
+        RECT 0.560 2.180 0.890 2.260 ;
+        RECT 3.200 2.430 3.530 2.510 ;
+        RECT 3.200 2.260 3.280 2.430 ;
+        RECT 3.450 2.260 3.530 2.430 ;
+        RECT 3.200 2.180 3.530 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.030 2.090 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.910 4.240 1.080 ;
+        RECT 4.410 0.910 4.490 1.080 ;
+        RECT 4.160 0.830 4.490 0.910 ;
+        RECT 0.560 0.670 0.890 0.750 ;
+        RECT 0.560 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.890 0.670 ;
+        RECT 0.560 0.420 0.890 0.500 ;
+  END
+END NAND3X1
+END LIBRARY
+
diff --git a/cells/lef/NAND3X1.lef.beforemagic b/cells/lef/NAND3X1.lef.beforemagic
new file mode 100644
index 0000000..88e3ca8
--- /dev/null
+++ b/cells/lef/NAND3X1.lef.beforemagic
@@ -0,0 +1,260 @@
+MACRO NAND3X1
+ CLASS CORE ;
+ FOREIGN NAND3X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+        RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+        RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+        RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN C
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END C
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 1.755 2.99 ) ( 2.085 3.09 ) ;
+      RECT ( 1.755 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.085 2.99 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 2.82 ) ;
+      RECT ( 4.635 2.99 ) ( 4.965 3.09 ) ;
+      RECT ( 4.635 2.82 ) ( 4.715 2.99 ) ;
+      RECT ( 4.885 2.82 ) ( 4.965 2.99 ) ;
+      RECT ( 4.635 2.74 ) ( 4.965 2.82 ) ;
+      RECT ( 0.555 2.425 ) ( 0.885 2.505 ) ;
+      RECT ( 0.555 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.885 2.425 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.255 ) ;
+      RECT ( 3.195 2.425 ) ( 3.525 2.505 ) ;
+      RECT ( 3.195 2.255 ) ( 3.275 2.425 ) ;
+      RECT ( 3.445 2.255 ) ( 3.525 2.425 ) ;
+      RECT ( 3.195 2.175 ) ( 3.525 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.025 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 2.005 ) ( 3.025 2.01 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.005 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.485 1.075 ) ;
+      RECT ( 4.155 0.825 ) ( 4.485 0.905 ) ;
+      RECT ( 0.555 0.67 ) ( 0.885 0.75 ) ;
+      RECT ( 0.555 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.885 0.67 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.5 ) ;
+      RECT ( 4.635 0.51 ) ( 4.965 0.59 ) ;
+      RECT ( 4.635 0.34 ) ( 4.715 0.51 ) ;
+      RECT ( 4.885 0.34 ) ( 4.965 0.51 ) ;
+      RECT ( 4.635 0.24 ) ( 4.965 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 1.775 2.99 ) ( 2.065 3.09 ) ;
+      RECT ( 1.775 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.065 2.99 ) ;
+      RECT ( 1.775 2.76 ) ( 2.065 2.82 ) ;
+      RECT ( 4.655 2.99 ) ( 4.945 3.09 ) ;
+      RECT ( 4.655 2.82 ) ( 4.715 2.99 ) ;
+      RECT ( 4.885 2.82 ) ( 4.945 2.99 ) ;
+      RECT ( 4.655 2.76 ) ( 4.945 2.82 ) ;
+      RECT ( 0.575 2.425 ) ( 0.865 2.485 ) ;
+      RECT ( 0.575 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.41 ) ( 0.865 2.425 ) ;
+      RECT ( 3.215 2.425 ) ( 3.505 2.485 ) ;
+      RECT ( 3.215 2.41 ) ( 3.275 2.425 ) ;
+      RECT ( 0.805 2.27 ) ( 3.275 2.41 ) ;
+      RECT ( 0.805 2.255 ) ( 0.865 2.27 ) ;
+      RECT ( 0.575 2.195 ) ( 0.865 2.255 ) ;
+      RECT ( 3.215 2.255 ) ( 3.275 2.27 ) ;
+      RECT ( 3.445 2.255 ) ( 3.505 2.425 ) ;
+      RECT ( 3.215 2.195 ) ( 3.505 2.255 ) ;
+      RECT ( 0.65 0.73 ) ( 0.79 2.195 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
+      RECT ( 2.81 1.135 ) ( 2.95 1.78 ) ;
+      RECT ( 4.25 1.135 ) ( 4.39 1.78 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 1.075 ) ;
+      RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 0.575 0.67 ) ( 0.865 0.73 ) ;
+      RECT ( 0.575 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.865 0.67 ) ;
+      RECT ( 0.575 0.44 ) ( 0.865 0.5 ) ;
+      RECT ( 4.655 0.51 ) ( 4.945 0.57 ) ;
+      RECT ( 4.655 0.34 ) ( 4.715 0.51 ) ;
+      RECT ( 4.885 0.34 ) ( 4.945 0.51 ) ;
+      RECT ( 4.655 0.24 ) ( 4.945 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+
+  end
+END NAND3X1
diff --git a/cells/lef/NAND3X1.lef.temp b/cells/lef/NAND3X1.lef.temp
new file mode 100644
index 0000000..b6ba99b
--- /dev/null
+++ b/cells/lef/NAND3X1.lef.temp
@@ -0,0 +1,90 @@
+MACRO NAND3X1
+ CLASS CORE ;
+ FOREIGN NAND3X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+        RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+        RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+        RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN C
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END C
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+    END
+  END A
+
+END NAND3X1
diff --git a/cells/lef/OR2X1.lef b/cells/lef/OR2X1.lef
new file mode 100644
index 0000000..d6b4899
--- /dev/null
+++ b/cells/lef/OR2X1.lef
@@ -0,0 +1,164 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO OR2X1
+  CLASS CORE ;
+  FOREIGN OR2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.220 2.990 3.510 3.090 ;
+        RECT 3.220 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.510 2.990 ;
+        RECT 3.220 2.760 3.510 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.200 2.990 3.530 3.090 ;
+        RECT 3.200 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.530 2.990 ;
+        RECT 3.200 2.740 3.530 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.580 0.510 0.870 0.570 ;
+        RECT 0.580 0.340 0.640 0.510 ;
+        RECT 0.810 0.340 0.870 0.510 ;
+        RECT 0.580 0.240 0.870 0.340 ;
+        RECT 3.220 0.510 3.510 0.570 ;
+        RECT 3.220 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.510 0.510 ;
+        RECT 3.220 0.240 3.510 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.560 0.510 0.890 0.590 ;
+        RECT 0.560 0.340 0.640 0.510 ;
+        RECT 0.810 0.340 0.890 0.510 ;
+        RECT 0.560 0.240 0.890 0.340 ;
+        RECT 3.200 0.510 3.530 0.590 ;
+        RECT 3.200 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.530 0.510 ;
+        RECT 3.200 0.240 3.530 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 4.660 2.200 4.950 2.490 ;
+        RECT 4.730 0.730 4.870 2.200 ;
+        RECT 4.660 0.440 4.950 0.730 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 1.370 1.540 1.510 1.780 ;
+        RECT 1.300 1.250 1.590 1.540 ;
+    END
+  END A
+  PIN B
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 2.740 1.780 3.030 2.070 ;
+    END
+  END B
+  OBS
+      LAYER li1 ;
+        RECT 0.800 2.430 1.130 2.510 ;
+        RECT 0.800 2.260 0.880 2.430 ;
+        RECT 1.050 2.260 1.130 2.430 ;
+        RECT 4.640 2.430 4.970 2.510 ;
+        RECT 4.640 2.260 4.720 2.430 ;
+        RECT 4.890 2.260 4.970 2.430 ;
+        RECT 0.800 2.180 1.110 2.260 ;
+        RECT 4.660 2.180 4.970 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 1.360 1.160 1.530 1.310 ;
+        RECT 2.800 1.160 2.970 1.760 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.920 2.800 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.740 0.910 2.800 0.920 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 2.740 0.830 3.050 0.910 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.910 4.240 1.080 ;
+        RECT 4.410 0.910 4.490 1.080 ;
+        RECT 4.160 0.830 4.490 0.910 ;
+        RECT 2.240 0.670 2.570 0.750 ;
+        RECT 2.240 0.500 2.320 0.670 ;
+        RECT 2.490 0.500 2.570 0.670 ;
+        RECT 4.660 0.670 4.970 0.750 ;
+        RECT 4.660 0.660 4.720 0.670 ;
+        RECT 2.240 0.420 2.570 0.500 ;
+        RECT 4.640 0.500 4.720 0.660 ;
+        RECT 4.890 0.500 4.970 0.670 ;
+        RECT 4.640 0.420 4.970 0.500 ;
+      LAYER met1 ;
+        RECT 0.820 2.430 1.110 2.490 ;
+        RECT 0.820 2.260 0.880 2.430 ;
+        RECT 1.050 2.260 1.110 2.430 ;
+        RECT 0.820 2.200 1.110 2.260 ;
+        RECT 0.890 1.060 1.030 2.200 ;
+        RECT 4.180 2.010 4.470 2.070 ;
+        RECT 4.180 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.470 2.010 ;
+        RECT 4.180 1.780 4.470 1.840 ;
+        RECT 4.250 1.140 4.390 1.780 ;
+        RECT 4.180 1.080 4.470 1.140 ;
+        RECT 4.180 1.060 4.240 1.080 ;
+        RECT 0.890 0.920 4.240 1.060 ;
+        RECT 2.330 0.730 2.470 0.920 ;
+        RECT 4.180 0.910 4.240 0.920 ;
+        RECT 4.410 0.910 4.470 1.080 ;
+        RECT 4.180 0.850 4.470 0.910 ;
+        RECT 2.260 0.670 2.550 0.730 ;
+        RECT 2.260 0.500 2.320 0.670 ;
+        RECT 2.490 0.500 2.550 0.670 ;
+        RECT 2.260 0.440 2.550 0.500 ;
+  END
+END OR2X1
+END LIBRARY
+
diff --git a/cells/lef/OR2X1.lef.beforemagic b/cells/lef/OR2X1.lef.beforemagic
new file mode 100644
index 0000000..1714de1
--- /dev/null
+++ b/cells/lef/OR2X1.lef.beforemagic
@@ -0,0 +1,250 @@
+MACRO OR2X1
+ CLASS CORE ;
+ FOREIGN OR2X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 1.25000000 1.58500000 1.54000000 ;
+        RECT 1.37000000 1.54000000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 3.195 2.99 ) ( 3.525 3.09 ) ;
+      RECT ( 3.195 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.525 2.99 ) ;
+      RECT ( 3.195 2.74 ) ( 3.525 2.82 ) ;
+      RECT ( 0.795 2.425 ) ( 1.125 2.505 ) ;
+      RECT ( 0.795 2.255 ) ( 0.875 2.425 ) ;
+      RECT ( 1.045 2.26 ) ( 1.125 2.425 ) ;
+      RECT ( 4.635 2.425 ) ( 4.965 2.505 ) ;
+      RECT ( 4.635 2.26 ) ( 4.715 2.425 ) ;
+      RECT ( 1.045 2.255 ) ( 1.105 2.26 ) ;
+      RECT ( 0.795 2.175 ) ( 1.105 2.255 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.26 ) ;
+      RECT ( 4.885 2.255 ) ( 4.965 2.425 ) ;
+      RECT ( 4.655 2.175 ) ( 4.965 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 1.355 1.155 ) ( 1.525 1.31 ) ;
+      RECT ( 2.795 1.155 ) ( 2.965 1.76 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.92 ) ( 2.795 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 0.92 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 2.735 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.485 1.075 ) ;
+      RECT ( 4.155 0.825 ) ( 4.485 0.905 ) ;
+      RECT ( 2.235 0.67 ) ( 2.565 0.75 ) ;
+      RECT ( 0.555 0.51 ) ( 0.885 0.59 ) ;
+      RECT ( 0.555 0.34 ) ( 0.635 0.51 ) ;
+      RECT ( 0.805 0.34 ) ( 0.885 0.51 ) ;
+      RECT ( 2.235 0.5 ) ( 2.315 0.67 ) ;
+      RECT ( 2.485 0.5 ) ( 2.565 0.67 ) ;
+      RECT ( 4.655 0.67 ) ( 4.965 0.75 ) ;
+      RECT ( 4.655 0.655 ) ( 4.715 0.67 ) ;
+      RECT ( 2.235 0.42 ) ( 2.565 0.5 ) ;
+      RECT ( 3.195 0.51 ) ( 3.525 0.59 ) ;
+      RECT ( 0.555 0.24 ) ( 0.885 0.34 ) ;
+      RECT ( 3.195 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.525 0.51 ) ;
+      RECT ( 4.635 0.5 ) ( 4.715 0.655 ) ;
+      RECT ( 4.885 0.5 ) ( 4.965 0.67 ) ;
+      RECT ( 4.635 0.42 ) ( 4.965 0.5 ) ;
+      RECT ( 3.195 0.24 ) ( 3.525 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 3.215 2.99 ) ( 3.505 3.09 ) ;
+      RECT ( 3.215 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.505 2.99 ) ;
+      RECT ( 3.215 2.76 ) ( 3.505 2.82 ) ;
+      RECT ( 0.815 2.425 ) ( 1.105 2.485 ) ;
+      RECT ( 0.815 2.255 ) ( 0.875 2.425 ) ;
+      RECT ( 1.045 2.255 ) ( 1.105 2.425 ) ;
+      RECT ( 0.815 2.195 ) ( 1.105 2.255 ) ;
+      RECT ( 4.655 2.425 ) ( 4.945 2.485 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.425 ) ;
+      RECT ( 4.885 2.255 ) ( 4.945 2.425 ) ;
+      RECT ( 4.655 2.195 ) ( 4.945 2.255 ) ;
+      RECT ( 0.89 1.06 ) ( 1.03 2.195 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 1.37 1.54 ) ( 1.51 1.78 ) ;
+      RECT ( 1.295 1.48 ) ( 1.585 1.54 ) ;
+      RECT ( 1.295 1.31 ) ( 1.355 1.48 ) ;
+      RECT ( 1.525 1.31 ) ( 1.585 1.48 ) ;
+      RECT ( 1.295 1.25 ) ( 1.585 1.31 ) ;
+      RECT ( 4.25 1.135 ) ( 4.39 1.78 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 1.06 ) ( 4.235 1.075 ) ;
+      RECT ( 0.89 0.92 ) ( 4.235 1.06 ) ;
+      RECT ( 2.33 0.73 ) ( 2.47 0.92 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 0.92 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 4.73 0.73 ) ( 4.87 2.195 ) ;
+      RECT ( 2.255 0.67 ) ( 2.545 0.73 ) ;
+      RECT ( 0.575 0.51 ) ( 0.865 0.57 ) ;
+      RECT ( 0.575 0.34 ) ( 0.635 0.51 ) ;
+      RECT ( 0.805 0.34 ) ( 0.865 0.51 ) ;
+      RECT ( 2.255 0.5 ) ( 2.315 0.67 ) ;
+      RECT ( 2.485 0.5 ) ( 2.545 0.67 ) ;
+      RECT ( 4.655 0.67 ) ( 4.945 0.73 ) ;
+      RECT ( 2.255 0.44 ) ( 2.545 0.5 ) ;
+      RECT ( 3.215 0.51 ) ( 3.505 0.57 ) ;
+      RECT ( 0.575 0.24 ) ( 0.865 0.34 ) ;
+      RECT ( 3.215 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.505 0.51 ) ;
+      RECT ( 4.655 0.5 ) ( 4.715 0.67 ) ;
+      RECT ( 4.885 0.5 ) ( 4.945 0.67 ) ;
+      RECT ( 4.655 0.44 ) ( 4.945 0.5 ) ;
+      RECT ( 3.215 0.24 ) ( 3.505 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+
+  end
+END OR2X1
diff --git a/cells/lef/OR2X1.lef.temp b/cells/lef/OR2X1.lef.temp
new file mode 100644
index 0000000..621b59d
--- /dev/null
+++ b/cells/lef/OR2X1.lef.temp
@@ -0,0 +1,71 @@
+MACRO OR2X1
+ CLASS CORE ;
+ FOREIGN OR2X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 1.25000000 1.58500000 1.54000000 ;
+        RECT 1.37000000 1.54000000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+END OR2X1
diff --git a/cells/lef/OR2X2.lef b/cells/lef/OR2X2.lef
new file mode 100644
index 0000000..d7f49e3
--- /dev/null
+++ b/cells/lef/OR2X2.lef
@@ -0,0 +1,164 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO OR2X2
+  CLASS CORE ;
+  FOREIGN OR2X2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.220 2.990 3.510 3.090 ;
+        RECT 3.220 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.510 2.990 ;
+        RECT 3.220 2.760 3.510 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.200 2.990 3.530 3.090 ;
+        RECT 3.200 2.820 3.280 2.990 ;
+        RECT 3.450 2.820 3.530 2.990 ;
+        RECT 3.200 2.740 3.530 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.580 0.510 0.870 0.570 ;
+        RECT 0.580 0.340 0.640 0.510 ;
+        RECT 0.810 0.340 0.870 0.510 ;
+        RECT 0.580 0.240 0.870 0.340 ;
+        RECT 3.220 0.510 3.510 0.570 ;
+        RECT 3.220 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.510 0.510 ;
+        RECT 3.220 0.240 3.510 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.560 0.510 0.890 0.590 ;
+        RECT 0.560 0.340 0.640 0.510 ;
+        RECT 0.810 0.340 0.890 0.510 ;
+        RECT 0.560 0.240 0.890 0.340 ;
+        RECT 3.200 0.510 3.530 0.590 ;
+        RECT 3.200 0.340 3.280 0.510 ;
+        RECT 3.450 0.340 3.530 0.510 ;
+        RECT 3.200 0.240 3.530 0.340 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 4.660 2.200 4.950 2.490 ;
+        RECT 4.730 0.730 4.870 2.200 ;
+        RECT 4.660 0.440 4.950 0.730 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+    END
+  END A
+  PIN B
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 2.740 1.780 3.030 2.070 ;
+        RECT 2.810 1.540 2.950 1.780 ;
+        RECT 2.740 1.250 3.030 1.540 ;
+    END
+  END B
+  OBS
+      LAYER li1 ;
+        RECT 0.800 2.430 1.130 2.510 ;
+        RECT 0.800 2.260 0.880 2.430 ;
+        RECT 1.050 2.260 1.130 2.430 ;
+        RECT 4.640 2.430 4.970 2.510 ;
+        RECT 4.640 2.260 4.720 2.430 ;
+        RECT 4.890 2.260 4.970 2.430 ;
+        RECT 0.800 2.180 1.110 2.260 ;
+        RECT 4.660 2.180 4.970 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 1.360 1.160 1.530 1.760 ;
+        RECT 2.800 1.160 2.970 1.310 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.920 2.800 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.740 0.910 2.800 0.920 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 2.740 0.830 3.050 0.910 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.910 4.240 1.080 ;
+        RECT 4.410 0.910 4.490 1.080 ;
+        RECT 4.160 0.830 4.490 0.910 ;
+        RECT 2.240 0.670 2.570 0.750 ;
+        RECT 2.240 0.500 2.320 0.670 ;
+        RECT 2.490 0.500 2.570 0.670 ;
+        RECT 4.660 0.670 4.970 0.750 ;
+        RECT 4.660 0.660 4.720 0.670 ;
+        RECT 2.240 0.420 2.570 0.500 ;
+        RECT 4.640 0.500 4.720 0.660 ;
+        RECT 4.890 0.500 4.970 0.670 ;
+        RECT 4.640 0.420 4.970 0.500 ;
+      LAYER met1 ;
+        RECT 0.820 2.430 1.110 2.490 ;
+        RECT 0.820 2.260 0.880 2.430 ;
+        RECT 1.050 2.260 1.110 2.430 ;
+        RECT 0.820 2.200 1.110 2.260 ;
+        RECT 0.890 1.060 1.030 2.200 ;
+        RECT 4.180 2.010 4.470 2.070 ;
+        RECT 4.180 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.470 2.010 ;
+        RECT 4.180 1.780 4.470 1.840 ;
+        RECT 4.250 1.140 4.390 1.780 ;
+        RECT 4.180 1.080 4.470 1.140 ;
+        RECT 4.180 1.060 4.240 1.080 ;
+        RECT 0.890 0.920 4.240 1.060 ;
+        RECT 2.330 0.730 2.470 0.920 ;
+        RECT 4.180 0.910 4.240 0.920 ;
+        RECT 4.410 0.910 4.470 1.080 ;
+        RECT 4.180 0.850 4.470 0.910 ;
+        RECT 2.260 0.670 2.550 0.730 ;
+        RECT 2.260 0.500 2.320 0.670 ;
+        RECT 2.490 0.500 2.550 0.670 ;
+        RECT 2.260 0.440 2.550 0.500 ;
+  END
+END OR2X2
+END LIBRARY
+
diff --git a/cells/lef/OR2X2.lef.beforemagic b/cells/lef/OR2X2.lef.beforemagic
new file mode 100644
index 0000000..a098e7f
--- /dev/null
+++ b/cells/lef/OR2X2.lef.beforemagic
@@ -0,0 +1,250 @@
+MACRO OR2X2
+ CLASS CORE ;
+ FOREIGN OR2X2 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+        RECT 2.81000000 1.54000000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 3.195 2.99 ) ( 3.525 3.09 ) ;
+      RECT ( 3.195 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.525 2.99 ) ;
+      RECT ( 3.195 2.74 ) ( 3.525 2.82 ) ;
+      RECT ( 0.795 2.425 ) ( 1.125 2.505 ) ;
+      RECT ( 0.795 2.255 ) ( 0.875 2.425 ) ;
+      RECT ( 1.045 2.26 ) ( 1.125 2.425 ) ;
+      RECT ( 4.635 2.425 ) ( 4.965 2.505 ) ;
+      RECT ( 4.635 2.26 ) ( 4.715 2.425 ) ;
+      RECT ( 1.045 2.255 ) ( 1.105 2.26 ) ;
+      RECT ( 0.795 2.175 ) ( 1.105 2.255 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.26 ) ;
+      RECT ( 4.885 2.255 ) ( 4.965 2.425 ) ;
+      RECT ( 4.655 2.175 ) ( 4.965 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 1.355 1.155 ) ( 1.525 1.76 ) ;
+      RECT ( 2.795 1.155 ) ( 2.965 1.31 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.92 ) ( 2.795 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 0.92 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 2.735 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.485 1.075 ) ;
+      RECT ( 4.155 0.825 ) ( 4.485 0.905 ) ;
+      RECT ( 2.235 0.67 ) ( 2.565 0.75 ) ;
+      RECT ( 0.555 0.51 ) ( 0.885 0.59 ) ;
+      RECT ( 0.555 0.34 ) ( 0.635 0.51 ) ;
+      RECT ( 0.805 0.34 ) ( 0.885 0.51 ) ;
+      RECT ( 2.235 0.5 ) ( 2.315 0.67 ) ;
+      RECT ( 2.485 0.5 ) ( 2.565 0.67 ) ;
+      RECT ( 4.655 0.67 ) ( 4.965 0.75 ) ;
+      RECT ( 4.655 0.655 ) ( 4.715 0.67 ) ;
+      RECT ( 2.235 0.42 ) ( 2.565 0.5 ) ;
+      RECT ( 3.195 0.51 ) ( 3.525 0.59 ) ;
+      RECT ( 0.555 0.24 ) ( 0.885 0.34 ) ;
+      RECT ( 3.195 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.525 0.51 ) ;
+      RECT ( 4.635 0.5 ) ( 4.715 0.655 ) ;
+      RECT ( 4.885 0.5 ) ( 4.965 0.67 ) ;
+      RECT ( 4.635 0.42 ) ( 4.965 0.5 ) ;
+      RECT ( 3.195 0.24 ) ( 3.525 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 5.76 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.76 3.415 ) ;
+      RECT ( 0 3.09 ) ( 5.76 3.245 ) ;
+      RECT ( 3.215 2.99 ) ( 3.505 3.09 ) ;
+      RECT ( 3.215 2.82 ) ( 3.275 2.99 ) ;
+      RECT ( 3.445 2.82 ) ( 3.505 2.99 ) ;
+      RECT ( 3.215 2.76 ) ( 3.505 2.82 ) ;
+      RECT ( 0.815 2.425 ) ( 1.105 2.485 ) ;
+      RECT ( 0.815 2.255 ) ( 0.875 2.425 ) ;
+      RECT ( 1.045 2.255 ) ( 1.105 2.425 ) ;
+      RECT ( 0.815 2.195 ) ( 1.105 2.255 ) ;
+      RECT ( 4.655 2.425 ) ( 4.945 2.485 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.425 ) ;
+      RECT ( 4.885 2.255 ) ( 4.945 2.425 ) ;
+      RECT ( 4.655 2.195 ) ( 4.945 2.255 ) ;
+      RECT ( 0.89 1.06 ) ( 1.03 2.195 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 2.81 1.54 ) ( 2.95 1.78 ) ;
+      RECT ( 2.735 1.48 ) ( 3.025 1.54 ) ;
+      RECT ( 2.735 1.31 ) ( 2.795 1.48 ) ;
+      RECT ( 2.965 1.31 ) ( 3.025 1.48 ) ;
+      RECT ( 2.735 1.25 ) ( 3.025 1.31 ) ;
+      RECT ( 4.25 1.135 ) ( 4.39 1.78 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 1.06 ) ( 4.235 1.075 ) ;
+      RECT ( 0.89 0.92 ) ( 4.235 1.06 ) ;
+      RECT ( 2.33 0.73 ) ( 2.47 0.92 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 0.92 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 4.73 0.73 ) ( 4.87 2.195 ) ;
+      RECT ( 2.255 0.67 ) ( 2.545 0.73 ) ;
+      RECT ( 0.575 0.51 ) ( 0.865 0.57 ) ;
+      RECT ( 0.575 0.34 ) ( 0.635 0.51 ) ;
+      RECT ( 0.805 0.34 ) ( 0.865 0.51 ) ;
+      RECT ( 2.255 0.5 ) ( 2.315 0.67 ) ;
+      RECT ( 2.485 0.5 ) ( 2.545 0.67 ) ;
+      RECT ( 4.655 0.67 ) ( 4.945 0.73 ) ;
+      RECT ( 2.255 0.44 ) ( 2.545 0.5 ) ;
+      RECT ( 3.215 0.51 ) ( 3.505 0.57 ) ;
+      RECT ( 0.575 0.24 ) ( 0.865 0.34 ) ;
+      RECT ( 3.215 0.34 ) ( 3.275 0.51 ) ;
+      RECT ( 3.445 0.34 ) ( 3.505 0.51 ) ;
+      RECT ( 4.655 0.5 ) ( 4.715 0.67 ) ;
+      RECT ( 4.885 0.5 ) ( 4.945 0.67 ) ;
+      RECT ( 4.655 0.44 ) ( 4.945 0.5 ) ;
+      RECT ( 3.215 0.24 ) ( 3.505 0.34 ) ;
+      RECT ( 0 0.085 ) ( 5.76 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.76 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 5.76 -0.085 ) ;
+
+  end
+END OR2X2
diff --git a/cells/lef/OR2X2.lef.temp b/cells/lef/OR2X2.lef.temp
new file mode 100644
index 0000000..28c3d77
--- /dev/null
+++ b/cells/lef/OR2X2.lef.temp
@@ -0,0 +1,71 @@
+MACRO OR2X2
+ CLASS CORE ;
+ FOREIGN OR2X2 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+        RECT 2.81000000 1.54000000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+END OR2X2
diff --git a/cells/lef/XNOR2X1.lef b/cells/lef/XNOR2X1.lef
new file mode 100644
index 0000000..5a4782a
--- /dev/null
+++ b/cells/lef/XNOR2X1.lef
@@ -0,0 +1,233 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO XNOR2X1
+  CLASS CORE ;
+  FOREIGN XNOR2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 10.080 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 10.080 3.570 ;
+        RECT 7.540 2.990 7.830 3.090 ;
+        RECT 7.540 2.820 7.600 2.990 ;
+        RECT 7.770 2.820 7.830 2.990 ;
+        RECT 7.540 2.760 7.830 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 10.080 3.570 ;
+        RECT 1.760 2.990 2.090 3.090 ;
+        RECT 1.760 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.090 2.990 ;
+        RECT 1.760 2.740 2.090 2.820 ;
+        RECT 7.520 2.990 7.850 3.090 ;
+        RECT 7.520 2.820 7.600 2.990 ;
+        RECT 7.770 2.820 7.850 2.990 ;
+        RECT 7.520 2.740 7.850 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.780 0.510 2.070 0.570 ;
+        RECT 1.780 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.070 0.510 ;
+        RECT 1.780 0.240 2.070 0.340 ;
+        RECT 7.540 0.510 7.830 0.570 ;
+        RECT 7.540 0.340 7.600 0.510 ;
+        RECT 7.770 0.340 7.830 0.510 ;
+        RECT 7.540 0.240 7.830 0.340 ;
+        RECT 0.000 -0.240 10.080 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.760 0.510 2.090 0.590 ;
+        RECT 1.760 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.090 0.510 ;
+        RECT 1.760 0.240 2.090 0.340 ;
+        RECT 7.520 0.510 7.850 0.590 ;
+        RECT 7.520 0.340 7.600 0.510 ;
+        RECT 7.770 0.340 7.850 0.510 ;
+        RECT 7.520 0.240 7.850 0.340 ;
+        RECT 0.000 -0.240 10.080 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 4.660 0.440 4.950 0.730 ;
+    END
+  END Y
+  PIN B
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 1.780 1.590 2.070 ;
+        RECT 2.740 1.780 3.030 2.070 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 2.810 1.140 2.950 1.780 ;
+        RECT 1.300 1.060 1.590 1.140 ;
+        RECT 2.740 1.060 3.030 1.140 ;
+        RECT 1.300 0.920 3.030 1.060 ;
+        RECT 1.300 0.850 1.590 0.920 ;
+        RECT 2.740 0.850 3.030 0.920 ;
+    END
+  END B
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 4.180 2.000 4.470 2.070 ;
+        RECT 4.180 1.860 5.350 2.000 ;
+        RECT 4.180 1.780 4.470 1.860 ;
+        RECT 5.210 1.060 5.350 1.860 ;
+        RECT 8.500 1.780 8.790 2.070 ;
+        RECT 8.570 1.140 8.710 1.780 ;
+        RECT 5.620 1.060 5.910 1.140 ;
+        RECT 8.500 1.060 8.790 1.140 ;
+        RECT 5.210 0.920 8.790 1.060 ;
+        RECT 5.620 0.850 5.910 0.920 ;
+        RECT 8.500 0.850 8.790 0.920 ;
+    END
+  END A
+  OBS
+      LAYER li1 ;
+        RECT 0.560 2.430 0.890 2.510 ;
+        RECT 0.560 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.890 2.430 ;
+        RECT 4.640 2.430 4.970 2.510 ;
+        RECT 4.640 2.260 4.720 2.430 ;
+        RECT 4.890 2.260 4.970 2.430 ;
+        RECT 0.560 2.180 0.890 2.260 ;
+        RECT 4.660 2.180 4.970 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.910 4.240 1.080 ;
+        RECT 4.410 0.920 4.490 1.080 ;
+        RECT 4.410 0.910 4.470 0.920 ;
+        RECT 4.160 0.830 4.470 0.910 ;
+        RECT 4.720 0.750 4.890 2.180 ;
+        RECT 7.120 2.090 7.290 2.660 ;
+        RECT 8.960 2.430 9.290 2.510 ;
+        RECT 8.960 2.260 9.040 2.430 ;
+        RECT 9.210 2.260 9.290 2.430 ;
+        RECT 8.980 2.180 9.290 2.260 ;
+        RECT 5.600 2.010 5.930 2.090 ;
+        RECT 5.600 1.840 5.680 2.010 ;
+        RECT 5.850 1.840 5.930 2.010 ;
+        RECT 5.600 1.760 5.930 1.840 ;
+        RECT 7.040 2.010 7.370 2.090 ;
+        RECT 7.040 1.840 7.120 2.010 ;
+        RECT 7.290 1.840 7.370 2.010 ;
+        RECT 7.040 1.760 7.370 1.840 ;
+        RECT 8.480 2.010 8.810 2.090 ;
+        RECT 8.480 1.840 8.560 2.010 ;
+        RECT 8.730 1.840 8.810 2.010 ;
+        RECT 8.480 1.760 8.810 1.840 ;
+        RECT 7.120 1.160 7.290 1.760 ;
+        RECT 5.600 1.080 5.930 1.160 ;
+        RECT 5.600 0.910 5.680 1.080 ;
+        RECT 5.850 0.910 5.930 1.080 ;
+        RECT 5.600 0.830 5.930 0.910 ;
+        RECT 7.040 1.080 7.370 1.160 ;
+        RECT 7.040 0.910 7.120 1.080 ;
+        RECT 7.290 0.910 7.370 1.080 ;
+        RECT 7.040 0.830 7.370 0.910 ;
+        RECT 8.480 1.080 8.810 1.160 ;
+        RECT 8.480 0.910 8.560 1.080 ;
+        RECT 8.730 0.910 8.810 1.080 ;
+        RECT 8.480 0.830 8.810 0.910 ;
+        RECT 0.560 0.670 0.890 0.750 ;
+        RECT 0.560 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.890 0.670 ;
+        RECT 0.560 0.420 0.890 0.500 ;
+        RECT 4.640 0.670 4.970 0.750 ;
+        RECT 4.640 0.500 4.720 0.670 ;
+        RECT 4.890 0.500 4.970 0.670 ;
+        RECT 8.980 0.670 9.290 0.750 ;
+        RECT 8.980 0.660 9.040 0.670 ;
+        RECT 4.640 0.420 4.970 0.500 ;
+        RECT 8.960 0.500 9.040 0.660 ;
+        RECT 9.210 0.500 9.290 0.670 ;
+        RECT 8.960 0.420 9.290 0.500 ;
+      LAYER met1 ;
+        RECT 7.060 2.830 7.350 2.890 ;
+        RECT 7.060 2.820 7.120 2.830 ;
+        RECT 0.650 2.680 7.120 2.820 ;
+        RECT 0.650 2.490 0.790 2.680 ;
+        RECT 7.060 2.660 7.120 2.680 ;
+        RECT 7.290 2.660 7.350 2.830 ;
+        RECT 7.060 2.600 7.350 2.660 ;
+        RECT 0.580 2.430 0.870 2.490 ;
+        RECT 0.580 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.870 2.430 ;
+        RECT 8.980 2.430 9.270 2.490 ;
+        RECT 8.980 2.410 9.040 2.430 ;
+        RECT 0.580 2.200 0.870 2.260 ;
+        RECT 3.770 2.270 9.040 2.410 ;
+        RECT 0.650 0.730 0.790 2.200 ;
+        RECT 3.770 1.060 3.910 2.270 ;
+        RECT 5.690 2.070 5.830 2.270 ;
+        RECT 8.980 2.260 9.040 2.270 ;
+        RECT 9.210 2.260 9.270 2.430 ;
+        RECT 8.980 2.200 9.270 2.260 ;
+        RECT 5.620 2.010 5.910 2.070 ;
+        RECT 5.620 1.840 5.680 2.010 ;
+        RECT 5.850 1.840 5.910 2.010 ;
+        RECT 5.620 1.780 5.910 1.840 ;
+        RECT 4.180 1.080 4.470 1.140 ;
+        RECT 4.180 1.060 4.240 1.080 ;
+        RECT 3.770 0.920 4.240 1.060 ;
+        RECT 4.180 0.910 4.240 0.920 ;
+        RECT 4.410 0.910 4.470 1.080 ;
+        RECT 4.180 0.850 4.470 0.910 ;
+        RECT 9.050 0.730 9.190 2.200 ;
+        RECT 0.580 0.670 0.870 0.730 ;
+        RECT 0.580 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.870 0.670 ;
+        RECT 0.580 0.440 0.870 0.500 ;
+        RECT 8.980 0.670 9.270 0.730 ;
+        RECT 8.980 0.500 9.040 0.670 ;
+        RECT 9.210 0.500 9.270 0.670 ;
+        RECT 8.980 0.440 9.270 0.500 ;
+  END
+END XNOR2X1
+END LIBRARY
+
diff --git a/cells/lef/XNOR2X1.lef.beforemagic b/cells/lef/XNOR2X1.lef.beforemagic
new file mode 100644
index 0000000..aadeaae
--- /dev/null
+++ b/cells/lef/XNOR2X1.lef.beforemagic
@@ -0,0 +1,383 @@
+MACRO XNOR2X1
+ CLASS CORE ;
+ FOREIGN XNOR2X1 0 0 ;
+ SIZE 10.08 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+    END
+  END Y
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+        RECT 2.73500000 0.84500000 3.02500000 0.92000000 ;
+        RECT 1.29500000 0.92000000 3.02500000 1.06000000 ;
+        RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+        RECT 2.73500000 1.06000000 3.02500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 5.61500000 0.84500000 5.90500000 0.92000000 ;
+        RECT 8.49500000 0.84500000 8.78500000 0.92000000 ;
+        RECT 5.21000000 0.92000000 8.78500000 1.06000000 ;
+        RECT 5.61500000 1.06000000 5.90500000 1.13500000 ;
+        RECT 8.49500000 1.06000000 8.78500000 1.13500000 ;
+        RECT 8.57000000 1.13500000 8.71000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 1.85500000 ;
+        RECT 5.21000000 1.06000000 5.35000000 1.85500000 ;
+        RECT 4.17500000 1.85500000 5.35000000 1.99500000 ;
+        RECT 4.17500000 1.99500000 4.46500000 2.07000000 ;
+        RECT 8.49500000 1.78000000 8.78500000 2.07000000 ;
+    END
+  END A
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 10.08 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.355 3.415 ) ;
+      RECT ( 7.525 3.245 ) ( 7.835 3.415 ) ;
+      RECT ( 8.005 3.245 ) ( 8.315 3.415 ) ;
+      RECT ( 8.485 3.245 ) ( 8.795 3.415 ) ;
+      RECT ( 8.965 3.245 ) ( 9.275 3.415 ) ;
+      RECT ( 9.445 3.245 ) ( 9.755 3.415 ) ;
+      RECT ( 9.925 3.245 ) ( 10.08 3.415 ) ;
+      RECT ( 0 3.09 ) ( 10.08 3.245 ) ;
+      RECT ( 1.755 2.99 ) ( 2.085 3.09 ) ;
+      RECT ( 1.755 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.085 2.99 ) ;
+      RECT ( 7.515 2.99 ) ( 7.845 3.09 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 2.82 ) ;
+      RECT ( 7.515 2.82 ) ( 7.595 2.99 ) ;
+      RECT ( 7.765 2.82 ) ( 7.845 2.99 ) ;
+      RECT ( 7.515 2.74 ) ( 7.845 2.82 ) ;
+      RECT ( 0.555 2.425 ) ( 0.885 2.505 ) ;
+      RECT ( 0.555 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.885 2.425 ) ;
+      RECT ( 4.635 2.425 ) ( 4.965 2.505 ) ;
+      RECT ( 4.635 2.26 ) ( 4.715 2.425 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.255 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.26 ) ;
+      RECT ( 4.885 2.255 ) ( 4.965 2.425 ) ;
+      RECT ( 4.655 2.175 ) ( 4.965 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.92 ) ( 4.485 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 0.92 ) ;
+      RECT ( 4.155 0.825 ) ( 4.465 0.905 ) ;
+      RECT ( 4.715 0.75 ) ( 4.885 2.175 ) ;
+      RECT ( 7.115 2.09 ) ( 7.285 2.66 ) ;
+      RECT ( 8.955 2.425 ) ( 9.285 2.505 ) ;
+      RECT ( 8.955 2.26 ) ( 9.035 2.425 ) ;
+      RECT ( 8.975 2.255 ) ( 9.035 2.26 ) ;
+      RECT ( 9.205 2.255 ) ( 9.285 2.425 ) ;
+      RECT ( 8.975 2.175 ) ( 9.285 2.255 ) ;
+      RECT ( 5.595 2.01 ) ( 5.925 2.09 ) ;
+      RECT ( 5.595 1.84 ) ( 5.675 2.01 ) ;
+      RECT ( 5.845 1.84 ) ( 5.925 2.01 ) ;
+      RECT ( 5.595 1.76 ) ( 5.925 1.84 ) ;
+      RECT ( 7.035 2.01 ) ( 7.365 2.09 ) ;
+      RECT ( 7.035 1.84 ) ( 7.115 2.01 ) ;
+      RECT ( 7.285 1.84 ) ( 7.365 2.01 ) ;
+      RECT ( 7.035 1.76 ) ( 7.365 1.84 ) ;
+      RECT ( 8.475 2.01 ) ( 8.805 2.09 ) ;
+      RECT ( 8.475 1.84 ) ( 8.555 2.01 ) ;
+      RECT ( 8.725 1.84 ) ( 8.805 2.01 ) ;
+      RECT ( 8.475 1.76 ) ( 8.805 1.84 ) ;
+      RECT ( 7.115 1.155 ) ( 7.285 1.76 ) ;
+      RECT ( 5.595 1.075 ) ( 5.925 1.155 ) ;
+      RECT ( 5.595 0.905 ) ( 5.675 1.075 ) ;
+      RECT ( 5.845 0.905 ) ( 5.925 1.075 ) ;
+      RECT ( 5.595 0.825 ) ( 5.925 0.905 ) ;
+      RECT ( 7.035 1.075 ) ( 7.365 1.155 ) ;
+      RECT ( 7.035 0.905 ) ( 7.115 1.075 ) ;
+      RECT ( 7.285 0.905 ) ( 7.365 1.075 ) ;
+      RECT ( 7.035 0.825 ) ( 7.365 0.905 ) ;
+      RECT ( 8.475 1.075 ) ( 8.805 1.155 ) ;
+      RECT ( 8.475 0.905 ) ( 8.555 1.075 ) ;
+      RECT ( 8.725 0.905 ) ( 8.805 1.075 ) ;
+      RECT ( 8.475 0.825 ) ( 8.805 0.905 ) ;
+      RECT ( 0.555 0.67 ) ( 0.885 0.75 ) ;
+      RECT ( 0.555 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.885 0.67 ) ;
+      RECT ( 4.635 0.67 ) ( 4.965 0.75 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.5 ) ;
+      RECT ( 1.755 0.51 ) ( 2.085 0.59 ) ;
+      RECT ( 1.755 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.085 0.51 ) ;
+      RECT ( 4.635 0.5 ) ( 4.715 0.67 ) ;
+      RECT ( 4.885 0.5 ) ( 4.965 0.67 ) ;
+      RECT ( 8.975 0.67 ) ( 9.285 0.75 ) ;
+      RECT ( 8.975 0.655 ) ( 9.035 0.67 ) ;
+      RECT ( 4.635 0.42 ) ( 4.965 0.5 ) ;
+      RECT ( 7.515 0.51 ) ( 7.845 0.59 ) ;
+      RECT ( 1.755 0.24 ) ( 2.085 0.34 ) ;
+      RECT ( 7.515 0.34 ) ( 7.595 0.51 ) ;
+      RECT ( 7.765 0.34 ) ( 7.845 0.51 ) ;
+      RECT ( 8.955 0.5 ) ( 9.035 0.655 ) ;
+      RECT ( 9.205 0.5 ) ( 9.285 0.67 ) ;
+      RECT ( 8.955 0.42 ) ( 9.285 0.5 ) ;
+      RECT ( 7.515 0.24 ) ( 7.845 0.34 ) ;
+      RECT ( 0 0.085 ) ( 10.08 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.355 0.085 ) ;
+      RECT ( 7.525 -0.085 ) ( 7.835 0.085 ) ;
+      RECT ( 8.005 -0.085 ) ( 8.315 0.085 ) ;
+      RECT ( 8.485 -0.085 ) ( 8.795 0.085 ) ;
+      RECT ( 8.965 -0.085 ) ( 9.275 0.085 ) ;
+      RECT ( 9.445 -0.085 ) ( 9.755 0.085 ) ;
+      RECT ( 9.925 -0.085 ) ( 10.08 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 10.08 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 10.08 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.355 3.415 ) ;
+      RECT ( 7.525 3.245 ) ( 7.835 3.415 ) ;
+      RECT ( 8.005 3.245 ) ( 8.315 3.415 ) ;
+      RECT ( 8.485 3.245 ) ( 8.795 3.415 ) ;
+      RECT ( 8.965 3.245 ) ( 9.275 3.415 ) ;
+      RECT ( 9.445 3.245 ) ( 9.755 3.415 ) ;
+      RECT ( 9.925 3.245 ) ( 10.08 3.415 ) ;
+      RECT ( 0 3.09 ) ( 10.08 3.245 ) ;
+      RECT ( 7.535 2.99 ) ( 7.825 3.09 ) ;
+      RECT ( 7.055 2.83 ) ( 7.345 2.89 ) ;
+      RECT ( 7.055 2.815 ) ( 7.115 2.83 ) ;
+      RECT ( 0.65 2.675 ) ( 7.115 2.815 ) ;
+      RECT ( 0.65 2.485 ) ( 0.79 2.675 ) ;
+      RECT ( 7.055 2.66 ) ( 7.115 2.675 ) ;
+      RECT ( 7.285 2.66 ) ( 7.345 2.83 ) ;
+      RECT ( 7.535 2.82 ) ( 7.595 2.99 ) ;
+      RECT ( 7.765 2.82 ) ( 7.825 2.99 ) ;
+      RECT ( 7.535 2.76 ) ( 7.825 2.82 ) ;
+      RECT ( 7.055 2.6 ) ( 7.345 2.66 ) ;
+      RECT ( 0.575 2.425 ) ( 0.865 2.485 ) ;
+      RECT ( 0.575 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.865 2.425 ) ;
+      RECT ( 8.975 2.425 ) ( 9.265 2.485 ) ;
+      RECT ( 8.975 2.41 ) ( 9.035 2.425 ) ;
+      RECT ( 0.575 2.195 ) ( 0.865 2.255 ) ;
+      RECT ( 3.77 2.27 ) ( 9.035 2.41 ) ;
+      RECT ( 0.65 0.73 ) ( 0.79 2.195 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
+      RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
+      RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
+      RECT ( 2.81 1.135 ) ( 2.95 1.78 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 1.06 ) ( 1.585 1.075 ) ;
+      RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
+      RECT ( 2.735 1.06 ) ( 2.795 1.075 ) ;
+      RECT ( 1.525 0.92 ) ( 2.795 1.06 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 0.92 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 0.92 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 1.075 ) ;
+      RECT ( 3.77 1.06 ) ( 3.91 2.27 ) ;
+      RECT ( 5.69 2.07 ) ( 5.83 2.27 ) ;
+      RECT ( 8.975 2.255 ) ( 9.035 2.27 ) ;
+      RECT ( 9.205 2.255 ) ( 9.265 2.425 ) ;
+      RECT ( 8.975 2.195 ) ( 9.265 2.255 ) ;
+      RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
+      RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.995 ) ( 4.465 2.01 ) ;
+      RECT ( 5.615 2.01 ) ( 5.905 2.07 ) ;
+      RECT ( 4.405 1.855 ) ( 5.35 1.995 ) ;
+      RECT ( 4.405 1.84 ) ( 4.465 1.855 ) ;
+      RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 1.06 ) ( 4.235 1.075 ) ;
+      RECT ( 3.77 0.92 ) ( 4.235 1.06 ) ;
+      RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 0.92 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
+      RECT ( 5.21 1.06 ) ( 5.35 1.855 ) ;
+      RECT ( 5.615 1.84 ) ( 5.675 2.01 ) ;
+      RECT ( 5.845 1.84 ) ( 5.905 2.01 ) ;
+      RECT ( 5.615 1.78 ) ( 5.905 1.84 ) ;
+      RECT ( 8.495 2.01 ) ( 8.785 2.07 ) ;
+      RECT ( 8.495 1.84 ) ( 8.555 2.01 ) ;
+      RECT ( 8.725 1.84 ) ( 8.785 2.01 ) ;
+      RECT ( 8.495 1.78 ) ( 8.785 1.84 ) ;
+      RECT ( 8.57 1.135 ) ( 8.71 1.78 ) ;
+      RECT ( 5.615 1.075 ) ( 5.905 1.135 ) ;
+      RECT ( 5.615 1.06 ) ( 5.675 1.075 ) ;
+      RECT ( 5.21 0.92 ) ( 5.675 1.06 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 5.615 0.905 ) ( 5.675 0.92 ) ;
+      RECT ( 5.845 1.06 ) ( 5.905 1.075 ) ;
+      RECT ( 8.495 1.075 ) ( 8.785 1.135 ) ;
+      RECT ( 8.495 1.06 ) ( 8.555 1.075 ) ;
+      RECT ( 5.845 0.92 ) ( 8.555 1.06 ) ;
+      RECT ( 5.845 0.905 ) ( 5.905 0.92 ) ;
+      RECT ( 5.615 0.845 ) ( 5.905 0.905 ) ;
+      RECT ( 8.495 0.905 ) ( 8.555 0.92 ) ;
+      RECT ( 8.725 0.905 ) ( 8.785 1.075 ) ;
+      RECT ( 8.495 0.845 ) ( 8.785 0.905 ) ;
+      RECT ( 9.05 0.73 ) ( 9.19 2.195 ) ;
+      RECT ( 0.575 0.67 ) ( 0.865 0.73 ) ;
+      RECT ( 0.575 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.865 0.67 ) ;
+      RECT ( 4.655 0.67 ) ( 4.945 0.73 ) ;
+      RECT ( 0.575 0.44 ) ( 0.865 0.5 ) ;
+      RECT ( 1.775 0.51 ) ( 2.065 0.57 ) ;
+      RECT ( 1.775 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.065 0.51 ) ;
+      RECT ( 4.655 0.5 ) ( 4.715 0.67 ) ;
+      RECT ( 4.885 0.5 ) ( 4.945 0.67 ) ;
+      RECT ( 8.975 0.67 ) ( 9.265 0.73 ) ;
+      RECT ( 4.655 0.44 ) ( 4.945 0.5 ) ;
+      RECT ( 7.535 0.51 ) ( 7.825 0.57 ) ;
+      RECT ( 1.775 0.24 ) ( 2.065 0.34 ) ;
+      RECT ( 7.535 0.34 ) ( 7.595 0.51 ) ;
+      RECT ( 7.765 0.34 ) ( 7.825 0.51 ) ;
+      RECT ( 8.975 0.5 ) ( 9.035 0.67 ) ;
+      RECT ( 9.205 0.5 ) ( 9.265 0.67 ) ;
+      RECT ( 8.975 0.44 ) ( 9.265 0.5 ) ;
+      RECT ( 7.535 0.24 ) ( 7.825 0.34 ) ;
+      RECT ( 0 0.085 ) ( 10.08 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.355 0.085 ) ;
+      RECT ( 7.525 -0.085 ) ( 7.835 0.085 ) ;
+      RECT ( 8.005 -0.085 ) ( 8.315 0.085 ) ;
+      RECT ( 8.485 -0.085 ) ( 8.795 0.085 ) ;
+      RECT ( 8.965 -0.085 ) ( 9.275 0.085 ) ;
+      RECT ( 9.445 -0.085 ) ( 9.755 0.085 ) ;
+      RECT ( 9.925 -0.085 ) ( 10.08 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 10.08 -0.085 ) ;
+
+  end
+END XNOR2X1
diff --git a/cells/lef/XNOR2X1.lef.temp b/cells/lef/XNOR2X1.lef.temp
new file mode 100644
index 0000000..3432c26
--- /dev/null
+++ b/cells/lef/XNOR2X1.lef.temp
@@ -0,0 +1,85 @@
+MACRO XNOR2X1
+ CLASS CORE ;
+ FOREIGN XNOR2X1 0 0 ;
+ SIZE 10.08 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+    END
+  END Y
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+        RECT 2.73500000 0.84500000 3.02500000 0.92000000 ;
+        RECT 1.29500000 0.92000000 3.02500000 1.06000000 ;
+        RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+        RECT 2.73500000 1.06000000 3.02500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 5.61500000 0.84500000 5.90500000 0.92000000 ;
+        RECT 8.49500000 0.84500000 8.78500000 0.92000000 ;
+        RECT 5.21000000 0.92000000 8.78500000 1.06000000 ;
+        RECT 5.61500000 1.06000000 5.90500000 1.13500000 ;
+        RECT 8.49500000 1.06000000 8.78500000 1.13500000 ;
+        RECT 8.57000000 1.13500000 8.71000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 1.85500000 ;
+        RECT 5.21000000 1.06000000 5.35000000 1.85500000 ;
+        RECT 4.17500000 1.85500000 5.35000000 1.99500000 ;
+        RECT 4.17500000 1.99500000 4.46500000 2.07000000 ;
+        RECT 8.49500000 1.78000000 8.78500000 2.07000000 ;
+    END
+  END A
+
+END XNOR2X1
diff --git a/cells/lef/XOR2X1.lef b/cells/lef/XOR2X1.lef
new file mode 100644
index 0000000..a73a4fb
--- /dev/null
+++ b/cells/lef/XOR2X1.lef
@@ -0,0 +1,245 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO XOR2X1
+  CLASS CORE ;
+  FOREIGN XOR2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 10.080 BY 3.330 ;
+  SYMMETRY X Y R90 ;
+  SITE unit ;
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 10.080 3.570 ;
+        RECT 1.780 2.990 2.070 3.090 ;
+        RECT 1.780 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.070 2.990 ;
+        RECT 1.780 2.760 2.070 2.820 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.090 10.080 3.570 ;
+        RECT 1.760 2.990 2.090 3.090 ;
+        RECT 1.760 2.820 1.840 2.990 ;
+        RECT 2.010 2.820 2.090 2.990 ;
+        RECT 1.760 2.740 2.090 2.820 ;
+        RECT 7.520 2.990 7.850 3.090 ;
+        RECT 7.520 2.820 7.600 2.990 ;
+        RECT 7.770 2.820 7.850 2.990 ;
+        RECT 7.520 2.740 7.850 2.820 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.780 0.510 2.070 0.570 ;
+        RECT 1.780 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.070 0.510 ;
+        RECT 1.780 0.240 2.070 0.340 ;
+        RECT 7.540 0.510 7.830 0.570 ;
+        RECT 7.540 0.340 7.600 0.510 ;
+        RECT 7.770 0.340 7.830 0.510 ;
+        RECT 7.540 0.240 7.830 0.340 ;
+        RECT 0.000 -0.240 10.080 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.760 0.510 2.090 0.590 ;
+        RECT 1.760 0.340 1.840 0.510 ;
+        RECT 2.010 0.340 2.090 0.510 ;
+        RECT 1.760 0.240 2.090 0.340 ;
+        RECT 7.520 0.510 7.850 0.590 ;
+        RECT 7.520 0.340 7.600 0.510 ;
+        RECT 7.770 0.340 7.850 0.510 ;
+        RECT 7.520 0.240 7.850 0.340 ;
+        RECT 0.000 -0.240 10.080 0.240 ;
+    END
+  END VGND
+  PIN Y
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 4.660 0.440 4.950 0.730 ;
+    END
+  END Y
+  PIN A
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 1.300 2.000 1.590 2.070 ;
+        RECT 5.620 2.000 5.910 2.070 ;
+        RECT 1.300 1.860 5.910 2.000 ;
+        RECT 1.300 1.780 1.590 1.860 ;
+        RECT 1.370 1.140 1.510 1.780 ;
+        RECT 4.250 1.140 4.390 1.860 ;
+        RECT 5.620 1.780 5.910 1.860 ;
+        RECT 1.300 0.850 1.590 1.140 ;
+        RECT 4.180 0.850 4.470 1.140 ;
+    END
+  END A
+  PIN B
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 2.740 1.390 3.030 1.680 ;
+        RECT 2.810 1.140 2.950 1.390 ;
+        RECT 2.740 0.850 3.030 1.140 ;
+    END
+  END B
+  OBS
+      LAYER li1 ;
+        RECT 0.560 2.430 0.890 2.510 ;
+        RECT 0.560 2.260 0.640 2.430 ;
+        RECT 0.810 2.260 0.890 2.430 ;
+        RECT 0.560 2.180 0.890 2.260 ;
+        RECT 2.800 2.090 2.970 2.660 ;
+        RECT 4.640 2.430 4.970 2.510 ;
+        RECT 4.640 2.260 4.720 2.430 ;
+        RECT 4.890 2.260 4.970 2.430 ;
+        RECT 8.960 2.430 9.290 2.510 ;
+        RECT 8.960 2.260 9.040 2.430 ;
+        RECT 9.210 2.260 9.290 2.430 ;
+        RECT 4.240 2.090 4.410 2.260 ;
+        RECT 4.660 2.180 4.970 2.260 ;
+        RECT 8.980 2.180 9.290 2.260 ;
+        RECT 1.280 2.010 1.610 2.090 ;
+        RECT 1.280 1.840 1.360 2.010 ;
+        RECT 1.530 1.840 1.610 2.010 ;
+        RECT 1.280 1.760 1.610 1.840 ;
+        RECT 2.720 2.010 3.050 2.090 ;
+        RECT 2.720 1.840 2.800 2.010 ;
+        RECT 2.970 1.840 3.050 2.010 ;
+        RECT 2.720 1.760 3.050 1.840 ;
+        RECT 4.160 2.010 4.490 2.090 ;
+        RECT 4.160 1.840 4.240 2.010 ;
+        RECT 4.410 1.840 4.490 2.010 ;
+        RECT 4.160 1.760 4.490 1.840 ;
+        RECT 2.800 1.620 2.970 1.760 ;
+        RECT 1.280 1.080 1.610 1.160 ;
+        RECT 1.280 0.910 1.360 1.080 ;
+        RECT 1.530 0.910 1.610 1.080 ;
+        RECT 1.280 0.830 1.610 0.910 ;
+        RECT 2.720 1.080 3.050 1.160 ;
+        RECT 2.720 0.910 2.800 1.080 ;
+        RECT 2.970 0.910 3.050 1.080 ;
+        RECT 2.720 0.830 3.050 0.910 ;
+        RECT 4.160 1.080 4.490 1.160 ;
+        RECT 4.160 0.910 4.240 1.080 ;
+        RECT 4.410 0.920 4.490 1.080 ;
+        RECT 4.410 0.910 4.470 0.920 ;
+        RECT 4.160 0.830 4.470 0.910 ;
+        RECT 4.720 0.750 4.890 2.180 ;
+        RECT 5.600 2.010 5.930 2.090 ;
+        RECT 5.600 1.840 5.680 2.010 ;
+        RECT 5.850 1.840 5.930 2.010 ;
+        RECT 5.600 1.760 5.930 1.840 ;
+        RECT 7.040 2.010 7.370 2.090 ;
+        RECT 7.040 1.840 7.120 2.010 ;
+        RECT 7.290 1.840 7.370 2.010 ;
+        RECT 7.040 1.760 7.370 1.840 ;
+        RECT 8.480 2.010 8.810 2.090 ;
+        RECT 8.480 1.840 8.560 2.010 ;
+        RECT 8.730 1.840 8.810 2.010 ;
+        RECT 8.480 1.760 8.810 1.840 ;
+        RECT 8.560 1.160 8.730 1.760 ;
+        RECT 5.600 1.080 5.930 1.160 ;
+        RECT 5.600 0.910 5.680 1.080 ;
+        RECT 5.850 0.910 5.930 1.080 ;
+        RECT 5.600 0.830 5.930 0.910 ;
+        RECT 7.040 1.080 7.370 1.160 ;
+        RECT 7.040 0.910 7.120 1.080 ;
+        RECT 7.290 0.910 7.370 1.080 ;
+        RECT 7.040 0.830 7.370 0.910 ;
+        RECT 8.480 1.080 8.810 1.160 ;
+        RECT 8.480 0.910 8.560 1.080 ;
+        RECT 8.730 0.910 8.810 1.080 ;
+        RECT 8.480 0.830 8.810 0.910 ;
+        RECT 0.560 0.670 0.890 0.750 ;
+        RECT 0.560 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.890 0.670 ;
+        RECT 0.560 0.420 0.890 0.500 ;
+        RECT 4.640 0.670 4.970 0.750 ;
+        RECT 4.640 0.500 4.720 0.670 ;
+        RECT 4.890 0.500 4.970 0.670 ;
+        RECT 8.980 0.670 9.290 0.750 ;
+        RECT 8.980 0.660 9.040 0.670 ;
+        RECT 4.640 0.420 4.970 0.500 ;
+        RECT 8.960 0.500 9.040 0.660 ;
+        RECT 9.210 0.500 9.290 0.670 ;
+        RECT 8.960 0.420 9.290 0.500 ;
+      LAYER met1 ;
+        RECT 2.740 2.830 3.030 2.890 ;
+        RECT 2.740 2.660 2.800 2.830 ;
+        RECT 2.970 2.820 3.030 2.830 ;
+        RECT 2.970 2.680 8.710 2.820 ;
+        RECT 2.970 2.660 3.030 2.680 ;
+        RECT 2.740 2.600 3.030 2.660 ;
+        RECT 0.580 2.430 0.870 2.490 ;
+        RECT 0.580 2.260 0.640 2.430 ;
+        RECT 0.810 2.410 0.870 2.430 ;
+        RECT 4.180 2.430 4.470 2.490 ;
+        RECT 4.180 2.410 4.240 2.430 ;
+        RECT 0.810 2.270 4.240 2.410 ;
+        RECT 0.810 2.260 0.870 2.270 ;
+        RECT 0.580 2.200 0.870 2.260 ;
+        RECT 4.180 2.260 4.240 2.270 ;
+        RECT 4.410 2.410 4.470 2.430 ;
+        RECT 4.410 2.270 6.310 2.410 ;
+        RECT 4.410 2.260 4.470 2.270 ;
+        RECT 4.180 2.200 4.470 2.260 ;
+        RECT 0.650 0.730 0.790 2.200 ;
+        RECT 5.620 1.080 5.910 1.140 ;
+        RECT 5.620 0.910 5.680 1.080 ;
+        RECT 5.850 1.060 5.910 1.080 ;
+        RECT 6.170 1.060 6.310 2.270 ;
+        RECT 8.570 2.070 8.710 2.680 ;
+        RECT 8.980 2.430 9.270 2.490 ;
+        RECT 8.980 2.260 9.040 2.430 ;
+        RECT 9.210 2.260 9.270 2.430 ;
+        RECT 8.980 2.200 9.270 2.260 ;
+        RECT 7.060 2.010 7.350 2.070 ;
+        RECT 7.060 1.840 7.120 2.010 ;
+        RECT 7.290 1.840 7.350 2.010 ;
+        RECT 7.060 1.780 7.350 1.840 ;
+        RECT 8.500 2.010 8.790 2.070 ;
+        RECT 8.500 1.840 8.560 2.010 ;
+        RECT 8.730 1.840 8.790 2.010 ;
+        RECT 8.500 1.780 8.790 1.840 ;
+        RECT 7.130 1.140 7.270 1.780 ;
+        RECT 5.850 0.920 6.310 1.060 ;
+        RECT 7.060 1.080 7.350 1.140 ;
+        RECT 5.850 0.910 5.910 0.920 ;
+        RECT 5.620 0.850 5.910 0.910 ;
+        RECT 7.060 0.910 7.120 1.080 ;
+        RECT 7.290 1.060 7.350 1.080 ;
+        RECT 9.050 1.060 9.190 2.200 ;
+        RECT 7.290 0.920 9.190 1.060 ;
+        RECT 7.290 0.910 7.350 0.920 ;
+        RECT 7.060 0.850 7.350 0.910 ;
+        RECT 9.050 0.730 9.190 0.920 ;
+        RECT 0.580 0.670 0.870 0.730 ;
+        RECT 0.580 0.500 0.640 0.670 ;
+        RECT 0.810 0.500 0.870 0.670 ;
+        RECT 0.580 0.440 0.870 0.500 ;
+        RECT 8.980 0.670 9.270 0.730 ;
+        RECT 8.980 0.500 9.040 0.670 ;
+        RECT 9.210 0.500 9.270 0.670 ;
+        RECT 8.980 0.440 9.270 0.500 ;
+  END
+END XOR2X1
+END LIBRARY
+
diff --git a/cells/lef/XOR2X1.lef.beforemagic b/cells/lef/XOR2X1.lef.beforemagic
new file mode 100644
index 0000000..95fcc9f
--- /dev/null
+++ b/cells/lef/XOR2X1.lef.beforemagic
@@ -0,0 +1,379 @@
+MACRO XOR2X1
+ CLASS CORE ;
+ FOREIGN XOR2X1 0 0 ;
+ SIZE 10.08 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VPWR
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+    END
+  END VPWR
+
+  PIN VGND
+   DIRECTION INOUT ;
+   USE GROUND ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+    END
+  END VGND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 1.85500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.85500000 ;
+        RECT 5.61500000 1.78000000 5.90500000 1.85500000 ;
+        RECT 1.29500000 1.85500000 5.90500000 1.99500000 ;
+        RECT 1.29500000 1.99500000 1.58500000 2.07000000 ;
+        RECT 5.61500000 1.99500000 5.90500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.38500000 ;
+        RECT 2.73500000 1.38500000 3.02500000 1.67500000 ;
+    END
+  END B
+
+
+  OBS
+    LAYER locali ;
+      RECT ( 0 3.415 ) ( 10.08 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.355 3.415 ) ;
+      RECT ( 7.525 3.245 ) ( 7.835 3.415 ) ;
+      RECT ( 8.005 3.245 ) ( 8.315 3.415 ) ;
+      RECT ( 8.485 3.245 ) ( 8.795 3.415 ) ;
+      RECT ( 8.965 3.245 ) ( 9.275 3.415 ) ;
+      RECT ( 9.445 3.245 ) ( 9.755 3.415 ) ;
+      RECT ( 9.925 3.245 ) ( 10.08 3.415 ) ;
+      RECT ( 0 3.09 ) ( 10.08 3.245 ) ;
+      RECT ( 1.755 2.99 ) ( 2.085 3.09 ) ;
+      RECT ( 1.755 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.085 2.99 ) ;
+      RECT ( 7.515 2.99 ) ( 7.845 3.09 ) ;
+      RECT ( 1.755 2.74 ) ( 2.085 2.82 ) ;
+      RECT ( 7.515 2.82 ) ( 7.595 2.99 ) ;
+      RECT ( 7.765 2.82 ) ( 7.845 2.99 ) ;
+      RECT ( 7.515 2.74 ) ( 7.845 2.82 ) ;
+      RECT ( 0.555 2.425 ) ( 0.885 2.505 ) ;
+      RECT ( 0.555 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.255 ) ( 0.885 2.425 ) ;
+      RECT ( 0.555 2.175 ) ( 0.885 2.255 ) ;
+      RECT ( 2.795 2.09 ) ( 2.965 2.66 ) ;
+      RECT ( 4.635 2.425 ) ( 4.965 2.505 ) ;
+      RECT ( 4.635 2.26 ) ( 4.715 2.425 ) ;
+      RECT ( 4.235 2.09 ) ( 4.405 2.255 ) ;
+      RECT ( 4.655 2.255 ) ( 4.715 2.26 ) ;
+      RECT ( 4.885 2.255 ) ( 4.965 2.425 ) ;
+      RECT ( 8.955 2.425 ) ( 9.285 2.505 ) ;
+      RECT ( 8.955 2.26 ) ( 9.035 2.425 ) ;
+      RECT ( 4.655 2.175 ) ( 4.965 2.255 ) ;
+      RECT ( 8.975 2.255 ) ( 9.035 2.26 ) ;
+      RECT ( 9.205 2.255 ) ( 9.285 2.425 ) ;
+      RECT ( 8.975 2.175 ) ( 9.285 2.255 ) ;
+      RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
+      RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
+      RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
+      RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
+      RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
+      RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
+      RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
+      RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
+      RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
+      RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
+      RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
+      RECT ( 2.795 1.615 ) ( 2.965 1.76 ) ;
+      RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
+      RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
+      RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
+      RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
+      RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
+      RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
+      RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
+      RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.92 ) ( 4.485 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 0.92 ) ;
+      RECT ( 4.155 0.825 ) ( 4.465 0.905 ) ;
+      RECT ( 4.715 0.75 ) ( 4.885 2.175 ) ;
+      RECT ( 5.595 2.01 ) ( 5.925 2.09 ) ;
+      RECT ( 5.595 1.84 ) ( 5.675 2.01 ) ;
+      RECT ( 5.845 1.84 ) ( 5.925 2.01 ) ;
+      RECT ( 5.595 1.76 ) ( 5.925 1.84 ) ;
+      RECT ( 7.035 2.01 ) ( 7.365 2.09 ) ;
+      RECT ( 7.035 1.84 ) ( 7.115 2.01 ) ;
+      RECT ( 7.285 1.84 ) ( 7.365 2.01 ) ;
+      RECT ( 7.035 1.76 ) ( 7.365 1.84 ) ;
+      RECT ( 8.475 2.01 ) ( 8.805 2.09 ) ;
+      RECT ( 8.475 1.84 ) ( 8.555 2.01 ) ;
+      RECT ( 8.725 1.84 ) ( 8.805 2.01 ) ;
+      RECT ( 8.475 1.76 ) ( 8.805 1.84 ) ;
+      RECT ( 8.555 1.155 ) ( 8.725 1.76 ) ;
+      RECT ( 5.595 1.075 ) ( 5.925 1.155 ) ;
+      RECT ( 5.595 0.905 ) ( 5.675 1.075 ) ;
+      RECT ( 5.845 0.905 ) ( 5.925 1.075 ) ;
+      RECT ( 5.595 0.825 ) ( 5.925 0.905 ) ;
+      RECT ( 7.035 1.075 ) ( 7.365 1.155 ) ;
+      RECT ( 7.035 0.905 ) ( 7.115 1.075 ) ;
+      RECT ( 7.285 0.905 ) ( 7.365 1.075 ) ;
+      RECT ( 7.035 0.825 ) ( 7.365 0.905 ) ;
+      RECT ( 8.475 1.075 ) ( 8.805 1.155 ) ;
+      RECT ( 8.475 0.905 ) ( 8.555 1.075 ) ;
+      RECT ( 8.725 0.905 ) ( 8.805 1.075 ) ;
+      RECT ( 8.475 0.825 ) ( 8.805 0.905 ) ;
+      RECT ( 0.555 0.67 ) ( 0.885 0.75 ) ;
+      RECT ( 0.555 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.885 0.67 ) ;
+      RECT ( 4.635 0.67 ) ( 4.965 0.75 ) ;
+      RECT ( 0.555 0.42 ) ( 0.885 0.5 ) ;
+      RECT ( 1.755 0.51 ) ( 2.085 0.59 ) ;
+      RECT ( 1.755 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.085 0.51 ) ;
+      RECT ( 4.635 0.5 ) ( 4.715 0.67 ) ;
+      RECT ( 4.885 0.5 ) ( 4.965 0.67 ) ;
+      RECT ( 8.975 0.67 ) ( 9.285 0.75 ) ;
+      RECT ( 8.975 0.655 ) ( 9.035 0.67 ) ;
+      RECT ( 4.635 0.42 ) ( 4.965 0.5 ) ;
+      RECT ( 7.515 0.51 ) ( 7.845 0.59 ) ;
+      RECT ( 1.755 0.24 ) ( 2.085 0.34 ) ;
+      RECT ( 7.515 0.34 ) ( 7.595 0.51 ) ;
+      RECT ( 7.765 0.34 ) ( 7.845 0.51 ) ;
+      RECT ( 8.955 0.5 ) ( 9.035 0.655 ) ;
+      RECT ( 9.205 0.5 ) ( 9.285 0.67 ) ;
+      RECT ( 8.955 0.42 ) ( 9.285 0.5 ) ;
+      RECT ( 7.515 0.24 ) ( 7.845 0.34 ) ;
+      RECT ( 0 0.085 ) ( 10.08 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.355 0.085 ) ;
+      RECT ( 7.525 -0.085 ) ( 7.835 0.085 ) ;
+      RECT ( 8.005 -0.085 ) ( 8.315 0.085 ) ;
+      RECT ( 8.485 -0.085 ) ( 8.795 0.085 ) ;
+      RECT ( 8.965 -0.085 ) ( 9.275 0.085 ) ;
+      RECT ( 9.445 -0.085 ) ( 9.755 0.085 ) ;
+      RECT ( 9.925 -0.085 ) ( 10.08 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 10.08 -0.085 ) ;
+    LAYER metal1 ;
+      RECT ( 0 3.415 ) ( 10.08 3.57 ) ;
+      RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
+      RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
+      RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
+      RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
+      RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
+      RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
+      RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
+      RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
+      RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
+      RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
+      RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
+      RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
+      RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
+      RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
+      RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
+      RECT ( 7.045 3.245 ) ( 7.355 3.415 ) ;
+      RECT ( 7.525 3.245 ) ( 7.835 3.415 ) ;
+      RECT ( 8.005 3.245 ) ( 8.315 3.415 ) ;
+      RECT ( 8.485 3.245 ) ( 8.795 3.415 ) ;
+      RECT ( 8.965 3.245 ) ( 9.275 3.415 ) ;
+      RECT ( 9.445 3.245 ) ( 9.755 3.415 ) ;
+      RECT ( 9.925 3.245 ) ( 10.08 3.415 ) ;
+      RECT ( 0 3.09 ) ( 10.08 3.245 ) ;
+      RECT ( 1.775 2.99 ) ( 2.065 3.09 ) ;
+      RECT ( 1.775 2.82 ) ( 1.835 2.99 ) ;
+      RECT ( 2.005 2.82 ) ( 2.065 2.99 ) ;
+      RECT ( 1.775 2.76 ) ( 2.065 2.82 ) ;
+      RECT ( 2.735 2.83 ) ( 3.025 2.89 ) ;
+      RECT ( 2.735 2.66 ) ( 2.795 2.83 ) ;
+      RECT ( 2.965 2.815 ) ( 3.025 2.83 ) ;
+      RECT ( 2.965 2.675 ) ( 8.71 2.815 ) ;
+      RECT ( 2.965 2.66 ) ( 3.025 2.675 ) ;
+      RECT ( 2.735 2.6 ) ( 3.025 2.66 ) ;
+      RECT ( 0.575 2.425 ) ( 0.865 2.485 ) ;
+      RECT ( 0.575 2.255 ) ( 0.635 2.425 ) ;
+      RECT ( 0.805 2.41 ) ( 0.865 2.425 ) ;
+      RECT ( 4.175 2.425 ) ( 4.465 2.485 ) ;
+      RECT ( 4.175 2.41 ) ( 4.235 2.425 ) ;
+      RECT ( 0.805 2.27 ) ( 4.235 2.41 ) ;
+      RECT ( 0.805 2.255 ) ( 0.865 2.27 ) ;
+      RECT ( 0.575 2.195 ) ( 0.865 2.255 ) ;
+      RECT ( 4.175 2.255 ) ( 4.235 2.27 ) ;
+      RECT ( 4.405 2.41 ) ( 4.465 2.425 ) ;
+      RECT ( 4.405 2.27 ) ( 6.31 2.41 ) ;
+      RECT ( 4.405 2.255 ) ( 4.465 2.27 ) ;
+      RECT ( 4.175 2.195 ) ( 4.465 2.255 ) ;
+      RECT ( 0.65 0.73 ) ( 0.79 2.195 ) ;
+      RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
+      RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
+      RECT ( 1.525 1.995 ) ( 1.585 2.01 ) ;
+      RECT ( 5.615 2.01 ) ( 5.905 2.07 ) ;
+      RECT ( 5.615 1.995 ) ( 5.675 2.01 ) ;
+      RECT ( 1.525 1.855 ) ( 5.675 1.995 ) ;
+      RECT ( 1.525 1.84 ) ( 1.585 1.855 ) ;
+      RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
+      RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
+      RECT ( 2.735 1.615 ) ( 3.025 1.675 ) ;
+      RECT ( 2.735 1.445 ) ( 2.795 1.615 ) ;
+      RECT ( 2.965 1.445 ) ( 3.025 1.615 ) ;
+      RECT ( 2.735 1.385 ) ( 3.025 1.445 ) ;
+      RECT ( 2.81 1.135 ) ( 2.95 1.385 ) ;
+      RECT ( 4.25 1.135 ) ( 4.39 1.855 ) ;
+      RECT ( 5.615 1.84 ) ( 5.675 1.855 ) ;
+      RECT ( 5.845 1.84 ) ( 5.905 2.01 ) ;
+      RECT ( 5.615 1.78 ) ( 5.905 1.84 ) ;
+      RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
+      RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
+      RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
+      RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
+      RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
+      RECT ( 2.735 0.905 ) ( 2.795 1.075 ) ;
+      RECT ( 2.965 0.905 ) ( 3.025 1.075 ) ;
+      RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
+      RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
+      RECT ( 4.175 0.905 ) ( 4.235 1.075 ) ;
+      RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
+      RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
+      RECT ( 5.615 1.075 ) ( 5.905 1.135 ) ;
+      RECT ( 5.615 0.905 ) ( 5.675 1.075 ) ;
+      RECT ( 5.845 1.06 ) ( 5.905 1.075 ) ;
+      RECT ( 6.17 1.06 ) ( 6.31 2.27 ) ;
+      RECT ( 8.57 2.07 ) ( 8.71 2.675 ) ;
+      RECT ( 8.975 2.425 ) ( 9.265 2.485 ) ;
+      RECT ( 8.975 2.255 ) ( 9.035 2.425 ) ;
+      RECT ( 9.205 2.255 ) ( 9.265 2.425 ) ;
+      RECT ( 8.975 2.195 ) ( 9.265 2.255 ) ;
+      RECT ( 7.055 2.01 ) ( 7.345 2.07 ) ;
+      RECT ( 7.055 1.84 ) ( 7.115 2.01 ) ;
+      RECT ( 7.285 1.84 ) ( 7.345 2.01 ) ;
+      RECT ( 7.055 1.78 ) ( 7.345 1.84 ) ;
+      RECT ( 8.495 2.01 ) ( 8.785 2.07 ) ;
+      RECT ( 8.495 1.84 ) ( 8.555 2.01 ) ;
+      RECT ( 8.725 1.84 ) ( 8.785 2.01 ) ;
+      RECT ( 8.495 1.78 ) ( 8.785 1.84 ) ;
+      RECT ( 7.13 1.135 ) ( 7.27 1.78 ) ;
+      RECT ( 5.845 0.92 ) ( 6.31 1.06 ) ;
+      RECT ( 7.055 1.075 ) ( 7.345 1.135 ) ;
+      RECT ( 5.845 0.905 ) ( 5.905 0.92 ) ;
+      RECT ( 5.615 0.845 ) ( 5.905 0.905 ) ;
+      RECT ( 7.055 0.905 ) ( 7.115 1.075 ) ;
+      RECT ( 7.285 1.06 ) ( 7.345 1.075 ) ;
+      RECT ( 9.05 1.06 ) ( 9.19 2.195 ) ;
+      RECT ( 7.285 0.92 ) ( 9.19 1.06 ) ;
+      RECT ( 7.285 0.905 ) ( 7.345 0.92 ) ;
+      RECT ( 7.055 0.845 ) ( 7.345 0.905 ) ;
+      RECT ( 9.05 0.73 ) ( 9.19 0.92 ) ;
+      RECT ( 0.575 0.67 ) ( 0.865 0.73 ) ;
+      RECT ( 0.575 0.5 ) ( 0.635 0.67 ) ;
+      RECT ( 0.805 0.5 ) ( 0.865 0.67 ) ;
+      RECT ( 4.655 0.67 ) ( 4.945 0.73 ) ;
+      RECT ( 0.575 0.44 ) ( 0.865 0.5 ) ;
+      RECT ( 1.775 0.51 ) ( 2.065 0.57 ) ;
+      RECT ( 1.775 0.34 ) ( 1.835 0.51 ) ;
+      RECT ( 2.005 0.34 ) ( 2.065 0.51 ) ;
+      RECT ( 4.655 0.5 ) ( 4.715 0.67 ) ;
+      RECT ( 4.885 0.5 ) ( 4.945 0.67 ) ;
+      RECT ( 8.975 0.67 ) ( 9.265 0.73 ) ;
+      RECT ( 4.655 0.44 ) ( 4.945 0.5 ) ;
+      RECT ( 7.535 0.51 ) ( 7.825 0.57 ) ;
+      RECT ( 1.775 0.24 ) ( 2.065 0.34 ) ;
+      RECT ( 7.535 0.34 ) ( 7.595 0.51 ) ;
+      RECT ( 7.765 0.34 ) ( 7.825 0.51 ) ;
+      RECT ( 8.975 0.5 ) ( 9.035 0.67 ) ;
+      RECT ( 9.205 0.5 ) ( 9.265 0.67 ) ;
+      RECT ( 8.975 0.44 ) ( 9.265 0.5 ) ;
+      RECT ( 7.535 0.24 ) ( 7.825 0.34 ) ;
+      RECT ( 0 0.085 ) ( 10.08 0.24 ) ;
+      RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
+      RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
+      RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
+      RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
+      RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
+      RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
+      RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
+      RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
+      RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
+      RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
+      RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
+      RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
+      RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
+      RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
+      RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
+      RECT ( 7.045 -0.085 ) ( 7.355 0.085 ) ;
+      RECT ( 7.525 -0.085 ) ( 7.835 0.085 ) ;
+      RECT ( 8.005 -0.085 ) ( 8.315 0.085 ) ;
+      RECT ( 8.485 -0.085 ) ( 8.795 0.085 ) ;
+      RECT ( 8.965 -0.085 ) ( 9.275 0.085 ) ;
+      RECT ( 9.445 -0.085 ) ( 9.755 0.085 ) ;
+      RECT ( 9.925 -0.085 ) ( 10.08 0.085 ) ;
+      RECT ( 0 -0.24 ) ( 10.08 -0.085 ) ;
+
+  end
+END XOR2X1
diff --git a/cells/lef/XOR2X1.lef.temp b/cells/lef/XOR2X1.lef.temp
new file mode 100644
index 0000000..d3b321e
--- /dev/null
+++ b/cells/lef/XOR2X1.lef.temp
@@ -0,0 +1,77 @@
+MACRO XOR2X1
+ CLASS CORE ;
+ FOREIGN XOR2X1 0 0 ;
+ SIZE 10.08 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 1.85500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.85500000 ;
+        RECT 5.61500000 1.78000000 5.90500000 1.85500000 ;
+        RECT 1.29500000 1.85500000 5.90500000 1.99500000 ;
+        RECT 1.29500000 1.99500000 1.58500000 2.07000000 ;
+        RECT 5.61500000 1.99500000 5.90500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.38500000 ;
+        RECT 2.73500000 1.38500000 3.02500000 1.67500000 ;
+    END
+  END B
+
+END XOR2X1
diff --git a/cells/lef/fixup.pl b/cells/lef/fixup.pl
new file mode 100644
index 0000000..add71a0
--- /dev/null
+++ b/cells/lef/fixup.pl
@@ -0,0 +1,144 @@
+#!/usr/bin/perl -w
+use strict;
+
+my %layersToDo=("li1"=>1,"mcon"=>1,"locali"=>1,"metal1"=>1);
+
+sub readfile($)
+{
+  if(open MYRIN,"<$_[0]")
+  {
+    my $old=$/;
+    undef $/;
+    my $content=<MYRIN>;
+    close MYRIN;
+    $/=$old;
+    return $content;
+  }
+  return undef;
+}
+
+
+foreach my $origlef (<orig/*.lef>)
+{
+  my $lef=$origlef; $lef=~s/^orig\///;	
+  my $mag="../mag/$lef"; $mag=~s/\.lef$/\.mag/;
+  print STDERR "origlef: $origlef\n lef: $lef\n mag:$mag\n";
+  my $obs="";
+
+
+
+  if(open(MAGIN,"<$mag"))
+  {
+    print "Extracting Obstruction information from $mag\n";	  
+    my $active=0;
+    my $factor=100.0;
+    while(<MAGIN>)
+    {
+      if(m/magscale (\d+) (\d+)/)
+      {
+        $factor=100.0*$2;
+      }
+      if(m/<< (\w+) >>/)
+      {
+        $active=defined($layersToDo{$1});
+	$obs.="    LAYER $1 ;\n" if($active);
+      }
+      if(m/rect (-?\d+) (-?\d+) (-?\d+) (-?\d+)/ && $active)
+      {
+        $obs.="      RECT ( ".($1/$factor)." ".($2/$factor)." ) ( ".($3/$factor)." ".($4/$factor)." ) ;\n";
+      }
+    }
+    close MAGIN;
+  }
+  else
+  {
+     print "ERROR: Could not open magic file $mag : $!\n";
+  }
+
+  #print "$mag\n$obs\n";
+  #next;
+  
+  my $content=readfile("orig/$lef");
+  $content=~s/\sOBS\s.*?\sEND\s//sg;
+  open OUT,">$lef.temp";
+  print OUT $content;
+  close OUT;
+
+  my $cell=$lef; $cell=~s/\.lef//;
+  print "$lef\n";
+  #  open LEFIN,"<orig/$lef";
+  open LEFOUT,">$lef";
+  our $pin="";
+  my $filled=0;
+  our $macro="NOTTOBEFOUND";
+
+  #print "$obs\n";
+  foreach(split "\n",$content) # while(<LEFIN>)
+  {
+    $_.="\n";	  
+    $macro=$1 if(m/MACRO\s+(\w+)/);
+    $pin=$1 if(m/PIN\s+(\w+)/);
+    #s/SYMMETRY X Y R90/SITE unit/;
+    #s/SITE CORE/SYMMETRY X Y R90/;
+    #s/SITE unit.*//;
+    s/metal2/met1/;
+    s/VDD/VPWR/;
+    s/GND/VGND/;
+    s/USE SIGNAL/USE POWER/ if($pin eq "VDD" || $pin eq "VPWR");
+    s/USE POWER/USE GROUND/ if($pin eq "GND" || $pin eq "VGND"); # GND braucht USE GROUND statt USE POWER
+
+    if(m/^\s*END\s+$macro/ && !$filled) # Inject the obstructions here
+    {
+      print LEFOUT "\n  OBS\n$obs\n  end\n";
+      $filled=1;
+    }
+    #print $_;
+    print LEFOUT $_;	   
+    #print "$macro\n";
+    #print $_;
+    if(m/FOREIGN/)
+    {
+      if(open(MAG,"<$mag"))
+      {
+        my $layer="";
+        while(<MAG>)
+        {
+          if(m/<< (\w+) >>/)
+	  {
+            $layer=$1;
+	  }
+          if(m/rect 0 0 (\d+) (\d+)/)
+          {
+            next if($layer eq "checkpaint");
+            my $h=$1;
+            my $w=$2;
+            my $factor=0.01;
+	    #print "$lef -> ".($1*$factor)." ".($2*$factor)."\n";
+	    #print LEFOUT " SIZE ".($1*$factor)." BY ".($2*$factor)." ;\n";
+          }
+          if(m/string FIXED_BBOX 0 0 (\d+) (\d+)/)
+          {
+            my $h=$1;
+            my $w=$2;
+            my $factor=0.01;
+	    #print "$lef -> ".($1*$factor)." ".($2*$factor)."\n";
+	    #print LEFOUT " SIZE ".($1*$factor)." BY ".($2*$factor)." ;\n";
+          }
+
+	}
+        close MAG;
+      }
+    }
+  }
+  close LEFOUT;
+  #close LEFIN;
+
+  system "cp $lef $lef.beforemagic";
+
+  open MAGIC,"|magic -dnull -noconsole -T sky130A";
+  print MAGIC "lef read $lef\n";
+  print MAGIC "load $cell\n";
+  print MAGIC "lef write $lef\n";
+  print MAGIC "quit\n";
+  close MAGIC;
+}
diff --git a/cells/lef/orig/AND2X1.lef b/cells/lef/orig/AND2X1.lef
new file mode 100644
index 0000000..cfcd7d6
--- /dev/null
+++ b/cells/lef/orig/AND2X1.lef
@@ -0,0 +1,175 @@
+MACRO AND2X1
+ CLASS CORE ;
+ FOREIGN AND2X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 1.83500000 2.25500000 2.00500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 0.63500000 2.82000000 0.80500000 2.99000000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 0.87500000 0.50000000 1.04500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 3.19500000 0.24000000 3.52500000 0.59000000 ;
+     RECT 0.79500000 0.42000000 1.12500000 0.75000000 ;
+     RECT 4.63500000 0.42000000 4.96500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 1.75500000 2.17500000 2.08500000 2.50500000 ;
+     RECT 4.63500000 2.17500000 4.96500000 2.50500000 ;
+     RECT 0.55500000 2.74000000 0.88500000 3.09000000 ;
+     RECT 3.19500000 2.74000000 3.52500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 0.87500000 0.50000000 1.04500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 1.83500000 2.25500000 2.00500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 0.63500000 2.82000000 0.80500000 2.99000000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 3.21500000 0.24000000 3.50500000 0.57000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+     RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 0.81500000 0.44000000 1.10500000 0.73000000 ;
+     RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+     RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+     RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+     RECT 0.89000000 0.73000000 1.03000000 2.27000000 ;
+     RECT 1.77500000 2.19500000 2.06500000 2.27000000 ;
+     RECT 4.25000000 2.07000000 4.39000000 2.27000000 ;
+     RECT 0.89000000 2.27000000 4.39000000 2.41000000 ;
+     RECT 1.77500000 2.41000000 2.06500000 2.48500000 ;
+     RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+     RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+     RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+     RECT 0.57500000 2.76000000 0.86500000 3.09000000 ;
+     RECT 3.21500000 2.76000000 3.50500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+ END
+END AND2X1
diff --git a/cells/lef/orig/AND2X2.lef b/cells/lef/orig/AND2X2.lef
new file mode 100644
index 0000000..aa48554
--- /dev/null
+++ b/cells/lef/orig/AND2X2.lef
@@ -0,0 +1,173 @@
+MACRO AND2X2
+ CLASS CORE ;
+ FOREIGN AND2X2 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 1.83500000 2.25500000 2.00500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 0.63500000 2.82000000 0.80500000 2.99000000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 0.87500000 0.50000000 1.04500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 3.19500000 0.24000000 3.52500000 0.59000000 ;
+     RECT 0.79500000 0.42000000 1.12500000 0.75000000 ;
+     RECT 4.63500000 0.42000000 4.96500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 2.79500000 1.15500000 2.96500000 1.76000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 1.75500000 2.17500000 2.08500000 2.50500000 ;
+     RECT 4.63500000 2.17500000 4.96500000 2.50500000 ;
+     RECT 0.55500000 2.74000000 0.88500000 3.09000000 ;
+     RECT 3.19500000 2.74000000 3.52500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 0.87500000 0.50000000 1.04500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 1.83500000 2.25500000 2.00500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 0.63500000 2.82000000 0.80500000 2.99000000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 3.21500000 0.24000000 3.50500000 0.57000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 0.81500000 0.44000000 1.10500000 0.51500000 ;
+     RECT 0.81500000 0.51500000 1.99000000 0.65500000 ;
+     RECT 0.81500000 0.65500000 1.10500000 0.73000000 ;
+     RECT 1.85000000 0.65500000 1.99000000 0.92000000 ;
+     RECT 4.17500000 0.84500000 4.46500000 0.92000000 ;
+     RECT 1.85000000 0.92000000 4.46500000 1.06000000 ;
+     RECT 4.17500000 1.06000000 4.46500000 1.13500000 ;
+     RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+     RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+     RECT 1.85000000 1.06000000 1.99000000 2.19500000 ;
+     RECT 1.77500000 2.19500000 2.06500000 2.48500000 ;
+     RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+     RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+     RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+     RECT 0.57500000 2.76000000 0.86500000 3.09000000 ;
+     RECT 3.21500000 2.76000000 3.50500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+ END
+END AND2X2
diff --git a/cells/lef/orig/AOI21X1.lef b/cells/lef/orig/AOI21X1.lef
new file mode 100644
index 0000000..fe3a99e
--- /dev/null
+++ b/cells/lef/orig/AOI21X1.lef
@@ -0,0 +1,192 @@
+MACRO AOI21X1
+ CLASS CORE ;
+ FOREIGN AOI21X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.51500000 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.51500000 ;
+        RECT 0.57500000 0.51500000 4.94500000 0.65500000 ;
+        RECT 0.57500000 0.65500000 0.86500000 0.73000000 ;
+        RECT 4.65500000 0.65500000 4.94500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END A
+
+  PIN C
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END C
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+    END
+  END B
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 2.31500000 2.25500000 2.48500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 1.75500000 0.24000000 2.08500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 4.63500000 0.42000000 4.96500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 2.23500000 2.17500000 2.56500000 2.50500000 ;
+     RECT 4.63500000 2.17500000 4.96500000 2.50500000 ;
+     RECT 3.19500000 2.74000000 3.52500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 2.31500000 2.25500000 2.48500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+     RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+     RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+     RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.51500000 ;
+     RECT 4.65500000 0.44000000 4.94500000 0.51500000 ;
+     RECT 0.57500000 0.51500000 4.94500000 0.65500000 ;
+     RECT 0.57500000 0.65500000 0.86500000 0.73000000 ;
+     RECT 4.65500000 0.65500000 4.94500000 0.73000000 ;
+     RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+     RECT 2.25500000 2.19500000 2.54500000 2.27000000 ;
+     RECT 4.65500000 2.19500000 4.94500000 2.27000000 ;
+     RECT 2.25500000 2.27000000 4.94500000 2.41000000 ;
+     RECT 2.25500000 2.41000000 2.54500000 2.48500000 ;
+     RECT 4.65500000 2.41000000 4.94500000 2.48500000 ;
+     RECT 3.21500000 2.76000000 3.50500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+ END
+END AOI21X1
diff --git a/cells/lef/orig/AOI22X1.lef b/cells/lef/orig/AOI22X1.lef
new file mode 100644
index 0000000..836bb14
--- /dev/null
+++ b/cells/lef/orig/AOI22X1.lef
@@ -0,0 +1,227 @@
+MACRO AOI22X1
+ CLASS CORE ;
+ FOREIGN AOI22X1 0 0 ;
+ SIZE 7.2 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.81500000 0.44000000 1.10500000 0.51500000 ;
+        RECT 6.09500000 0.44000000 6.38500000 0.51500000 ;
+        RECT 0.81500000 0.51500000 6.38500000 0.65500000 ;
+        RECT 0.81500000 0.65500000 1.10500000 0.73000000 ;
+        RECT 6.09500000 0.65500000 6.38500000 0.73000000 ;
+        RECT 5.21000000 0.65500000 5.35000000 2.19500000 ;
+        RECT 5.13500000 2.19500000 5.42500000 2.48500000 ;
+    END
+  END Y
+
+  PIN D
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 5.61500000 0.84500000 5.90500000 1.13500000 ;
+        RECT 5.69000000 1.13500000 5.83000000 1.78000000 ;
+        RECT 5.61500000 1.78000000 5.90500000 2.07000000 ;
+    END
+  END D
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END B
+
+  PIN C
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+    END
+  END C
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 5.67500000 1.84000000 5.84500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.87500000 2.25500000 1.04500000 2.42500000 ;
+     RECT 3.27500000 2.25500000 3.44500000 2.42500000 ;
+     RECT 5.19500000 2.25500000 5.36500000 2.42500000 ;
+     RECT 6.15500000 2.66000000 6.32500000 2.83000000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 0.87500000 0.50000000 1.04500000 0.67000000 ;
+     RECT 6.15500000 0.50000000 6.32500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+     RECT 3.19500000 0.24000000 3.52500000 0.59000000 ;
+     RECT 0.79500000 0.42000000 1.12500000 0.75000000 ;
+     RECT 6.07500000 0.42000000 6.40500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 5.59500000 0.82500000 5.92500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 5.59500000 1.76000000 5.92500000 2.09000000 ;
+     RECT 0.79500000 2.17500000 1.12500000 2.50500000 ;
+     RECT 3.19500000 2.17500000 3.52500000 2.50500000 ;
+     RECT 5.11500000 2.17500000 5.44500000 2.50500000 ;
+     RECT 6.07500000 2.58000000 6.40500000 2.91000000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 5.91500000 -0.08500000 6.08500000 0.08500000 ;
+     RECT 6.39500000 -0.08500000 6.56500000 0.08500000 ;
+     RECT 6.87500000 -0.08500000 7.04500000 0.08500000 ;
+     RECT 0.87500000 0.50000000 1.04500000 0.67000000 ;
+     RECT 6.15500000 0.50000000 6.32500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 5.67500000 1.84000000 5.84500000 2.01000000 ;
+     RECT 0.87500000 2.25500000 1.04500000 2.42500000 ;
+     RECT 3.27500000 2.25500000 3.44500000 2.42500000 ;
+     RECT 5.19500000 2.25500000 5.36500000 2.42500000 ;
+     RECT 6.15500000 2.66000000 6.32500000 2.83000000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+     RECT 5.91500000 3.24500000 6.08500000 3.41500000 ;
+     RECT 6.39500000 3.24500000 6.56500000 3.41500000 ;
+     RECT 6.87500000 3.24500000 7.04500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+     RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+     RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+     RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+     RECT 5.61500000 0.84500000 5.90500000 1.13500000 ;
+     RECT 5.69000000 1.13500000 5.83000000 1.78000000 ;
+     RECT 5.61500000 1.78000000 5.90500000 2.07000000 ;
+     RECT 0.81500000 0.44000000 1.10500000 0.51500000 ;
+     RECT 6.09500000 0.44000000 6.38500000 0.51500000 ;
+     RECT 0.81500000 0.51500000 6.38500000 0.65500000 ;
+     RECT 0.81500000 0.65500000 1.10500000 0.73000000 ;
+     RECT 6.09500000 0.65500000 6.38500000 0.73000000 ;
+     RECT 5.21000000 0.65500000 5.35000000 2.19500000 ;
+     RECT 5.13500000 2.19500000 5.42500000 2.48500000 ;
+     RECT 0.81500000 2.19500000 1.10500000 2.27000000 ;
+     RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+     RECT 0.81500000 2.27000000 3.50500000 2.41000000 ;
+     RECT 0.81500000 2.41000000 1.10500000 2.48500000 ;
+     RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+     RECT 3.29000000 2.48500000 3.43000000 2.67500000 ;
+     RECT 6.09500000 2.60000000 6.38500000 2.67500000 ;
+     RECT 3.29000000 2.67500000 6.38500000 2.81500000 ;
+     RECT 6.09500000 2.81500000 6.38500000 2.89000000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+
+ END
+END AOI22X1
diff --git a/cells/lef/orig/BUFX2.lef b/cells/lef/orig/BUFX2.lef
new file mode 100644
index 0000000..465b8cf
--- /dev/null
+++ b/cells/lef/orig/BUFX2.lef
@@ -0,0 +1,139 @@
+MACRO BUFX2
+ CLASS CORE ;
+ FOREIGN BUFX2 0 0 ;
+ SIZE 4.32 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 3.21500000 0.44000000 3.50500000 0.73000000 ;
+        RECT 3.29000000 0.73000000 3.43000000 2.19500000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 3.27500000 2.25500000 3.44500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 3.27500000 0.50000000 3.44500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+     RECT 1.75500000 0.24000000 2.08500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 3.19500000 0.42000000 3.52500000 0.75000000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 1.35500000 1.15500000 1.52500000 1.76000000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 3.19500000 2.17500000 3.52500000 2.50500000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 3.27500000 0.50000000 3.44500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 3.27500000 2.25500000 3.44500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+     RECT 1.77500000 0.24000000 2.06500000 0.57000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+     RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+     RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+     RECT 0.65000000 0.73000000 0.79000000 1.85500000 ;
+     RECT 2.73500000 1.78000000 3.02500000 1.85500000 ;
+     RECT 0.65000000 1.85500000 3.02500000 1.99500000 ;
+     RECT 2.73500000 1.99500000 3.02500000 2.07000000 ;
+     RECT 0.65000000 1.99500000 0.79000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+     RECT 3.21500000 0.44000000 3.50500000 0.73000000 ;
+     RECT 3.29000000 0.73000000 3.43000000 2.19500000 ;
+     RECT 3.21500000 2.19500000 3.50500000 2.48500000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+
+ END
+END BUFX2
diff --git a/cells/lef/orig/BUFX4.lef b/cells/lef/orig/BUFX4.lef
new file mode 100644
index 0000000..3d28e93
--- /dev/null
+++ b/cells/lef/orig/BUFX4.lef
@@ -0,0 +1,174 @@
+MACRO BUFX4
+ CLASS CORE ;
+ FOREIGN BUFX4 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 3.69500000 0.44000000 3.98500000 0.51500000 ;
+        RECT 3.69500000 0.51500000 4.87000000 0.65500000 ;
+        RECT 3.69500000 0.65500000 3.98500000 0.73000000 ;
+        RECT 3.69500000 2.19500000 3.98500000 2.27000000 ;
+        RECT 4.73000000 0.65500000 4.87000000 2.27000000 ;
+        RECT 3.69500000 2.27000000 4.87000000 2.41000000 ;
+        RECT 3.69500000 2.41000000 3.98500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 1.25000000 1.58500000 1.54000000 ;
+        RECT 1.37000000 1.54000000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 3.75500000 2.25500000 3.92500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 4.71500000 2.82000000 4.88500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 4.71500000 0.34000000 4.88500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 3.75500000 0.50000000 3.92500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 1.75500000 0.24000000 2.08500000 0.59000000 ;
+     RECT 4.63500000 0.24000000 4.96500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 3.67500000 0.42000000 4.00500000 0.75000000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 1.35500000 1.15500000 1.52500000 1.48000000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 3.67500000 2.17500000 4.00500000 2.50500000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 4.63500000 2.74000000 4.96500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 3.75500000 0.50000000 3.92500000 0.67000000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.31000000 1.52500000 1.48000000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 3.75500000 2.25500000 3.92500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 4.71500000 2.82000000 4.88500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 1.77500000 0.24000000 2.06500000 0.57000000 ;
+     RECT 1.29500000 1.25000000 1.58500000 1.54000000 ;
+     RECT 1.37000000 1.54000000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+     RECT 0.65000000 0.73000000 0.79000000 0.92000000 ;
+     RECT 2.73500000 0.84500000 3.02500000 0.92000000 ;
+     RECT 4.17500000 0.84500000 4.46500000 0.92000000 ;
+     RECT 0.65000000 0.92000000 4.46500000 1.06000000 ;
+     RECT 2.73500000 1.06000000 3.02500000 1.13500000 ;
+     RECT 4.17500000 1.06000000 4.46500000 1.13500000 ;
+     RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+     RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+     RECT 0.65000000 1.06000000 0.79000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+     RECT 3.69500000 0.44000000 3.98500000 0.51500000 ;
+     RECT 3.69500000 0.51500000 4.87000000 0.65500000 ;
+     RECT 3.69500000 0.65500000 3.98500000 0.73000000 ;
+     RECT 3.69500000 2.19500000 3.98500000 2.27000000 ;
+     RECT 4.73000000 0.65500000 4.87000000 2.27000000 ;
+     RECT 3.69500000 2.27000000 4.87000000 2.41000000 ;
+     RECT 3.69500000 2.41000000 3.98500000 2.48500000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 4.65500000 2.76000000 4.94500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+ END
+END BUFX4
diff --git a/cells/lef/orig/CLKBUF1.lef b/cells/lef/orig/CLKBUF1.lef
new file mode 100644
index 0000000..af35e64
--- /dev/null
+++ b/cells/lef/orig/CLKBUF1.lef
@@ -0,0 +1,310 @@
+MACRO CLKBUF1
+ CLASS CORE ;
+ FOREIGN CLKBUF1 0 0 ;
+ SIZE 12.96 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 12.96000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 12.96000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 12.96000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 12.96000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 10.41500000 0.44000000 10.70500000 0.73000000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.54000000 2.95000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+        RECT 1.37000000 2.07000000 1.51000000 2.67500000 ;
+        RECT 2.81000000 2.07000000 2.95000000 2.67500000 ;
+        RECT 1.37000000 2.67500000 2.95000000 2.81500000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 7.11500000 0.90500000 7.28500000 1.07500000 ;
+     RECT 8.55500000 0.90500000 8.72500000 1.07500000 ;
+     RECT 9.99500000 0.90500000 10.16500000 1.07500000 ;
+     RECT 11.43500000 0.90500000 11.60500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 5.67500000 1.84000000 5.84500000 2.01000000 ;
+     RECT 7.11500000 1.84000000 7.28500000 2.01000000 ;
+     RECT 8.55500000 1.84000000 8.72500000 2.01000000 ;
+     RECT 9.99500000 1.84000000 10.16500000 2.01000000 ;
+     RECT 11.43500000 1.84000000 11.60500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 1.83500000 2.25500000 2.00500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 7.59500000 2.25500000 7.76500000 2.42500000 ;
+     RECT 10.47500000 2.25500000 10.64500000 2.42500000 ;
+     RECT 0.63500000 2.82000000 0.80500000 2.99000000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+     RECT 6.15500000 2.82000000 6.32500000 2.99000000 ;
+     RECT 9.03500000 2.82000000 9.20500000 2.99000000 ;
+     RECT 11.91500000 2.82000000 12.08500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 0.63500000 0.34000000 0.80500000 0.51000000 ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 6.15500000 0.34000000 6.32500000 0.51000000 ;
+     RECT 9.03500000 0.34000000 9.20500000 0.51000000 ;
+     RECT 11.91500000 0.34000000 12.08500000 0.51000000 ;
+     RECT 1.83500000 0.50000000 2.00500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+     RECT 7.59500000 0.50000000 7.76500000 0.67000000 ;
+     RECT 10.47500000 0.50000000 10.64500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 12.96000000 0.24000000 ;
+     RECT 0.55500000 0.24000000 0.88500000 0.59000000 ;
+     RECT 3.19500000 0.24000000 3.52500000 0.59000000 ;
+     RECT 6.07500000 0.24000000 6.40500000 0.59000000 ;
+     RECT 8.95500000 0.24000000 9.28500000 0.59000000 ;
+     RECT 11.83500000 0.24000000 12.16500000 0.59000000 ;
+     RECT 1.75500000 0.42000000 2.08500000 0.75000000 ;
+     RECT 4.63500000 0.42000000 4.96500000 0.75000000 ;
+     RECT 7.51500000 0.42000000 7.84500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 5.59500000 0.82500000 5.92500000 1.15500000 ;
+     RECT 7.03500000 0.82500000 7.36500000 1.15500000 ;
+     RECT 8.47500000 0.82500000 8.80500000 1.15500000 ;
+     RECT 9.91500000 0.82500000 10.24500000 1.15500000 ;
+     RECT 11.35500000 0.82500000 11.68500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 2.79500000 1.15500000 2.96500000 1.48000000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 7.03500000 1.76000000 7.36500000 2.09000000 ;
+     RECT 9.91500000 1.76000000 10.24500000 2.09000000 ;
+     RECT 11.35500000 1.76000000 11.68500000 2.09000000 ;
+     RECT 1.75500000 2.17500000 2.08500000 2.50500000 ;
+     RECT 4.63500000 2.17500000 4.96500000 2.50500000 ;
+     RECT 7.51500000 2.17500000 7.84500000 2.50500000 ;
+     RECT 10.39500000 0.42000000 10.72500000 0.75000000 ;
+     RECT 10.47500000 0.75000000 10.64500000 2.17500000 ;
+     RECT 10.39500000 2.17500000 10.72500000 2.50500000 ;
+     RECT 5.67500000 1.44500000 5.84500000 1.76000000 ;
+     RECT 5.59500000 1.76000000 5.92500000 2.09000000 ;
+     RECT 5.67500000 2.09000000 5.84500000 2.83000000 ;
+     RECT 8.55500000 1.44500000 8.72500000 1.76000000 ;
+     RECT 8.47500000 1.76000000 8.80500000 2.09000000 ;
+     RECT 8.55500000 2.09000000 8.72500000 2.83000000 ;
+     RECT 0.55500000 2.74000000 0.88500000 3.09000000 ;
+     RECT 3.19500000 2.74000000 3.52500000 3.09000000 ;
+     RECT 6.07500000 2.74000000 6.40500000 3.09000000 ;
+     RECT 8.95500000 2.74000000 9.28500000 3.09000000 ;
+     RECT 11.83500000 2.74000000 12.16500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 12.96000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 5.91500000 -0.08500000 6.08500000 0.08500000 ;
+     RECT 6.39500000 -0.08500000 6.56500000 0.08500000 ;
+     RECT 6.87500000 -0.08500000 7.04500000 0.08500000 ;
+     RECT 7.35500000 -0.08500000 7.52500000 0.08500000 ;
+     RECT 7.83500000 -0.08500000 8.00500000 0.08500000 ;
+     RECT 8.31500000 -0.08500000 8.48500000 0.08500000 ;
+     RECT 8.79500000 -0.08500000 8.96500000 0.08500000 ;
+     RECT 9.27500000 -0.08500000 9.44500000 0.08500000 ;
+     RECT 9.75500000 -0.08500000 9.92500000 0.08500000 ;
+     RECT 10.23500000 -0.08500000 10.40500000 0.08500000 ;
+     RECT 10.71500000 -0.08500000 10.88500000 0.08500000 ;
+     RECT 11.19500000 -0.08500000 11.36500000 0.08500000 ;
+     RECT 11.67500000 -0.08500000 11.84500000 0.08500000 ;
+     RECT 12.15500000 -0.08500000 12.32500000 0.08500000 ;
+     RECT 12.63500000 -0.08500000 12.80500000 0.08500000 ;
+     RECT 0.63500000 0.34000000 0.80500000 0.51000000 ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 6.15500000 0.34000000 6.32500000 0.51000000 ;
+     RECT 9.03500000 0.34000000 9.20500000 0.51000000 ;
+     RECT 11.91500000 0.34000000 12.08500000 0.51000000 ;
+     RECT 1.83500000 0.50000000 2.00500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+     RECT 7.59500000 0.50000000 7.76500000 0.67000000 ;
+     RECT 10.47500000 0.50000000 10.64500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 7.11500000 0.90500000 7.28500000 1.07500000 ;
+     RECT 8.55500000 0.90500000 8.72500000 1.07500000 ;
+     RECT 9.99500000 0.90500000 10.16500000 1.07500000 ;
+     RECT 11.43500000 0.90500000 11.60500000 1.07500000 ;
+     RECT 2.79500000 1.31000000 2.96500000 1.48000000 ;
+     RECT 5.67500000 1.44500000 5.84500000 1.61500000 ;
+     RECT 8.55500000 1.44500000 8.72500000 1.61500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 7.11500000 1.84000000 7.28500000 2.01000000 ;
+     RECT 9.99500000 1.84000000 10.16500000 2.01000000 ;
+     RECT 11.43500000 1.84000000 11.60500000 2.01000000 ;
+     RECT 1.83500000 2.25500000 2.00500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 7.59500000 2.25500000 7.76500000 2.42500000 ;
+     RECT 5.67500000 2.66000000 5.84500000 2.83000000 ;
+     RECT 8.55500000 2.66000000 8.72500000 2.83000000 ;
+     RECT 0.63500000 2.82000000 0.80500000 2.99000000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+     RECT 6.15500000 2.82000000 6.32500000 2.99000000 ;
+     RECT 9.03500000 2.82000000 9.20500000 2.99000000 ;
+     RECT 11.91500000 2.82000000 12.08500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+     RECT 5.91500000 3.24500000 6.08500000 3.41500000 ;
+     RECT 6.39500000 3.24500000 6.56500000 3.41500000 ;
+     RECT 6.87500000 3.24500000 7.04500000 3.41500000 ;
+     RECT 7.35500000 3.24500000 7.52500000 3.41500000 ;
+     RECT 7.83500000 3.24500000 8.00500000 3.41500000 ;
+     RECT 8.31500000 3.24500000 8.48500000 3.41500000 ;
+     RECT 8.79500000 3.24500000 8.96500000 3.41500000 ;
+     RECT 9.27500000 3.24500000 9.44500000 3.41500000 ;
+     RECT 9.75500000 3.24500000 9.92500000 3.41500000 ;
+     RECT 10.23500000 3.24500000 10.40500000 3.41500000 ;
+     RECT 10.71500000 3.24500000 10.88500000 3.41500000 ;
+     RECT 11.19500000 3.24500000 11.36500000 3.41500000 ;
+     RECT 11.67500000 3.24500000 11.84500000 3.41500000 ;
+     RECT 12.15500000 3.24500000 12.32500000 3.41500000 ;
+     RECT 12.63500000 3.24500000 12.80500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 12.96000000 0.24000000 ;
+     RECT 0.57500000 0.24000000 0.86500000 0.57000000 ;
+     RECT 3.21500000 0.24000000 3.50500000 0.57000000 ;
+     RECT 6.09500000 0.24000000 6.38500000 0.57000000 ;
+     RECT 8.97500000 0.24000000 9.26500000 0.57000000 ;
+     RECT 11.85500000 0.24000000 12.14500000 0.57000000 ;
+     RECT 10.41500000 0.44000000 10.70500000 0.73000000 ;
+     RECT 5.61500000 0.84500000 5.90500000 1.13500000 ;
+     RECT 5.69000000 1.13500000 5.83000000 1.38500000 ;
+     RECT 5.61500000 1.38500000 5.90500000 1.67500000 ;
+     RECT 8.49500000 0.84500000 8.78500000 1.13500000 ;
+     RECT 8.57000000 1.13500000 8.71000000 1.38500000 ;
+     RECT 8.49500000 1.38500000 8.78500000 1.67500000 ;
+     RECT 7.53500000 0.44000000 7.82500000 0.73000000 ;
+     RECT 9.93500000 0.84500000 10.22500000 1.13500000 ;
+     RECT 11.37500000 0.84500000 11.66500000 1.13500000 ;
+     RECT 10.01000000 1.13500000 10.15000000 1.78000000 ;
+     RECT 11.45000000 1.13500000 11.59000000 1.78000000 ;
+     RECT 7.61000000 0.73000000 7.75000000 1.85500000 ;
+     RECT 9.93500000 1.78000000 10.22500000 1.85500000 ;
+     RECT 11.37500000 1.78000000 11.66500000 1.85500000 ;
+     RECT 7.61000000 1.85500000 11.66500000 1.99500000 ;
+     RECT 9.93500000 1.99500000 10.22500000 2.07000000 ;
+     RECT 11.37500000 1.99500000 11.66500000 2.07000000 ;
+     RECT 7.61000000 1.99500000 7.75000000 2.19500000 ;
+     RECT 7.53500000 2.19500000 7.82500000 2.48500000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 2.81000000 1.54000000 2.95000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 1.37000000 2.07000000 1.51000000 2.67500000 ;
+     RECT 2.81000000 2.07000000 2.95000000 2.67500000 ;
+     RECT 1.37000000 2.67500000 2.95000000 2.81500000 ;
+     RECT 1.77500000 0.44000000 2.06500000 0.73000000 ;
+     RECT 1.85000000 0.73000000 1.99000000 0.92000000 ;
+     RECT 4.17500000 0.84500000 4.46500000 0.92000000 ;
+     RECT 1.85000000 0.92000000 4.46500000 1.06000000 ;
+     RECT 4.17500000 1.06000000 4.46500000 1.13500000 ;
+     RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+     RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+     RECT 1.85000000 1.06000000 1.99000000 2.19500000 ;
+     RECT 1.77500000 2.19500000 2.06500000 2.48500000 ;
+     RECT 4.25000000 2.07000000 4.39000000 2.67500000 ;
+     RECT 5.61500000 2.60000000 5.90500000 2.67500000 ;
+     RECT 4.25000000 2.67500000 5.90500000 2.81500000 ;
+     RECT 5.61500000 2.81500000 5.90500000 2.89000000 ;
+     RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+     RECT 7.05500000 0.84500000 7.34500000 1.13500000 ;
+     RECT 7.13000000 1.13500000 7.27000000 1.78000000 ;
+     RECT 4.73000000 0.73000000 4.87000000 1.85500000 ;
+     RECT 7.05500000 1.78000000 7.34500000 1.85500000 ;
+     RECT 4.73000000 1.85500000 7.34500000 1.99500000 ;
+     RECT 7.05500000 1.99500000 7.34500000 2.07000000 ;
+     RECT 4.73000000 1.99500000 4.87000000 2.19500000 ;
+     RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+     RECT 7.13000000 2.07000000 7.27000000 2.67500000 ;
+     RECT 8.49500000 2.60000000 8.78500000 2.67500000 ;
+     RECT 7.13000000 2.67500000 8.78500000 2.81500000 ;
+     RECT 8.49500000 2.81500000 8.78500000 2.89000000 ;
+     RECT 0.57500000 2.76000000 0.86500000 3.09000000 ;
+     RECT 3.21500000 2.76000000 3.50500000 3.09000000 ;
+     RECT 6.09500000 2.76000000 6.38500000 3.09000000 ;
+     RECT 8.97500000 2.76000000 9.26500000 3.09000000 ;
+     RECT 11.85500000 2.76000000 12.14500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 12.96000000 3.57000000 ;
+
+ END
+END CLKBUF1
diff --git a/cells/lef/orig/INV.lef b/cells/lef/orig/INV.lef
new file mode 100644
index 0000000..7bf6f3b
--- /dev/null
+++ b/cells/lef/orig/INV.lef
@@ -0,0 +1,116 @@
+MACRO INV
+ CLASS CORE ;
+ FOREIGN INV 0 0 ;
+ SIZE 2.88 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+     RECT 1.75500000 0.24000000 2.08500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+     RECT 1.77500000 0.24000000 2.06500000 0.57000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+     RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+
+ END
+END INV
diff --git a/cells/lef/orig/INVX1.lef b/cells/lef/orig/INVX1.lef
new file mode 100644
index 0000000..67d58e1
--- /dev/null
+++ b/cells/lef/orig/INVX1.lef
@@ -0,0 +1,116 @@
+MACRO INVX1
+ CLASS CORE ;
+ FOREIGN INVX1 0 0 ;
+ SIZE 2.88 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+     RECT 1.75500000 0.24000000 2.08500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+     RECT 1.77500000 0.24000000 2.06500000 0.57000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+     RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+
+ END
+END INVX1
diff --git a/cells/lef/orig/INVX2.lef b/cells/lef/orig/INVX2.lef
new file mode 100644
index 0000000..fbe8379
--- /dev/null
+++ b/cells/lef/orig/INVX2.lef
@@ -0,0 +1,116 @@
+MACRO INVX2
+ CLASS CORE ;
+ FOREIGN INVX2 0 0 ;
+ SIZE 2.88 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+     RECT 1.75500000 0.24000000 2.08500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
+     RECT 1.77500000 0.24000000 2.06500000 0.57000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+     RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
+
+ END
+END INVX2
diff --git a/cells/lef/orig/INVX4.lef b/cells/lef/orig/INVX4.lef
new file mode 100644
index 0000000..1049b43
--- /dev/null
+++ b/cells/lef/orig/INVX4.lef
@@ -0,0 +1,158 @@
+MACRO INVX4
+ CLASS CORE ;
+ FOREIGN INVX4 0 0 ;
+ SIZE 4.32 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 3.21500000 0.44000000 3.50500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 3.29000000 0.73000000 3.43000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+        RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+        RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+        RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 1.85500000 ;
+        RECT 2.73500000 1.78000000 3.02500000 1.85500000 ;
+        RECT 1.29500000 1.85500000 3.02500000 1.99500000 ;
+        RECT 1.29500000 1.99500000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.99500000 3.02500000 2.07000000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 3.27500000 2.25500000 3.44500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 3.27500000 0.50000000 3.44500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+     RECT 1.75500000 0.24000000 2.08500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 3.19500000 0.42000000 3.52500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 3.19500000 2.17500000 3.52500000 2.50500000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 3.27500000 0.50000000 3.44500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 3.27500000 2.25500000 3.44500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+     RECT 1.77500000 0.24000000 2.06500000 0.57000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 1.85500000 ;
+     RECT 2.73500000 1.78000000 3.02500000 1.85500000 ;
+     RECT 1.29500000 1.85500000 3.02500000 1.99500000 ;
+     RECT 1.29500000 1.99500000 1.58500000 2.07000000 ;
+     RECT 2.73500000 1.99500000 3.02500000 2.07000000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+     RECT 3.21500000 0.44000000 3.50500000 0.73000000 ;
+     RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+     RECT 3.29000000 0.73000000 3.43000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+     RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+     RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+     RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+     RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+
+ END
+END INVX4
diff --git a/cells/lef/orig/INVX8.lef b/cells/lef/orig/INVX8.lef
new file mode 100644
index 0000000..fc8e72b
--- /dev/null
+++ b/cells/lef/orig/INVX8.lef
@@ -0,0 +1,220 @@
+MACRO INVX8
+ CLASS CORE ;
+ FOREIGN INVX8 0 0 ;
+ SIZE 7.2 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 3.69500000 0.44000000 3.98500000 0.51500000 ;
+        RECT 6.09500000 0.44000000 6.38500000 0.51500000 ;
+        RECT 3.69500000 0.51500000 6.38500000 0.65500000 ;
+        RECT 0.81500000 0.44000000 1.10500000 0.73000000 ;
+        RECT 3.69500000 0.65500000 3.98500000 0.73000000 ;
+        RECT 6.09500000 0.65500000 6.38500000 0.73000000 ;
+        RECT 0.89000000 0.73000000 1.03000000 2.19500000 ;
+        RECT 6.17000000 0.73000000 6.31000000 2.19500000 ;
+        RECT 0.81500000 2.19500000 1.10500000 2.27000000 ;
+        RECT 3.69500000 2.19500000 3.98500000 2.27000000 ;
+        RECT 6.09500000 2.19500000 6.38500000 2.27000000 ;
+        RECT 0.81500000 2.27000000 6.38500000 2.41000000 ;
+        RECT 0.81500000 2.41000000 1.10500000 2.48500000 ;
+        RECT 3.69500000 2.41000000 3.98500000 2.48500000 ;
+        RECT 6.09500000 2.41000000 6.38500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+        RECT 2.73500000 0.84500000 3.02500000 0.92000000 ;
+        RECT 4.17500000 0.84500000 4.46500000 0.92000000 ;
+        RECT 5.61500000 0.84500000 5.90500000 0.92000000 ;
+        RECT 1.29500000 0.92000000 5.90500000 1.06000000 ;
+        RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+        RECT 2.73500000 1.06000000 3.02500000 1.13500000 ;
+        RECT 4.17500000 1.06000000 4.46500000 1.13500000 ;
+        RECT 5.61500000 1.06000000 5.90500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 5.69000000 1.13500000 5.83000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+        RECT 5.61500000 1.78000000 5.90500000 2.07000000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 5.67500000 1.84000000 5.84500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.87500000 2.25500000 1.04500000 2.42500000 ;
+     RECT 3.75500000 2.25500000 3.92500000 2.42500000 ;
+     RECT 6.15500000 2.25500000 6.32500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 4.71500000 2.82000000 4.88500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 4.71500000 0.34000000 4.88500000 0.51000000 ;
+     RECT 0.87500000 0.50000000 1.04500000 0.67000000 ;
+     RECT 3.75500000 0.50000000 3.92500000 0.67000000 ;
+     RECT 6.15500000 0.50000000 6.32500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+     RECT 1.75500000 0.24000000 2.08500000 0.59000000 ;
+     RECT 4.63500000 0.24000000 4.96500000 0.59000000 ;
+     RECT 0.79500000 0.42000000 1.12500000 0.75000000 ;
+     RECT 3.67500000 0.42000000 4.00500000 0.75000000 ;
+     RECT 6.07500000 0.42000000 6.40500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 5.59500000 0.82500000 5.92500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 5.59500000 1.76000000 5.92500000 2.09000000 ;
+     RECT 0.79500000 2.17500000 1.12500000 2.50500000 ;
+     RECT 3.67500000 2.17500000 4.00500000 2.50500000 ;
+     RECT 6.07500000 2.17500000 6.40500000 2.50500000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 4.63500000 2.74000000 4.96500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 5.91500000 -0.08500000 6.08500000 0.08500000 ;
+     RECT 6.39500000 -0.08500000 6.56500000 0.08500000 ;
+     RECT 6.87500000 -0.08500000 7.04500000 0.08500000 ;
+     RECT 0.87500000 0.50000000 1.04500000 0.67000000 ;
+     RECT 3.75500000 0.50000000 3.92500000 0.67000000 ;
+     RECT 6.15500000 0.50000000 6.32500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 5.67500000 1.84000000 5.84500000 2.01000000 ;
+     RECT 0.87500000 2.25500000 1.04500000 2.42500000 ;
+     RECT 3.75500000 2.25500000 3.92500000 2.42500000 ;
+     RECT 6.15500000 2.25500000 6.32500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 4.71500000 2.82000000 4.88500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+     RECT 5.91500000 3.24500000 6.08500000 3.41500000 ;
+     RECT 6.39500000 3.24500000 6.56500000 3.41500000 ;
+     RECT 6.87500000 3.24500000 7.04500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+     RECT 2.73500000 0.84500000 3.02500000 0.92000000 ;
+     RECT 4.17500000 0.84500000 4.46500000 0.92000000 ;
+     RECT 5.61500000 0.84500000 5.90500000 0.92000000 ;
+     RECT 1.29500000 0.92000000 5.90500000 1.06000000 ;
+     RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+     RECT 2.73500000 1.06000000 3.02500000 1.13500000 ;
+     RECT 4.17500000 1.06000000 4.46500000 1.13500000 ;
+     RECT 5.61500000 1.06000000 5.90500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+     RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+     RECT 5.69000000 1.13500000 5.83000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+     RECT 5.61500000 1.78000000 5.90500000 2.07000000 ;
+     RECT 3.69500000 0.44000000 3.98500000 0.51500000 ;
+     RECT 6.09500000 0.44000000 6.38500000 0.51500000 ;
+     RECT 3.69500000 0.51500000 6.38500000 0.65500000 ;
+     RECT 0.81500000 0.44000000 1.10500000 0.73000000 ;
+     RECT 3.69500000 0.65500000 3.98500000 0.73000000 ;
+     RECT 6.09500000 0.65500000 6.38500000 0.73000000 ;
+     RECT 0.89000000 0.73000000 1.03000000 2.19500000 ;
+     RECT 6.17000000 0.73000000 6.31000000 2.19500000 ;
+     RECT 0.81500000 2.19500000 1.10500000 2.27000000 ;
+     RECT 3.69500000 2.19500000 3.98500000 2.27000000 ;
+     RECT 6.09500000 2.19500000 6.38500000 2.27000000 ;
+     RECT 0.81500000 2.27000000 6.38500000 2.41000000 ;
+     RECT 0.81500000 2.41000000 1.10500000 2.48500000 ;
+     RECT 3.69500000 2.41000000 3.98500000 2.48500000 ;
+     RECT 6.09500000 2.41000000 6.38500000 2.48500000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 4.65500000 2.76000000 4.94500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
+
+ END
+END INVX8
diff --git a/cells/lef/orig/MUX2X1.lef b/cells/lef/orig/MUX2X1.lef
new file mode 100644
index 0000000..c7a43c0
--- /dev/null
+++ b/cells/lef/orig/MUX2X1.lef
@@ -0,0 +1,245 @@
+MACRO MUX2X1
+ CLASS CORE ;
+ FOREIGN MUX2X1 0 0 ;
+ SIZE 8.64 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 8.64000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 8.64000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 8.64000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 8.64000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 5.13500000 0.44000000 5.42500000 0.51500000 ;
+        RECT 5.13500000 0.51500000 6.31000000 0.65500000 ;
+        RECT 5.13500000 0.65500000 5.42500000 0.73000000 ;
+        RECT 5.13500000 2.19500000 5.42500000 2.27000000 ;
+        RECT 6.17000000 0.65500000 6.31000000 2.27000000 ;
+        RECT 5.13500000 2.27000000 6.31000000 2.41000000 ;
+        RECT 5.13500000 2.41000000 5.42500000 2.48500000 ;
+    END
+  END Y
+
+  PIN S
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+        RECT 3.21500000 0.84500000 3.50500000 0.92000000 ;
+        RECT 1.29500000 0.92000000 3.50500000 1.06000000 ;
+        RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+        RECT 3.21500000 1.06000000 3.50500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.38500000 ;
+        RECT 1.29500000 1.38500000 1.58500000 1.67500000 ;
+    END
+  END S
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 7.05500000 0.84500000 7.34500000 1.13500000 ;
+        RECT 7.13000000 1.13500000 7.27000000 1.78000000 ;
+        RECT 7.05500000 1.78000000 7.34500000 2.07000000 ;
+    END
+  END B
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 7.11500000 0.90500000 7.28500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 5.67500000 1.84000000 5.84500000 2.01000000 ;
+     RECT 7.11500000 1.84000000 7.28500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 5.19500000 2.25500000 5.36500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 7.59500000 2.82000000 7.76500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 7.59500000 0.34000000 7.76500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 5.19500000 0.50000000 5.36500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 8.64000000 0.24000000 ;
+     RECT 1.75500000 0.24000000 2.08500000 0.59000000 ;
+     RECT 7.51500000 0.24000000 7.84500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 5.11500000 0.42000000 5.44500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 4.15500000 0.82500000 4.48500000 0.90500000 ;
+     RECT 3.27500000 0.90500000 4.48500000 1.07500000 ;
+     RECT 4.15500000 1.07500000 4.48500000 1.15500000 ;
+     RECT 5.59500000 0.82500000 5.92500000 1.15500000 ;
+     RECT 7.03500000 0.82500000 7.36500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 2.79500000 1.15500000 2.96500000 1.76000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 5.59500000 1.76000000 5.92500000 2.09000000 ;
+     RECT 7.03500000 1.76000000 7.36500000 2.09000000 ;
+     RECT 1.35500000 1.44500000 1.52500000 1.76000000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 1.35500000 2.09000000 1.52500000 2.42500000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 5.11500000 2.17500000 5.44500000 2.50500000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 7.51500000 2.74000000 7.84500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 8.64000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 5.91500000 -0.08500000 6.08500000 0.08500000 ;
+     RECT 6.39500000 -0.08500000 6.56500000 0.08500000 ;
+     RECT 6.87500000 -0.08500000 7.04500000 0.08500000 ;
+     RECT 7.35500000 -0.08500000 7.52500000 0.08500000 ;
+     RECT 7.83500000 -0.08500000 8.00500000 0.08500000 ;
+     RECT 8.31500000 -0.08500000 8.48500000 0.08500000 ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 7.59500000 0.34000000 7.76500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 5.19500000 0.50000000 5.36500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 3.27500000 0.90500000 3.44500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 7.11500000 0.90500000 7.28500000 1.07500000 ;
+     RECT 2.79500000 1.31000000 2.96500000 1.48000000 ;
+     RECT 1.35500000 1.44500000 1.52500000 1.61500000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 5.67500000 1.84000000 5.84500000 2.01000000 ;
+     RECT 7.11500000 1.84000000 7.28500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 1.35500000 2.25500000 1.52500000 2.42500000 ;
+     RECT 5.19500000 2.25500000 5.36500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 7.59500000 2.82000000 7.76500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+     RECT 5.91500000 3.24500000 6.08500000 3.41500000 ;
+     RECT 6.39500000 3.24500000 6.56500000 3.41500000 ;
+     RECT 6.87500000 3.24500000 7.04500000 3.41500000 ;
+     RECT 7.35500000 3.24500000 7.52500000 3.41500000 ;
+     RECT 7.83500000 3.24500000 8.00500000 3.41500000 ;
+     RECT 8.31500000 3.24500000 8.48500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 8.64000000 0.24000000 ;
+     RECT 1.77500000 0.24000000 2.06500000 0.57000000 ;
+     RECT 7.53500000 0.24000000 7.82500000 0.57000000 ;
+     RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+     RECT 3.21500000 0.84500000 3.50500000 0.92000000 ;
+     RECT 1.29500000 0.92000000 3.50500000 1.06000000 ;
+     RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+     RECT 3.21500000 1.06000000 3.50500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.38500000 ;
+     RECT 1.29500000 1.38500000 1.58500000 1.67500000 ;
+     RECT 7.05500000 0.84500000 7.34500000 1.13500000 ;
+     RECT 7.13000000 1.13500000 7.27000000 1.78000000 ;
+     RECT 7.05500000 1.78000000 7.34500000 2.07000000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+     RECT 5.61500000 0.84500000 5.90500000 0.92000000 ;
+     RECT 4.25000000 0.92000000 5.90500000 1.06000000 ;
+     RECT 5.61500000 1.06000000 5.90500000 1.13500000 ;
+     RECT 4.25000000 1.06000000 4.39000000 1.78000000 ;
+     RECT 0.65000000 0.73000000 0.79000000 1.85500000 ;
+     RECT 4.17500000 1.78000000 4.46500000 1.85500000 ;
+     RECT 0.65000000 1.85500000 4.46500000 1.99500000 ;
+     RECT 4.17500000 1.99500000 4.46500000 2.07000000 ;
+     RECT 0.65000000 1.99500000 0.79000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+     RECT 5.61500000 1.78000000 5.90500000 1.85500000 ;
+     RECT 4.73000000 1.85500000 5.90500000 1.99500000 ;
+     RECT 5.61500000 1.99500000 5.90500000 2.07000000 ;
+     RECT 1.29500000 2.19500000 1.58500000 2.27000000 ;
+     RECT 4.73000000 1.99500000 4.87000000 2.27000000 ;
+     RECT 1.29500000 2.27000000 4.87000000 2.41000000 ;
+     RECT 1.29500000 2.41000000 1.58500000 2.48500000 ;
+     RECT 5.13500000 0.44000000 5.42500000 0.51500000 ;
+     RECT 5.13500000 0.51500000 6.31000000 0.65500000 ;
+     RECT 5.13500000 0.65500000 5.42500000 0.73000000 ;
+     RECT 5.13500000 2.19500000 5.42500000 2.27000000 ;
+     RECT 6.17000000 0.65500000 6.31000000 2.27000000 ;
+     RECT 5.13500000 2.27000000 6.31000000 2.41000000 ;
+     RECT 5.13500000 2.41000000 5.42500000 2.48500000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 7.53500000 2.76000000 7.82500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 8.64000000 3.57000000 ;
+
+ END
+END MUX2X1
diff --git a/cells/lef/orig/NAND2X1.lef b/cells/lef/orig/NAND2X1.lef
new file mode 100644
index 0000000..0e83e1f
--- /dev/null
+++ b/cells/lef/orig/NAND2X1.lef
@@ -0,0 +1,155 @@
+MACRO NAND2X1
+ CLASS CORE ;
+ FOREIGN NAND2X1 0 0 ;
+ SIZE 4.32 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+        RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+        RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+        RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 3.27500000 2.25500000 3.44500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+     RECT 3.19500000 0.24000000 3.52500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 3.19500000 2.17500000 3.52500000 2.50500000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 3.27500000 2.25500000 3.44500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
+     RECT 3.21500000 0.24000000 3.50500000 0.57000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+     RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+     RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+     RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+     RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+     RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+     RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
+
+ END
+END NAND2X1
diff --git a/cells/lef/orig/NAND3X1.lef b/cells/lef/orig/NAND3X1.lef
new file mode 100644
index 0000000..2541a72
--- /dev/null
+++ b/cells/lef/orig/NAND3X1.lef
@@ -0,0 +1,187 @@
+MACRO NAND3X1
+ CLASS CORE ;
+ FOREIGN NAND3X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+        RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+        RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+        RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+        RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+        RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+        RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+    END
+  END Y
+
+  PIN C
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END C
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 3.27500000 2.25500000 3.44500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 4.71500000 2.82000000 4.88500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 4.71500000 0.34000000 4.88500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 4.63500000 0.24000000 4.96500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 3.19500000 2.17500000 3.52500000 2.50500000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 4.63500000 2.74000000 4.96500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 4.71500000 0.34000000 4.88500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 3.27500000 2.25500000 3.44500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 4.71500000 2.82000000 4.88500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 4.65500000 0.24000000 4.94500000 0.57000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+     RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+     RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+     RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+     RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+     RECT 3.21500000 2.19500000 3.50500000 2.27000000 ;
+     RECT 0.57500000 2.27000000 3.50500000 2.41000000 ;
+     RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+     RECT 3.21500000 2.41000000 3.50500000 2.48500000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 4.65500000 2.76000000 4.94500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+ END
+END NAND3X1
diff --git a/cells/lef/orig/OR2X1.lef b/cells/lef/orig/OR2X1.lef
new file mode 100644
index 0000000..362b0c4
--- /dev/null
+++ b/cells/lef/orig/OR2X1.lef
@@ -0,0 +1,172 @@
+MACRO OR2X1
+ CLASS CORE ;
+ FOREIGN OR2X1 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 1.25000000 1.58500000 1.54000000 ;
+        RECT 1.37000000 1.54000000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.87500000 2.25500000 1.04500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 0.63500000 0.34000000 0.80500000 0.51000000 ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 2.31500000 0.50000000 2.48500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 0.55500000 0.24000000 0.88500000 0.59000000 ;
+     RECT 3.19500000 0.24000000 3.52500000 0.59000000 ;
+     RECT 2.23500000 0.42000000 2.56500000 0.75000000 ;
+     RECT 4.63500000 0.42000000 4.96500000 0.75000000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 1.35500000 1.15500000 1.52500000 1.48000000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 2.79500000 1.15500000 2.96500000 1.76000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 0.79500000 2.17500000 1.12500000 2.50500000 ;
+     RECT 4.63500000 2.17500000 4.96500000 2.50500000 ;
+     RECT 3.19500000 2.74000000 3.52500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 0.63500000 0.34000000 0.80500000 0.51000000 ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 2.31500000 0.50000000 2.48500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.31000000 1.52500000 1.48000000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 0.87500000 2.25500000 1.04500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 0.57500000 0.24000000 0.86500000 0.57000000 ;
+     RECT 3.21500000 0.24000000 3.50500000 0.57000000 ;
+     RECT 1.29500000 1.25000000 1.58500000 1.54000000 ;
+     RECT 1.37000000 1.54000000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 2.25500000 0.44000000 2.54500000 0.73000000 ;
+     RECT 2.33000000 0.73000000 2.47000000 0.92000000 ;
+     RECT 4.17500000 0.84500000 4.46500000 0.92000000 ;
+     RECT 0.89000000 0.92000000 4.46500000 1.06000000 ;
+     RECT 4.17500000 1.06000000 4.46500000 1.13500000 ;
+     RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+     RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+     RECT 0.89000000 1.06000000 1.03000000 2.19500000 ;
+     RECT 0.81500000 2.19500000 1.10500000 2.48500000 ;
+     RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+     RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+     RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+     RECT 3.21500000 2.76000000 3.50500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+ END
+END OR2X1
diff --git a/cells/lef/orig/OR2X2.lef b/cells/lef/orig/OR2X2.lef
new file mode 100644
index 0000000..aa4b700
--- /dev/null
+++ b/cells/lef/orig/OR2X2.lef
@@ -0,0 +1,172 @@
+MACRO OR2X2
+ CLASS CORE ;
+ FOREIGN OR2X2 0 0 ;
+ SIZE 5.76 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+        RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+        RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+        RECT 2.81000000 1.54000000 2.95000000 1.78000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.87500000 2.25500000 1.04500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 0.63500000 0.34000000 0.80500000 0.51000000 ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 2.31500000 0.50000000 2.48500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 0.55500000 0.24000000 0.88500000 0.59000000 ;
+     RECT 3.19500000 0.24000000 3.52500000 0.59000000 ;
+     RECT 2.23500000 0.42000000 2.56500000 0.75000000 ;
+     RECT 4.63500000 0.42000000 4.96500000 0.75000000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 2.79500000 1.15500000 2.96500000 1.48000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 1.35500000 1.15500000 1.52500000 1.76000000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 0.79500000 2.17500000 1.12500000 2.50500000 ;
+     RECT 4.63500000 2.17500000 4.96500000 2.50500000 ;
+     RECT 3.19500000 2.74000000 3.52500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 0.63500000 0.34000000 0.80500000 0.51000000 ;
+     RECT 3.27500000 0.34000000 3.44500000 0.51000000 ;
+     RECT 2.31500000 0.50000000 2.48500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 2.79500000 1.31000000 2.96500000 1.48000000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 0.87500000 2.25500000 1.04500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 3.27500000 2.82000000 3.44500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
+     RECT 0.57500000 0.24000000 0.86500000 0.57000000 ;
+     RECT 3.21500000 0.24000000 3.50500000 0.57000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 2.73500000 1.25000000 3.02500000 1.54000000 ;
+     RECT 2.81000000 1.54000000 2.95000000 1.78000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 2.25500000 0.44000000 2.54500000 0.73000000 ;
+     RECT 2.33000000 0.73000000 2.47000000 0.92000000 ;
+     RECT 4.17500000 0.84500000 4.46500000 0.92000000 ;
+     RECT 0.89000000 0.92000000 4.46500000 1.06000000 ;
+     RECT 4.17500000 1.06000000 4.46500000 1.13500000 ;
+     RECT 4.25000000 1.13500000 4.39000000 1.78000000 ;
+     RECT 4.17500000 1.78000000 4.46500000 2.07000000 ;
+     RECT 0.89000000 1.06000000 1.03000000 2.19500000 ;
+     RECT 0.81500000 2.19500000 1.10500000 2.48500000 ;
+     RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+     RECT 4.73000000 0.73000000 4.87000000 2.19500000 ;
+     RECT 4.65500000 2.19500000 4.94500000 2.48500000 ;
+     RECT 3.21500000 2.76000000 3.50500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
+
+ END
+END OR2X2
diff --git a/cells/lef/orig/XNOR2X1.lef b/cells/lef/orig/XNOR2X1.lef
new file mode 100644
index 0000000..92f9b34
--- /dev/null
+++ b/cells/lef/orig/XNOR2X1.lef
@@ -0,0 +1,253 @@
+MACRO XNOR2X1
+ CLASS CORE ;
+ FOREIGN XNOR2X1 0 0 ;
+ SIZE 10.08 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+    END
+  END Y
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+        RECT 2.73500000 0.84500000 3.02500000 0.92000000 ;
+        RECT 1.29500000 0.92000000 3.02500000 1.06000000 ;
+        RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+        RECT 2.73500000 1.06000000 3.02500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+        RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+    END
+  END B
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 5.61500000 0.84500000 5.90500000 0.92000000 ;
+        RECT 8.49500000 0.84500000 8.78500000 0.92000000 ;
+        RECT 5.21000000 0.92000000 8.78500000 1.06000000 ;
+        RECT 5.61500000 1.06000000 5.90500000 1.13500000 ;
+        RECT 8.49500000 1.06000000 8.78500000 1.13500000 ;
+        RECT 8.57000000 1.13500000 8.71000000 1.78000000 ;
+        RECT 4.17500000 1.78000000 4.46500000 1.85500000 ;
+        RECT 5.21000000 1.06000000 5.35000000 1.85500000 ;
+        RECT 4.17500000 1.85500000 5.35000000 1.99500000 ;
+        RECT 4.17500000 1.99500000 4.46500000 2.07000000 ;
+        RECT 8.49500000 1.78000000 8.78500000 2.07000000 ;
+    END
+  END A
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 7.11500000 0.90500000 7.28500000 1.07500000 ;
+     RECT 8.55500000 0.90500000 8.72500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 5.67500000 1.84000000 5.84500000 2.01000000 ;
+     RECT 7.11500000 1.84000000 7.28500000 2.01000000 ;
+     RECT 8.55500000 1.84000000 8.72500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 9.03500000 2.25500000 9.20500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 7.59500000 2.82000000 7.76500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 7.59500000 0.34000000 7.76500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+     RECT 9.03500000 0.50000000 9.20500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+     RECT 1.75500000 0.24000000 2.08500000 0.59000000 ;
+     RECT 7.51500000 0.24000000 7.84500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 8.95500000 0.42000000 9.28500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 5.59500000 0.82500000 5.92500000 1.15500000 ;
+     RECT 8.47500000 0.82500000 8.80500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 5.59500000 1.76000000 5.92500000 2.09000000 ;
+     RECT 8.47500000 1.76000000 8.80500000 2.09000000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 4.63500000 0.42000000 4.96500000 0.75000000 ;
+     RECT 4.71500000 0.75000000 4.88500000 2.17500000 ;
+     RECT 4.63500000 2.17500000 4.96500000 2.50500000 ;
+     RECT 8.95500000 2.17500000 9.28500000 2.50500000 ;
+     RECT 7.03500000 0.82500000 7.36500000 1.15500000 ;
+     RECT 7.11500000 1.15500000 7.28500000 1.76000000 ;
+     RECT 7.03500000 1.76000000 7.36500000 2.09000000 ;
+     RECT 7.11500000 2.09000000 7.28500000 2.83000000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 7.51500000 2.74000000 7.84500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 5.91500000 -0.08500000 6.08500000 0.08500000 ;
+     RECT 6.39500000 -0.08500000 6.56500000 0.08500000 ;
+     RECT 6.87500000 -0.08500000 7.04500000 0.08500000 ;
+     RECT 7.35500000 -0.08500000 7.52500000 0.08500000 ;
+     RECT 7.83500000 -0.08500000 8.00500000 0.08500000 ;
+     RECT 8.31500000 -0.08500000 8.48500000 0.08500000 ;
+     RECT 8.79500000 -0.08500000 8.96500000 0.08500000 ;
+     RECT 9.27500000 -0.08500000 9.44500000 0.08500000 ;
+     RECT 9.75500000 -0.08500000 9.92500000 0.08500000 ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 7.59500000 0.34000000 7.76500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+     RECT 9.03500000 0.50000000 9.20500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 8.55500000 0.90500000 8.72500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 5.67500000 1.84000000 5.84500000 2.01000000 ;
+     RECT 8.55500000 1.84000000 8.72500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 9.03500000 2.25500000 9.20500000 2.42500000 ;
+     RECT 7.11500000 2.66000000 7.28500000 2.83000000 ;
+     RECT 7.59500000 2.82000000 7.76500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+     RECT 5.91500000 3.24500000 6.08500000 3.41500000 ;
+     RECT 6.39500000 3.24500000 6.56500000 3.41500000 ;
+     RECT 6.87500000 3.24500000 7.04500000 3.41500000 ;
+     RECT 7.35500000 3.24500000 7.52500000 3.41500000 ;
+     RECT 7.83500000 3.24500000 8.00500000 3.41500000 ;
+     RECT 8.31500000 3.24500000 8.48500000 3.41500000 ;
+     RECT 8.79500000 3.24500000 8.96500000 3.41500000 ;
+     RECT 9.27500000 3.24500000 9.44500000 3.41500000 ;
+     RECT 9.75500000 3.24500000 9.92500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+     RECT 1.77500000 0.24000000 2.06500000 0.57000000 ;
+     RECT 7.53500000 0.24000000 7.82500000 0.57000000 ;
+     RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+     RECT 1.29500000 0.84500000 1.58500000 0.92000000 ;
+     RECT 2.73500000 0.84500000 3.02500000 0.92000000 ;
+     RECT 1.29500000 0.92000000 3.02500000 1.06000000 ;
+     RECT 1.29500000 1.06000000 1.58500000 1.13500000 ;
+     RECT 2.73500000 1.06000000 3.02500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 2.81000000 1.13500000 2.95000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
+     RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
+     RECT 5.61500000 0.84500000 5.90500000 0.92000000 ;
+     RECT 8.49500000 0.84500000 8.78500000 0.92000000 ;
+     RECT 5.21000000 0.92000000 8.78500000 1.06000000 ;
+     RECT 5.61500000 1.06000000 5.90500000 1.13500000 ;
+     RECT 8.49500000 1.06000000 8.78500000 1.13500000 ;
+     RECT 8.57000000 1.13500000 8.71000000 1.78000000 ;
+     RECT 4.17500000 1.78000000 4.46500000 1.85500000 ;
+     RECT 5.21000000 1.06000000 5.35000000 1.85500000 ;
+     RECT 4.17500000 1.85500000 5.35000000 1.99500000 ;
+     RECT 4.17500000 1.99500000 4.46500000 2.07000000 ;
+     RECT 8.49500000 1.78000000 8.78500000 2.07000000 ;
+     RECT 8.97500000 0.44000000 9.26500000 0.73000000 ;
+     RECT 4.17500000 0.84500000 4.46500000 0.92000000 ;
+     RECT 3.77000000 0.92000000 4.46500000 1.06000000 ;
+     RECT 4.17500000 1.06000000 4.46500000 1.13500000 ;
+     RECT 5.61500000 1.78000000 5.90500000 2.07000000 ;
+     RECT 9.05000000 0.73000000 9.19000000 2.19500000 ;
+     RECT 3.77000000 1.06000000 3.91000000 2.27000000 ;
+     RECT 5.69000000 2.07000000 5.83000000 2.27000000 ;
+     RECT 8.97500000 2.19500000 9.26500000 2.27000000 ;
+     RECT 3.77000000 2.27000000 9.26500000 2.41000000 ;
+     RECT 8.97500000 2.41000000 9.26500000 2.48500000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+     RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.48500000 ;
+     RECT 0.65000000 2.48500000 0.79000000 2.67500000 ;
+     RECT 7.05500000 2.60000000 7.34500000 2.67500000 ;
+     RECT 0.65000000 2.67500000 7.34500000 2.81500000 ;
+     RECT 7.05500000 2.81500000 7.34500000 2.89000000 ;
+     RECT 7.53500000 2.76000000 7.82500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+
+ END
+END XNOR2X1
diff --git a/cells/lef/orig/XOR2X1.lef b/cells/lef/orig/XOR2X1.lef
new file mode 100644
index 0000000..3e0a263
--- /dev/null
+++ b/cells/lef/orig/XOR2X1.lef
@@ -0,0 +1,247 @@
+MACRO XOR2X1
+ CLASS CORE ;
+ FOREIGN XOR2X1 0 0 ;
+ SIZE 10.08 BY 3.33 ;
+ ORIGIN 0 0 ;
+ SYMMETRY X Y R90 ;
+ SITE unit ;
+  PIN VDD
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+    END
+  END VDD
+
+  PIN GND
+   DIRECTION INOUT ;
+   USE POWER ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER li1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+       LAYER met1 ;
+        RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+    END
+  END GND
+
+  PIN Y
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+    END
+  END Y
+
+  PIN A
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+        RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+        RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+        RECT 1.29500000 1.78000000 1.58500000 1.85500000 ;
+        RECT 4.25000000 1.13500000 4.39000000 1.85500000 ;
+        RECT 5.61500000 1.78000000 5.90500000 1.85500000 ;
+        RECT 1.29500000 1.85500000 5.90500000 1.99500000 ;
+        RECT 1.29500000 1.99500000 1.58500000 2.07000000 ;
+        RECT 5.61500000 1.99500000 5.90500000 2.07000000 ;
+    END
+  END A
+
+  PIN B
+   DIRECTION INOUT ;
+   USE SIGNAL ;
+   SHAPE ABUTMENT ;
+    PORT
+     CLASS CORE ;
+       LAYER met1 ;
+        RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+        RECT 2.81000000 1.13500000 2.95000000 1.38500000 ;
+        RECT 2.73500000 1.38500000 3.02500000 1.67500000 ;
+    END
+  END B
+
+ OBS
+    LAYER polycont ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 7.11500000 0.90500000 7.28500000 1.07500000 ;
+     RECT 8.55500000 0.90500000 8.72500000 1.07500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 2.79500000 1.84000000 2.96500000 2.01000000 ;
+     RECT 4.23500000 1.84000000 4.40500000 2.01000000 ;
+     RECT 5.67500000 1.84000000 5.84500000 2.01000000 ;
+     RECT 7.11500000 1.84000000 7.28500000 2.01000000 ;
+     RECT 8.55500000 1.84000000 8.72500000 2.01000000 ;
+
+    LAYER pdiffc ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 4.71500000 2.25500000 4.88500000 2.42500000 ;
+     RECT 9.03500000 2.25500000 9.20500000 2.42500000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 7.59500000 2.82000000 7.76500000 2.99000000 ;
+
+    LAYER ndiffc ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 7.59500000 0.34000000 7.76500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+     RECT 9.03500000 0.50000000 9.20500000 0.67000000 ;
+
+    LAYER li1 ;
+     RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+     RECT 1.75500000 0.24000000 2.08500000 0.59000000 ;
+     RECT 7.51500000 0.24000000 7.84500000 0.59000000 ;
+     RECT 0.55500000 0.42000000 0.88500000 0.75000000 ;
+     RECT 8.95500000 0.42000000 9.28500000 0.75000000 ;
+     RECT 1.27500000 0.82500000 1.60500000 1.15500000 ;
+     RECT 2.71500000 0.82500000 3.04500000 1.15500000 ;
+     RECT 4.15500000 0.82500000 4.48500000 1.15500000 ;
+     RECT 5.59500000 0.82500000 5.92500000 1.15500000 ;
+     RECT 7.03500000 0.82500000 7.36500000 1.15500000 ;
+     RECT 1.27500000 1.76000000 1.60500000 2.09000000 ;
+     RECT 5.59500000 1.76000000 5.92500000 2.09000000 ;
+     RECT 7.03500000 1.76000000 7.36500000 2.09000000 ;
+     RECT 8.47500000 0.82500000 8.80500000 1.15500000 ;
+     RECT 8.55500000 1.15500000 8.72500000 1.76000000 ;
+     RECT 8.47500000 1.76000000 8.80500000 2.09000000 ;
+     RECT 4.15500000 1.76000000 4.48500000 2.09000000 ;
+     RECT 4.23500000 2.09000000 4.40500000 2.42500000 ;
+     RECT 0.55500000 2.17500000 0.88500000 2.50500000 ;
+     RECT 4.63500000 0.42000000 4.96500000 0.75000000 ;
+     RECT 4.71500000 0.75000000 4.88500000 2.17500000 ;
+     RECT 4.63500000 2.17500000 4.96500000 2.50500000 ;
+     RECT 8.95500000 2.17500000 9.28500000 2.50500000 ;
+     RECT 2.79500000 1.44500000 2.96500000 1.76000000 ;
+     RECT 2.71500000 1.76000000 3.04500000 2.09000000 ;
+     RECT 2.79500000 2.09000000 2.96500000 2.83000000 ;
+     RECT 1.75500000 2.74000000 2.08500000 3.09000000 ;
+     RECT 7.51500000 2.74000000 7.84500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+
+    LAYER viali ;
+     RECT 0.15500000 -0.08500000 0.32500000 0.08500000 ;
+     RECT 0.63500000 -0.08500000 0.80500000 0.08500000 ;
+     RECT 1.11500000 -0.08500000 1.28500000 0.08500000 ;
+     RECT 1.59500000 -0.08500000 1.76500000 0.08500000 ;
+     RECT 2.07500000 -0.08500000 2.24500000 0.08500000 ;
+     RECT 2.55500000 -0.08500000 2.72500000 0.08500000 ;
+     RECT 3.03500000 -0.08500000 3.20500000 0.08500000 ;
+     RECT 3.51500000 -0.08500000 3.68500000 0.08500000 ;
+     RECT 3.99500000 -0.08500000 4.16500000 0.08500000 ;
+     RECT 4.47500000 -0.08500000 4.64500000 0.08500000 ;
+     RECT 4.95500000 -0.08500000 5.12500000 0.08500000 ;
+     RECT 5.43500000 -0.08500000 5.60500000 0.08500000 ;
+     RECT 5.91500000 -0.08500000 6.08500000 0.08500000 ;
+     RECT 6.39500000 -0.08500000 6.56500000 0.08500000 ;
+     RECT 6.87500000 -0.08500000 7.04500000 0.08500000 ;
+     RECT 7.35500000 -0.08500000 7.52500000 0.08500000 ;
+     RECT 7.83500000 -0.08500000 8.00500000 0.08500000 ;
+     RECT 8.31500000 -0.08500000 8.48500000 0.08500000 ;
+     RECT 8.79500000 -0.08500000 8.96500000 0.08500000 ;
+     RECT 9.27500000 -0.08500000 9.44500000 0.08500000 ;
+     RECT 9.75500000 -0.08500000 9.92500000 0.08500000 ;
+     RECT 1.83500000 0.34000000 2.00500000 0.51000000 ;
+     RECT 7.59500000 0.34000000 7.76500000 0.51000000 ;
+     RECT 0.63500000 0.50000000 0.80500000 0.67000000 ;
+     RECT 4.71500000 0.50000000 4.88500000 0.67000000 ;
+     RECT 9.03500000 0.50000000 9.20500000 0.67000000 ;
+     RECT 1.35500000 0.90500000 1.52500000 1.07500000 ;
+     RECT 2.79500000 0.90500000 2.96500000 1.07500000 ;
+     RECT 4.23500000 0.90500000 4.40500000 1.07500000 ;
+     RECT 5.67500000 0.90500000 5.84500000 1.07500000 ;
+     RECT 7.11500000 0.90500000 7.28500000 1.07500000 ;
+     RECT 2.79500000 1.44500000 2.96500000 1.61500000 ;
+     RECT 1.35500000 1.84000000 1.52500000 2.01000000 ;
+     RECT 5.67500000 1.84000000 5.84500000 2.01000000 ;
+     RECT 7.11500000 1.84000000 7.28500000 2.01000000 ;
+     RECT 8.55500000 1.84000000 8.72500000 2.01000000 ;
+     RECT 0.63500000 2.25500000 0.80500000 2.42500000 ;
+     RECT 4.23500000 2.25500000 4.40500000 2.42500000 ;
+     RECT 9.03500000 2.25500000 9.20500000 2.42500000 ;
+     RECT 2.79500000 2.66000000 2.96500000 2.83000000 ;
+     RECT 1.83500000 2.82000000 2.00500000 2.99000000 ;
+     RECT 0.15500000 3.24500000 0.32500000 3.41500000 ;
+     RECT 0.63500000 3.24500000 0.80500000 3.41500000 ;
+     RECT 1.11500000 3.24500000 1.28500000 3.41500000 ;
+     RECT 1.59500000 3.24500000 1.76500000 3.41500000 ;
+     RECT 2.07500000 3.24500000 2.24500000 3.41500000 ;
+     RECT 2.55500000 3.24500000 2.72500000 3.41500000 ;
+     RECT 3.03500000 3.24500000 3.20500000 3.41500000 ;
+     RECT 3.51500000 3.24500000 3.68500000 3.41500000 ;
+     RECT 3.99500000 3.24500000 4.16500000 3.41500000 ;
+     RECT 4.47500000 3.24500000 4.64500000 3.41500000 ;
+     RECT 4.95500000 3.24500000 5.12500000 3.41500000 ;
+     RECT 5.43500000 3.24500000 5.60500000 3.41500000 ;
+     RECT 5.91500000 3.24500000 6.08500000 3.41500000 ;
+     RECT 6.39500000 3.24500000 6.56500000 3.41500000 ;
+     RECT 6.87500000 3.24500000 7.04500000 3.41500000 ;
+     RECT 7.35500000 3.24500000 7.52500000 3.41500000 ;
+     RECT 7.83500000 3.24500000 8.00500000 3.41500000 ;
+     RECT 8.31500000 3.24500000 8.48500000 3.41500000 ;
+     RECT 8.79500000 3.24500000 8.96500000 3.41500000 ;
+     RECT 9.27500000 3.24500000 9.44500000 3.41500000 ;
+     RECT 9.75500000 3.24500000 9.92500000 3.41500000 ;
+
+    LAYER met1 ;
+     RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
+     RECT 1.77500000 0.24000000 2.06500000 0.57000000 ;
+     RECT 7.53500000 0.24000000 7.82500000 0.57000000 ;
+     RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
+     RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
+     RECT 2.81000000 1.13500000 2.95000000 1.38500000 ;
+     RECT 2.73500000 1.38500000 3.02500000 1.67500000 ;
+     RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
+     RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
+     RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
+     RECT 1.29500000 1.78000000 1.58500000 1.85500000 ;
+     RECT 4.25000000 1.13500000 4.39000000 1.85500000 ;
+     RECT 5.61500000 1.78000000 5.90500000 1.85500000 ;
+     RECT 1.29500000 1.85500000 5.90500000 1.99500000 ;
+     RECT 1.29500000 1.99500000 1.58500000 2.07000000 ;
+     RECT 5.61500000 1.99500000 5.90500000 2.07000000 ;
+     RECT 0.57500000 0.44000000 0.86500000 0.73000000 ;
+     RECT 5.61500000 0.84500000 5.90500000 0.92000000 ;
+     RECT 5.61500000 0.92000000 6.31000000 1.06000000 ;
+     RECT 5.61500000 1.06000000 5.90500000 1.13500000 ;
+     RECT 0.65000000 0.73000000 0.79000000 2.19500000 ;
+     RECT 0.57500000 2.19500000 0.86500000 2.27000000 ;
+     RECT 4.17500000 2.19500000 4.46500000 2.27000000 ;
+     RECT 6.17000000 1.06000000 6.31000000 2.27000000 ;
+     RECT 0.57500000 2.27000000 6.31000000 2.41000000 ;
+     RECT 0.57500000 2.41000000 0.86500000 2.48500000 ;
+     RECT 4.17500000 2.41000000 4.46500000 2.48500000 ;
+     RECT 8.97500000 0.44000000 9.26500000 0.73000000 ;
+     RECT 7.05500000 0.84500000 7.34500000 0.92000000 ;
+     RECT 9.05000000 0.73000000 9.19000000 0.92000000 ;
+     RECT 7.05500000 0.92000000 9.19000000 1.06000000 ;
+     RECT 7.05500000 1.06000000 7.34500000 1.13500000 ;
+     RECT 7.13000000 1.13500000 7.27000000 1.78000000 ;
+     RECT 7.05500000 1.78000000 7.34500000 2.07000000 ;
+     RECT 9.05000000 1.06000000 9.19000000 2.19500000 ;
+     RECT 8.97500000 2.19500000 9.26500000 2.48500000 ;
+     RECT 8.49500000 1.78000000 8.78500000 2.07000000 ;
+     RECT 2.73500000 2.60000000 3.02500000 2.67500000 ;
+     RECT 8.57000000 2.07000000 8.71000000 2.67500000 ;
+     RECT 2.73500000 2.67500000 8.71000000 2.81500000 ;
+     RECT 2.73500000 2.81500000 3.02500000 2.89000000 ;
+     RECT 1.77500000 2.76000000 2.06500000 3.09000000 ;
+     RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
+
+ END
+END XOR2X1
diff --git a/cells/lib/AND2X1.lib b/cells/lib/AND2X1.lib
new file mode 100644
index 0000000..494624e
--- /dev/null
+++ b/cells/lib/AND2X1.lib
@@ -0,0 +1,186 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (AND2X1) {
+    area: 877824.0;
+    cell_leakage_power: 0.1173;
+    pin (B) {
+      direction: input;
+      rise_capacitance: 0.004935475891367477;
+      fall_capacitance: 0.007858406695085389;
+      capacitance: 0.006396941293226433;
+    }
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.004150891096654475;
+      fall_capacitance: 0.005776428708787616;
+      capacitance: 0.004963659902721045;
+    }
+    pin (Y) {
+      direction: output;
+      function: "(B&A)";
+      function: "(A & B)";
+      timing () {
+        related_pin: "A";
+        timing_sense: positive_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749", \
+            "0.048098, 0.064059, 0.074620, 0.093597, 0.252448", \
+            "0.087865, 0.098559, 0.108152, 0.125156, 0.300811", \
+            "0.172721, 0.177015, 0.184227, 0.196165, 0.394456", \
+            "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696", \
+            "0.056135, 0.056445, 0.057308, 0.057768, -0.014818", \
+            "0.099933, 0.098214, 0.096071, 0.094474, 0.031563", \
+            "0.189088, 0.185938, 0.181261, 0.173387, 0.117548", \
+            "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792", \
+            "0.080791, 0.094490, 0.092269, 0.111832, 0.588352", \
+            "0.147163, 0.151582, 0.153943, 0.167618, 0.594475", \
+            "0.287657, 0.288087, 0.288559, 0.294676, 0.639075", \
+            "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971", \
+            "0.091168, 0.096623, 0.108594, 0.140442, 0.624323", \
+            "0.176540, 0.177904, 0.183856, 0.205552, 0.674111", \
+            "0.348626, 0.348716, 0.349898, 0.359158, 0.778703", \
+            "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"
+          );
+        }
+      }
+      timing () {
+        related_pin: "B";
+        timing_sense: positive_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628", \
+            "0.046736, 0.065667, 0.079697, 0.098261, 0.233471", \
+            "0.087052, 0.099648, 0.114524, 0.132001, 0.286132", \
+            "0.172376, 0.177352, 0.192051, 0.206645, 0.390972", \
+            "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337", \
+            "0.058079, 0.058865, 0.060249, 0.060930, 0.021381", \
+            "0.101524, 0.100223, 0.098824, 0.096634, 0.056583", \
+            "0.190472, 0.187661, 0.183754, 0.176080, 0.122194", \
+            "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913", \
+            "0.080000, 0.118312, 0.085490, 0.095978, 0.447397", \
+            "0.146991, 0.152360, 0.150326, 0.156871, 0.457664", \
+            "0.287629, 0.288180, 0.288056, 0.290376, 0.519847", \
+            "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070", \
+            "0.091909, 0.097885, 0.110175, 0.140770, 0.556828", \
+            "0.176533, 0.178340, 0.184385, 0.205074, 0.605254", \
+            "0.348727, 0.348732, 0.349910, 0.357567, 0.705589", \
+            "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/AND2X2.lib b/cells/lib/AND2X2.lib
new file mode 100644
index 0000000..38bdaa3
--- /dev/null
+++ b/cells/lib/AND2X2.lib
@@ -0,0 +1,186 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (AND2X2) {
+    area: 877824.0;
+    cell_leakage_power: 0.1173;
+    pin (B) {
+      direction: input;
+      rise_capacitance: 0.004935475891367477;
+      fall_capacitance: 0.007858406695085389;
+      capacitance: 0.006396941293226433;
+    }
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.004150891096654475;
+      fall_capacitance: 0.005776428708787616;
+      capacitance: 0.004963659902721045;
+    }
+    pin (Y) {
+      direction: output;
+      function: "(B&A)";
+      function: "(A & B)";
+      timing () {
+        related_pin: "A";
+        timing_sense: positive_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749", \
+            "0.048098, 0.064059, 0.074620, 0.093597, 0.252448", \
+            "0.087865, 0.098559, 0.108152, 0.125156, 0.300811", \
+            "0.172721, 0.177015, 0.184227, 0.196165, 0.394456", \
+            "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696", \
+            "0.056135, 0.056445, 0.057308, 0.057768, -0.014818", \
+            "0.099933, 0.098214, 0.096071, 0.094474, 0.031563", \
+            "0.189088, 0.185938, 0.181261, 0.173387, 0.117548", \
+            "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792", \
+            "0.080791, 0.094490, 0.092269, 0.111832, 0.588352", \
+            "0.147163, 0.151582, 0.153943, 0.167618, 0.594475", \
+            "0.287657, 0.288087, 0.288559, 0.294676, 0.639075", \
+            "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971", \
+            "0.091168, 0.096623, 0.108594, 0.140442, 0.624323", \
+            "0.176540, 0.177904, 0.183856, 0.205552, 0.674111", \
+            "0.348626, 0.348716, 0.349898, 0.359158, 0.778703", \
+            "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"
+          );
+        }
+      }
+      timing () {
+        related_pin: "B";
+        timing_sense: positive_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628", \
+            "0.046736, 0.065667, 0.079697, 0.098261, 0.233471", \
+            "0.087052, 0.099648, 0.114524, 0.132001, 0.286132", \
+            "0.172376, 0.177352, 0.192051, 0.206645, 0.390972", \
+            "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337", \
+            "0.058079, 0.058865, 0.060249, 0.060930, 0.021381", \
+            "0.101524, 0.100223, 0.098824, 0.096634, 0.056583", \
+            "0.190472, 0.187661, 0.183754, 0.176080, 0.122194", \
+            "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913", \
+            "0.080000, 0.118312, 0.085490, 0.095978, 0.447397", \
+            "0.146991, 0.152360, 0.150326, 0.156871, 0.457664", \
+            "0.287629, 0.288180, 0.288056, 0.290376, 0.519847", \
+            "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070", \
+            "0.091909, 0.097885, 0.110175, 0.140770, 0.556828", \
+            "0.176533, 0.178340, 0.184385, 0.205074, 0.605254", \
+            "0.348727, 0.348732, 0.349910, 0.357567, 0.705589", \
+            "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/AOI21X1.lib b/cells/lib/AOI21X1.lib
new file mode 100644
index 0000000..353609d
--- /dev/null
+++ b/cells/lib/AOI21X1.lib
@@ -0,0 +1,256 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (AOI21X1) {
+    area: 877824.0;
+    cell_leakage_power: 0.1173;
+    pin (C) {
+      direction: input;
+      rise_capacitance: 0.006296176741869404;
+      fall_capacitance: 0.006101450811807844;
+      capacitance: 0.006198813776838624;
+    }
+    pin (B) {
+      direction: input;
+      rise_capacitance: 0.004150897383251567;
+      fall_capacitance: 0.005762494885719812;
+      capacitance: 0.00495669613448569;
+    }
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.004935476111367993;
+      fall_capacitance: 0.007850019508283936;
+      capacitance: 0.006392747809825965;
+    }
+    pin (Y) {
+      direction: output;
+      function: "(!C&!B&!A|!C&B&!A|!C&!B&A)";
+      function: "((A & !B & !C + B & !A & !C + !A & !B & !C))";
+      timing () {
+        related_pin: "A";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.018081, 0.020447, 0.022456, 0.023296, -0.023076", \
+            "0.095512, 0.095564, 0.097577, 0.105665, 0.139556", \
+            "0.173079, 0.172613, 0.173245, 0.177966, 0.251687", \
+            "0.328092, 0.327325, 0.326934, 0.328569, 0.431362", \
+            "1.568078, 1.567023, 1.565399, 1.562764, 1.593146"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.011083, 0.027112, 0.034806, 0.046174, 0.138537", \
+            "0.106126, 0.110980, 0.117817, 0.132662, 0.298423", \
+            "0.200686, 0.203305, 0.207787, 0.219052, 0.408583", \
+            "0.389684, 0.390741, 0.393128, 0.400447, 0.586557", \
+            "1.901409, 1.900881, 1.900582, 1.901419, 1.980303"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.017400, 0.024998, 0.038610, 0.061076, 0.316134", \
+            "0.127638, 0.127551, 0.128643, 0.138963, 0.448630", \
+            "0.240290, 0.240260, 0.240283, 0.242298, 0.547010", \
+            "0.465607, 0.465635, 0.465599, 0.465632, 0.673379", \
+            "2.268621, 2.268618, 2.268620, 2.268621, 2.271276"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.029014, 0.032057, 0.039965, 0.063854, 0.320917", \
+            "0.155270, 0.155388, 0.155812, 0.163213, 0.464067", \
+            "0.286240, 0.286177, 0.286189, 0.286907, 0.549050", \
+            "0.547857, 0.547835, 0.547859, 0.547862, 0.703410", \
+            "2.640589, 2.640589, 2.640586, 2.640585, 2.640586"
+          );
+        }
+      }
+      timing () {
+        related_pin: "B";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.015335, 0.016945, 0.017387, 0.015057, -0.070130", \
+            "0.093269, 0.093163, 0.094810, 0.101980, 0.105211", \
+            "0.170827, 0.170332, 0.170780, 0.174845, 0.222749", \
+            "0.325869, 0.325151, 0.324630, 0.325908, 0.409344", \
+            "1.565863, 1.564927, 1.563288, 1.560589, 1.585799"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.013287, 0.024590, 0.032135, 0.044683, 0.169986", \
+            "0.106631, 0.105383, 0.107181, 0.118863, 0.301183", \
+            "0.201084, 0.196904, 0.194332, 0.196987, 0.392495", \
+            "0.390038, 0.383879, 0.377817, 0.371491, 0.543659", \
+            "1.901742, 1.893615, 1.883483, 1.864976, 1.785407"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.013635, 0.021335, 0.036352, 0.066782, 0.514064", \
+            "0.122731, 0.122740, 0.123665, 0.133972, 0.500371", \
+            "0.235316, 0.235334, 0.235297, 0.237378, 0.556421", \
+            "0.460654, 0.460640, 0.460666, 0.460646, 0.664867", \
+            "2.263652, 2.263652, 2.263651, 2.263650, 2.266535"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.029990, 0.038199, 0.056237, 0.095398, 0.544902", \
+            "0.155321, 0.155296, 0.158206, 0.179362, 0.704360", \
+            "0.286222, 0.286226, 0.286240, 0.291364, 0.780438", \
+            "0.547837, 0.547857, 0.547852, 0.547862, 0.901566", \
+            "2.640589, 2.640588, 2.640588, 2.640589, 2.647331"
+          );
+        }
+      }
+      timing () {
+        related_pin: "C";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.012884, 0.013824, 0.016369, 0.019083, 0.025408", \
+            "0.085533, 0.079788, 0.082111, 0.094024, 0.172908", \
+            "0.157410, 0.149628, 0.148170, 0.154670, 0.271254", \
+            "0.300946, 0.291744, 0.286795, 0.285855, 0.427654", \
+            "1.448498, 1.437802, 1.428280, 1.413900, 1.417542"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.005708, 0.008623, 0.010235, 0.012288, 0.017616", \
+            "0.047043, 0.047780, 0.052874, 0.064024, 0.113032", \
+            "0.091536, 0.089929, 0.090900, 0.100815, 0.179742", \
+            "0.181015, 0.178070, 0.175614, 0.176901, 0.288000", \
+            "0.897237, 0.893242, 0.888241, 0.878918, 0.902547"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.012755, 0.024831, 0.044968, 0.093110, 0.730524", \
+            "0.115219, 0.115342, 0.119381, 0.140592, 0.704120", \
+            "0.219879, 0.219898, 0.220189, 0.229051, 0.695466", \
+            "0.429271, 0.429272, 0.429268, 0.429957, 0.760003", \
+            "2.104581, 2.104581, 2.104580, 2.104582, 2.138119"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.013144, 0.039574, 0.064113, 0.105179, 0.740810", \
+            "0.092532, 0.098951, 0.115649, 0.159781, 0.811024", \
+            "0.178514, 0.180443, 0.190086, 0.223562, 0.880935", \
+            "0.350931, 0.351086, 0.353728, 0.372580, 0.983884", \
+            "1.729228, 1.729222, 1.729222, 1.729215, 1.983340"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/AOI22X1.lib b/cells/lib/AOI22X1.lib
new file mode 100644
index 0000000..506a7d3
--- /dev/null
+++ b/cells/lib/AOI22X1.lib
@@ -0,0 +1,326 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (AOI22X1) {
+    area: 1097280.0;
+    cell_leakage_power: 0.1173;
+    pin (D) {
+      direction: input;
+      rise_capacitance: 0.004150836637055694;
+      fall_capacitance: 4.293461827055451e-05;
+      capacitance: 0.0020968856276631243;
+    }
+    pin (C) {
+      direction: input;
+      rise_capacitance: 0.004935392181405116;
+      fall_capacitance: 0.004717183954380674;
+      capacitance: 0.004826288067892895;
+    }
+    pin (B) {
+      direction: input;
+      rise_capacitance: 0.004150898468773608;
+      fall_capacitance: 0.005752203124409789;
+      capacitance: 0.004951550796591699;
+    }
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.00493547941452175;
+      fall_capacitance: 0.007832228421315403;
+      capacitance: 0.006383853917918576;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(D&C&!B&!A|D&C&B&!A|!D&!C&B&A|D&!C&B&A|D&C&B&A|!D&C&B&A|D&C&!B&A)";
+      function: "(!(A & B & C & D + A & B & C & !D + A & B & D & !C + A & C & D & !B + B & C & D & !A + A & B & !C & !D + C & D & !A & !B))";
+      timing () {
+        related_pin: "A";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.022453, 0.024534, 0.027322, 0.029301, -0.013605", \
+            "0.094099, 0.094146, 0.096422, 0.104923, 0.133468", \
+            "0.165999, 0.165576, 0.166553, 0.171933, 0.239819", \
+            "0.309709, 0.308987, 0.308926, 0.311303, 0.412228", \
+            "1.459135, 1.458114, 1.456788, 1.454769, 1.495149"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.010762, 0.031215, 0.042613, 0.056720, 0.163173", \
+            "0.106795, 0.114659, 0.123175, 0.139761, 0.315494", \
+            "0.201577, 0.206563, 0.212378, 0.225460, 0.423829", \
+            "0.390704, 0.393586, 0.396927, 0.405778, 0.599884", \
+            "1.902537, 1.903178, 1.903203, 1.904622, 1.991405"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.023524, 0.029914, 0.043867, 0.071865, 0.348115", \
+            "0.124790, 0.124832, 0.126119, 0.138292, 0.474155", \
+            "0.228743, 0.228744, 0.228751, 0.231812, 0.561135", \
+            "0.436738, 0.436734, 0.436738, 0.436740, 0.675654", \
+            "2.100895, 2.100896, 2.100897, 2.100896, 2.108562"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.034663, 0.063510, 0.047967, 0.068994, 0.335936", \
+            "0.166389, 0.166412, 0.166844, 0.173304, 0.460327", \
+            "0.297251, 0.297251, 0.297255, 0.297868, 0.539773", \
+            "0.558920, 0.558909, 0.558922, 0.558921, 0.702122", \
+            "2.651676, 2.651678, 2.651676, 2.651675, 2.651676"
+          );
+        }
+      }
+      timing () {
+        related_pin: "B";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.019651, 0.021446, 0.022931, 0.021898, -0.058654", \
+            "0.091977, 0.091918, 0.093938, 0.101438, 0.098787", \
+            "0.163917, 0.163487, 0.164267, 0.169031, 0.210590", \
+            "0.307654, 0.306986, 0.306812, 0.308826, 0.390145", \
+            "1.457089, 1.456195, 1.454848, 1.452765, 1.487992"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.013313, 0.029492, 0.038920, 0.053701, 0.189828", \
+            "0.107559, 0.109470, 0.112797, 0.125324, 0.314605", \
+            "0.202143, 0.200386, 0.199276, 0.203456, 0.404946", \
+            "0.391163, 0.386838, 0.381869, 0.377141, 0.555274", \
+            "1.902923, 1.895945, 1.886158, 1.868337, 1.797664"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.019077, 0.026325, 0.041095, 0.072960, 0.515154", \
+            "0.120223, 0.120250, 0.121709, 0.133832, 0.515903", \
+            "0.224169, 0.224172, 0.224205, 0.227354, 0.569826", \
+            "0.432139, 0.432141, 0.432149, 0.432153, 0.667848", \
+            "2.096306, 2.096306, 2.096306, 2.096307, 2.104382"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.036269, 0.063193, 0.062392, 0.098967, 0.551553", \
+            "0.166373, 0.166389, 0.169112, 0.187994, 0.688610", \
+            "0.297276, 0.297267, 0.297275, 0.302013, 0.760566", \
+            "0.558916, 0.558919, 0.558917, 0.558918, 0.890221", \
+            "2.651678, 2.651678, 2.651674, 2.651677, 2.657773"
+          );
+        }
+      }
+      timing () {
+        related_pin: "C";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.020200, 0.018794, 0.020910, 0.023798, 0.017569", \
+            "0.092119, 0.084321, 0.084972, 0.095024, 0.157181", \
+            "0.163930, 0.154431, 0.151294, 0.155481, 0.252498", \
+            "0.307422, 0.296784, 0.290296, 0.286804, 0.407284", \
+            "1.454937, 1.443187, 1.432682, 1.416494, 1.394586"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.010498, 0.021541, 0.028604, 0.036531, 0.082739", \
+            "0.101264, 0.104773, 0.111902, 0.126711, 0.261658", \
+            "0.195526, 0.196677, 0.201528, 0.213170, 0.380207", \
+            "0.384366, 0.383767, 0.386473, 0.394329, 0.568488", \
+            "1.895957, 1.893533, 1.893309, 1.894383, 1.975065"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.020633, 0.030682, 0.050793, 0.085135, 0.465687", \
+            "0.123409, 0.123499, 0.127912, 0.152623, 0.625796", \
+            "0.228067, 0.228069, 0.228437, 0.238660, 0.715340", \
+            "0.437443, 0.437449, 0.437446, 0.438286, 0.825117", \
+            "2.112769, 2.112769, 2.112771, 2.112769, 2.154781"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.021627, 0.033850, 0.033202, 0.057903, 0.432542", \
+            "0.146054, 0.146118, 0.146677, 0.153711, 0.456404", \
+            "0.276800, 0.276784, 0.276786, 0.277558, 0.525056", \
+            "0.538347, 0.538333, 0.538346, 0.538344, 0.682865", \
+            "2.630986, 2.630987, 2.630989, 2.630987, 2.630987"
+          );
+        }
+      }
+      timing () {
+        related_pin: "D";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.016935, 0.015243, 0.015695, 0.014740, -0.033455", \
+            "0.089882, 0.081949, 0.082234, 0.090924, 0.115190", \
+            "0.161756, 0.152189, 0.148795, 0.152107, 0.216277", \
+            "0.305276, 0.294641, 0.288008, 0.283956, 0.379732", \
+            "1.452819, 1.441160, 1.430652, 1.414354, 1.384946"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.011131, 0.019163, 0.024855, 0.033370, 0.110590", \
+            "0.101703, 0.099111, 0.101250, 0.112517, 0.253820", \
+            "0.195929, 0.190278, 0.188100, 0.190853, 0.353274", \
+            "0.384754, 0.376936, 0.371227, 0.365324, 0.516649", \
+            "1.896340, 1.886290, 1.876220, 1.858031, 1.777651"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.016198, 0.026696, 0.047157, 0.087412, 0.629442", \
+            "0.118843, 0.118871, 0.123371, 0.147773, 0.655892", \
+            "0.223461, 0.223424, 0.223842, 0.234191, 0.716081", \
+            "0.432839, 0.432831, 0.432841, 0.433715, 0.813736", \
+            "2.108153, 2.108150, 2.108150, 2.108152, 2.151140"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.019318, 0.037477, 0.046391, 0.085342, 0.625357", \
+            "0.146062, 0.146061, 0.148782, 0.168097, 0.675212", \
+            "0.276795, 0.276788, 0.276812, 0.281783, 0.738328", \
+            "0.538338, 0.538332, 0.538332, 0.538345, 0.865002", \
+            "2.630987, 2.630990, 2.630986, 2.630986, 2.638001"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/BUFX2.lib b/cells/lib/BUFX2.lib
new file mode 100644
index 0000000..8bdbfb4
--- /dev/null
+++ b/cells/lib/BUFX2.lib
@@ -0,0 +1,116 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (BUFX2) {
+    area: 658368.0;
+    cell_leakage_power: 0.1173;
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.006189356217773286;
+      fall_capacitance: 0.008913012740210141;
+      capacitance: 0.007551184478991714;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(!A)";
+      function: "(A)";
+      timing () {
+        related_pin: "A";
+        timing_sense: positive_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "-0.003161, 0.018284, 0.022862, 0.029597, 0.082449", \
+            "0.045168, 0.050118, 0.057399, 0.071712, 0.153721", \
+            "0.086116, 0.085817, 0.089463, 0.102695, 0.199215", \
+            "0.172383, 0.166439, 0.164531, 0.169815, 0.284515", \
+            "0.876891, 0.864252, 0.852323, 0.833869, 0.853010"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.014482, 0.018379, 0.020768, 0.022068, -0.009140", \
+            "0.054683, 0.055959, 0.059876, 0.069706, 0.071632", \
+            "0.098664, 0.097948, 0.098411, 0.105829, 0.136458", \
+            "0.187943, 0.185797, 0.183424, 0.183072, 0.249186", \
+            "0.903989, 0.900540, 0.895789, 0.885878, 0.887209"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.021141, 0.053490, 0.059672, 0.113073, 0.840389", \
+            "0.077202, 0.091911, 0.106094, 0.141454, 0.832516", \
+            "0.145621, 0.149491, 0.160179, 0.190218, 0.830936", \
+            "0.287250, 0.287270, 0.289142, 0.305563, 0.867895", \
+            "1.420515, 1.420519, 1.420516, 1.420515, 1.614647"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.015821, 0.031534, 0.054604, 0.109725, 0.838134", \
+            "0.090618, 0.095897, 0.108850, 0.147026, 0.841931", \
+            "0.176061, 0.177617, 0.184255, 0.210648, 0.851578", \
+            "0.348673, 0.348740, 0.350198, 0.363180, 0.905512", \
+            "1.726970, 1.726967, 1.726971, 1.726970, 1.912266"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/BUFX4.lib b/cells/lib/BUFX4.lib
new file mode 100644
index 0000000..c6d325f
--- /dev/null
+++ b/cells/lib/BUFX4.lib
@@ -0,0 +1,116 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (BUFX4) {
+    area: 877824.0;
+    cell_leakage_power: 0.1173;
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.006190154742284263;
+      fall_capacitance: 0.008890580111169952;
+      capacitance: 0.007540367426727108;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(!A)";
+      function: "(A)";
+      timing () {
+        related_pin: "A";
+        timing_sense: positive_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "-0.003332, 0.021756, 0.028208, 0.035969, 0.093993", \
+            "0.026181, 0.039174, 0.048485, 0.060878, 0.137999", \
+            "0.045221, 0.053837, 0.062262, 0.078031, 0.166608", \
+            "0.086091, 0.087685, 0.092767, 0.107223, 0.211923", \
+            "0.435684, 0.421572, 0.413289, 0.404913, 0.530577"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.019917, 0.023712, 0.027202, 0.030685, 0.008146", \
+            "0.039940, 0.042849, 0.048226, 0.055685, 0.049883", \
+            "0.059858, 0.061386, 0.065377, 0.075941, 0.084686", \
+            "0.102963, 0.102628, 0.103617, 0.111160, 0.147406", \
+            "0.459869, 0.457168, 0.453471, 0.446561, 0.530833"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.022521, 0.061092, 0.098545, 0.118668, 0.841214", \
+            "0.047803, 0.081523, 0.116519, 0.130463, 0.837645", \
+            "0.078588, 0.106772, 0.139897, 0.152839, 0.833203", \
+            "0.146398, 0.156268, 0.169785, 0.200500, 0.833117", \
+            "0.712599, 0.712588, 0.712577, 0.712845, 1.089880"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.022169, 0.034922, 0.057456, 0.109434, 0.837145", \
+            "0.053315, 0.062209, 0.079539, 0.123803, 0.839403", \
+            "0.093114, 0.098044, 0.110960, 0.148338, 0.840752", \
+            "0.177240, 0.178652, 0.185453, 0.211899, 0.851340", \
+            "0.865991, 0.865992, 0.865993, 0.866799, 1.209225"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/CLKBUF1.lib b/cells/lib/CLKBUF1.lib
new file mode 100644
index 0000000..983eb75
--- /dev/null
+++ b/cells/lib/CLKBUF1.lib
@@ -0,0 +1,116 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (CLKBUF1) {
+    area: 1975104.0;
+    cell_leakage_power: 0.1173;
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.012377692547513634;
+      fall_capacitance: 0.014507744176093367;
+      capacitance: 0.0134427183618035;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(!A)";
+      function: "(A)";
+      timing () {
+        related_pin: "A";
+        timing_sense: positive_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "-0.003460, 0.039905, 0.046929, 0.057149, 0.129195", \
+            "0.039219, 0.054860, 0.064114, 0.078186, 0.157207", \
+            "0.055285, 0.068102, 0.077098, 0.093315, 0.177192", \
+            "0.092471, 0.100229, 0.107093, 0.121807, 0.216231", \
+            "0.435605, 0.429264, 0.424902, 0.423004, 0.538823"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.032185, 0.040159, 0.044451, 0.047986, 0.023388", \
+            "0.050450, 0.056824, 0.062828, 0.071275, 0.053156", \
+            "0.068299, 0.073963, 0.079184, 0.090175, 0.079028", \
+            "0.109143, 0.112555, 0.116061, 0.124195, 0.130603", \
+            "0.463189, 0.462100, 0.460697, 0.458229, 0.520719"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.038133, 0.073853, 0.108060, 0.106379, 0.742329", \
+            "0.060385, 0.095859, 0.130135, 0.118818, 0.738152", \
+            "0.087750, 0.120648, 0.154819, 0.142636, 0.732318", \
+            "0.148631, 0.165728, 0.177042, 0.190178, 0.740928", \
+            "0.712222, 0.712217, 0.712215, 0.712236, 0.974750"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.042002, 0.068064, 0.055378, 0.096450, 0.738499", \
+            "0.070257, 0.097122, 0.081847, 0.113407, 0.740126", \
+            "0.100976, 0.109877, 0.115079, 0.140310, 0.740118", \
+            "0.178532, 0.181261, 0.187084, 0.204804, 0.747643", \
+            "0.865667, 0.865654, 0.865705, 0.865803, 1.087674"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/INV.lib b/cells/lib/INV.lib
new file mode 100644
index 0000000..fafb185
--- /dev/null
+++ b/cells/lib/INV.lib
@@ -0,0 +1,116 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (INV) {
+    area: 438912.0;
+    cell_leakage_power: 0.1173;
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.006188556324394764;
+      fall_capacitance: 0.008930247677472391;
+      capacitance: 0.007559402000933578;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(A)";
+      function: "(!A)";
+      timing () {
+        related_pin: "A";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884", \
+            "0.045060, 0.042762, 0.047513, 0.054699, 0.040967", \
+            "0.088722, 0.082033, 0.082140, 0.091178, 0.111791", \
+            "0.176767, 0.166715, 0.160456, 0.160380, 0.224564", \
+            "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037", \
+            "0.046837, 0.047773, 0.053764, 0.068471, 0.181014", \
+            "0.091353, 0.089965, 0.091587, 0.103620, 0.243501", \
+            "0.180850, 0.178100, 0.176154, 0.179192, 0.343968", \
+            "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209", \
+            "0.074565, 0.079799, 0.096343, 0.138761, 0.864852", \
+            "0.145329, 0.146192, 0.155787, 0.188759, 0.863750", \
+            "0.286862, 0.286915, 0.288453, 0.307678, 0.903487", \
+            "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158", \
+            "0.089918, 0.095810, 0.111953, 0.155870, 0.877226", \
+            "0.175658, 0.177628, 0.186680, 0.219666, 0.900539", \
+            "0.348403, 0.348491, 0.350746, 0.368988, 0.971736", \
+            "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/INVX1.lib b/cells/lib/INVX1.lib
new file mode 100644
index 0000000..ffd14e9
--- /dev/null
+++ b/cells/lib/INVX1.lib
@@ -0,0 +1,116 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (INVX1) {
+    area: 438912.0;
+    cell_leakage_power: 0.1173;
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.006188556324394764;
+      fall_capacitance: 0.008930247677472391;
+      capacitance: 0.007559402000933578;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(A)";
+      function: "(!A)";
+      timing () {
+        related_pin: "A";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884", \
+            "0.045060, 0.042762, 0.047513, 0.054699, 0.040967", \
+            "0.088722, 0.082033, 0.082140, 0.091178, 0.111791", \
+            "0.176767, 0.166715, 0.160456, 0.160380, 0.224564", \
+            "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037", \
+            "0.046837, 0.047773, 0.053764, 0.068471, 0.181014", \
+            "0.091353, 0.089965, 0.091587, 0.103620, 0.243501", \
+            "0.180850, 0.178100, 0.176154, 0.179192, 0.343968", \
+            "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209", \
+            "0.074565, 0.079799, 0.096343, 0.138761, 0.864852", \
+            "0.145329, 0.146192, 0.155787, 0.188759, 0.863750", \
+            "0.286862, 0.286915, 0.288453, 0.307678, 0.903487", \
+            "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158", \
+            "0.089918, 0.095810, 0.111953, 0.155870, 0.877226", \
+            "0.175658, 0.177628, 0.186680, 0.219666, 0.900539", \
+            "0.348403, 0.348491, 0.350746, 0.368988, 0.971736", \
+            "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/INVX2.lib b/cells/lib/INVX2.lib
new file mode 100644
index 0000000..c93098c
--- /dev/null
+++ b/cells/lib/INVX2.lib
@@ -0,0 +1,116 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (INVX2) {
+    area: 438912.0;
+    cell_leakage_power: 0.1173;
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.006188556324394764;
+      fall_capacitance: 0.008930247677472391;
+      capacitance: 0.007559402000933578;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(A)";
+      function: "(!A)";
+      timing () {
+        related_pin: "A";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884", \
+            "0.045060, 0.042762, 0.047513, 0.054699, 0.040967", \
+            "0.088722, 0.082033, 0.082140, 0.091178, 0.111791", \
+            "0.176767, 0.166715, 0.160456, 0.160380, 0.224564", \
+            "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037", \
+            "0.046837, 0.047773, 0.053764, 0.068471, 0.181014", \
+            "0.091353, 0.089965, 0.091587, 0.103620, 0.243501", \
+            "0.180850, 0.178100, 0.176154, 0.179192, 0.343968", \
+            "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209", \
+            "0.074565, 0.079799, 0.096343, 0.138761, 0.864852", \
+            "0.145329, 0.146192, 0.155787, 0.188759, 0.863750", \
+            "0.286862, 0.286915, 0.288453, 0.307678, 0.903487", \
+            "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158", \
+            "0.089918, 0.095810, 0.111953, 0.155870, 0.877226", \
+            "0.175658, 0.177628, 0.186680, 0.219666, 0.900539", \
+            "0.348403, 0.348491, 0.350746, 0.368988, 0.971736", \
+            "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/INVX4.lib b/cells/lib/INVX4.lib
new file mode 100644
index 0000000..6b192af
--- /dev/null
+++ b/cells/lib/INVX4.lib
@@ -0,0 +1,116 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (INVX4) {
+    area: 658368.0;
+    cell_leakage_power: 0.1173;
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.012376891490235522;
+      fall_capacitance: 0.014525173100391225;
+      capacitance: 0.013451032295313373;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(A)";
+      function: "(!A)";
+      timing () {
+        related_pin: "A";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.004836, 0.003911, 0.003113, -0.000947, -0.066781", \
+            "0.023782, 0.025438, 0.029154, 0.032024, -0.003570", \
+            "0.045059, 0.042825, 0.047513, 0.054773, 0.040967", \
+            "0.088711, 0.082075, 0.082140, 0.091166, 0.111791", \
+            "0.441430, 0.429084, 0.416141, 0.399464, 0.483388"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.005423, 0.008343, 0.012279, 0.018588, 0.089288", \
+            "0.025056, 0.028778, 0.035903, 0.047954, 0.142358", \
+            "0.046837, 0.047773, 0.053764, 0.068471, 0.181014", \
+            "0.091353, 0.089965, 0.091587, 0.103620, 0.243501", \
+            "0.449471, 0.445891, 0.441675, 0.435947, 0.579373"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.007775, 0.029000, 0.057625, 0.115801, 0.870234", \
+            "0.039447, 0.049978, 0.071107, 0.121251, 0.867453", \
+            "0.074397, 0.079871, 0.096343, 0.138754, 0.864852", \
+            "0.145174, 0.146264, 0.155787, 0.188826, 0.863750", \
+            "0.711874, 0.711865, 0.711868, 0.712356, 1.131446"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.008278, 0.030236, 0.056581, 0.115515, 0.870125", \
+            "0.047212, 0.057977, 0.079852, 0.130476, 0.873241", \
+            "0.089918, 0.095810, 0.111953, 0.155870, 0.877226", \
+            "0.175658, 0.177628, 0.186680, 0.219666, 0.900539", \
+            "0.865308, 0.865247, 0.865250, 0.866773, 1.291412"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/INVX8.lib b/cells/lib/INVX8.lib
new file mode 100644
index 0000000..f2ca68c
--- /dev/null
+++ b/cells/lib/INVX8.lib
@@ -0,0 +1,116 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (INVX8) {
+    area: 1097280.0;
+    cell_leakage_power: 0.1173;
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.02475357794277478;
+      fall_capacitance: 0.025715030488090873;
+      capacitance: 0.025234304215432823;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(A)";
+      function: "(!A)";
+      timing () {
+        related_pin: "A";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.004711, 0.003669, 0.002920, -0.001273, -0.067235", \
+            "0.013476, 0.016314, 0.018100, 0.018126, -0.031065", \
+            "0.023686, 0.025560, 0.029154, 0.032104, -0.003570", \
+            "0.045002, 0.042834, 0.047470, 0.054715, 0.040967", \
+            "0.220836, 0.210041, 0.201832, 0.197811, 0.273718"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.005356, 0.008225, 0.012119, 0.018287, 0.088886", \
+            "0.014445, 0.019534, 0.025707, 0.035382, 0.118985", \
+            "0.025056, 0.028778, 0.035903, 0.047954, 0.142358", \
+            "0.046837, 0.047773, 0.053764, 0.068471, 0.181014", \
+            "0.225616, 0.222601, 0.219861, 0.220213, 0.388011"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.007647, 0.028925, 0.057669, 0.115805, 0.870247", \
+            "0.022139, 0.037257, 0.061628, 0.115441, 0.868805", \
+            "0.039229, 0.050143, 0.071107, 0.121043, 0.867453", \
+            "0.074441, 0.080027, 0.096405, 0.138670, 0.864852", \
+            "0.357732, 0.357697, 0.358023, 0.371638, 0.931800"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.008160, 0.030148, 0.056531, 0.115506, 0.870109", \
+            "0.026793, 0.041830, 0.067077, 0.120590, 0.871621", \
+            "0.047212, 0.057977, 0.079852, 0.130476, 0.873241", \
+            "0.089918, 0.095810, 0.111953, 0.155870, 0.877226", \
+            "0.434491, 0.434491, 0.435448, 0.448752, 1.014657"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/MUX2X1.lib b/cells/lib/MUX2X1.lib
new file mode 100644
index 0000000..8641f57
--- /dev/null
+++ b/cells/lib/MUX2X1.lib
@@ -0,0 +1,256 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (MUX2X1) {
+    area: 1316736.0;
+    cell_leakage_power: 0.1173;
+    pin (S) {
+      direction: input;
+      rise_capacitance: 0.010338610799344835;
+      fall_capacitance: 0.008212780453515003;
+      capacitance: 0.009275695626429919;
+    }
+    pin (B) {
+      direction: input;
+      rise_capacitance: 0.006397490498320098;
+      fall_capacitance: 0.008895353965965845;
+      capacitance: 0.007646422232142972;
+    }
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.004937389414015676;
+      fall_capacitance: 0.007864001795670731;
+      capacitance: 0.006400695604843204;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(!S&B&!A|!S&B&A|S&B&A|S&!B&A)";
+      function: "(!(A & B & S + A & B & !S + A & S & !B + B & !A & !S))";
+      timing () {
+        related_pin: "A";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.019428, 0.021747, 0.023821, 0.024885, -0.020070", \
+            "0.096776, 0.096705, 0.098699, 0.106638, 0.141139", \
+            "0.174325, 0.173758, 0.174373, 0.179031, 0.252974", \
+            "0.329331, 0.328462, 0.328043, 0.329638, 0.432416", \
+            "1.569307, 1.568155, 1.566435, 1.563733, 1.594086"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.015958, 0.027807, 0.035343, 0.047135, 0.140744", \
+            "0.109318, 0.113175, 0.119175, 0.133278, 0.299017", \
+            "0.203682, 0.205651, 0.209577, 0.220207, 0.408863", \
+            "0.392584, 0.393181, 0.395219, 0.402088, 0.586689", \
+            "1.904228, 1.903421, 1.903075, 1.903731, 1.981518"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.020411, 0.026763, 0.039961, 0.063425, 0.317670", \
+            "0.130948, 0.130915, 0.131768, 0.141947, 0.451197", \
+            "0.243567, 0.243583, 0.243517, 0.245528, 0.549507", \
+            "0.468902, 0.468912, 0.468912, 0.468919, 0.675777", \
+            "2.271917, 2.271914, 2.271917, 2.271916, 2.274560"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.034547, 0.032700, 0.040748, 0.064546, 0.323227", \
+            "0.155499, 0.155537, 0.155799, 0.162945, 0.464800", \
+            "0.286354, 0.286330, 0.286313, 0.286918, 0.548668", \
+            "0.547917, 0.547919, 0.547900, 0.547926, 0.702513", \
+            "2.640604, 2.640603, 2.640602, 2.640603, 2.640599"
+          );
+        }
+      }
+      timing () {
+        related_pin: "B";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.012479, 0.020519, 0.023592, 0.024838, -0.020037", \
+            "0.088439, 0.091403, 0.095223, 0.104973, 0.140988", \
+            "0.165879, 0.167485, 0.169565, 0.175932, 0.252738", \
+            "0.320839, 0.321506, 0.322081, 0.325051, 0.431811", \
+            "1.560766, 1.560490, 1.559111, 1.556910, 1.590657"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.023985, 0.029348, 0.035865, 0.047430, 0.140831", \
+            "0.115535, 0.117784, 0.122066, 0.134594, 0.299174", \
+            "0.209718, 0.210748, 0.213291, 0.222435, 0.409049", \
+            "0.398509, 0.398634, 0.399621, 0.405195, 0.587049", \
+            "1.910075, 1.909263, 1.908276, 1.908150, 1.983766"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.021856, 0.028909, 0.040295, 0.063869, 0.317858", \
+            "0.130661, 0.130662, 0.132045, 0.142857, 0.451367", \
+            "0.243245, 0.243252, 0.243275, 0.245652, 0.549740", \
+            "0.468595, 0.468585, 0.468603, 0.468582, 0.676414", \
+            "2.271590, 2.271590, 2.271589, 2.271591, 2.274367"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.026595, 0.030491, 0.040269, 0.064145, 0.322768", \
+            "0.155850, 0.155839, 0.155924, 0.162605, 0.464668", \
+            "0.286633, 0.286644, 0.286657, 0.287110, 0.548624", \
+            "0.548248, 0.548238, 0.548248, 0.548232, 0.702345", \
+            "2.641009, 2.640987, 2.640985, 2.640985, 2.640985"
+          );
+        }
+      }
+      timing () {
+        related_pin: "S";
+        timing_sense: non_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.015477, 0.019632, 0.023785, 0.028539, 0.047003", \
+            "0.089791, 0.086977, 0.089938, 0.101079, 0.177000", \
+            "0.166627, 0.161655, 0.161408, 0.167180, 0.272033", \
+            "0.321166, 0.314571, 0.311301, 0.310607, 0.436604", \
+            "1.560695, 1.552272, 1.545023, 1.533295, 1.520465"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.009379, 0.017143, 0.022831, 0.029415, 0.049506", \
+            "0.098224, 0.096461, 0.098086, 0.107381, 0.189678", \
+            "0.191790, 0.187334, 0.185018, 0.186251, 0.294384", \
+            "0.380119, 0.373656, 0.367998, 0.361115, 0.471759", \
+            "1.891175, 1.882501, 1.872694, 1.854265, 1.753057"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.025135, 0.032734, 0.048051, 0.084704, 0.566326", \
+            "0.130733, 0.130708, 0.132826, 0.150233, 0.602446", \
+            "0.243422, 0.243393, 0.243371, 0.247979, 0.662237", \
+            "0.468752, 0.468761, 0.468758, 0.468739, 0.756824", \
+            "2.271751, 2.271754, 2.271754, 2.271752, 2.282476"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.022469, 0.035382, 0.052960, 0.089711, 0.571861", \
+            "0.155713, 0.155428, 0.156540, 0.173483, 0.639442", \
+            "0.286482, 0.286497, 0.286481, 0.290082, 0.712770", \
+            "0.548075, 0.548076, 0.548073, 0.548087, 0.844708", \
+            "2.640769, 2.640767, 2.640766, 2.640768, 2.646447"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/NAND2X1.lib b/cells/lib/NAND2X1.lib
new file mode 100644
index 0000000..671ea74
--- /dev/null
+++ b/cells/lib/NAND2X1.lib
@@ -0,0 +1,186 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (NAND2X1) {
+    area: 658368.0;
+    cell_leakage_power: 0.1173;
+    pin (B) {
+      direction: input;
+      rise_capacitance: 0.004150889506161318;
+      fall_capacitance: 0.0057806089303857715;
+      capacitance: 0.004965749218273544;
+    }
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.004935475394936947;
+      fall_capacitance: 0.007870695939137575;
+      capacitance: 0.0064030856670372616;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(B&A)";
+      function: "(!A & B)";
+      timing () {
+        related_pin: "A";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.007196, 0.007595, 0.006535, 0.002335, -0.081701", \
+            "0.048131, 0.045109, 0.049226, 0.055554, 0.023149", \
+            "0.091843, 0.084555, 0.083952, 0.092035, 0.093853", \
+            "0.179911, 0.169368, 0.162474, 0.161292, 0.207503", \
+            "0.885821, 0.872458, 0.856675, 0.829540, 0.814241"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.015633, 0.021476, 0.028398, 0.039896, 0.146774", \
+            "0.107818, 0.110541, 0.116624, 0.131991, 0.322868", \
+            "0.202142, 0.203338, 0.207611, 0.219924, 0.436789", \
+            "0.391020, 0.391118, 0.393477, 0.402003, 0.616285", \
+            "1.902651, 1.901546, 1.901261, 1.902664, 2.012825"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.012945, 0.032109, 0.051696, 0.088446, 0.524049", \
+            "0.079676, 0.085046, 0.101926, 0.145349, 0.674950", \
+            "0.150526, 0.151440, 0.161506, 0.195645, 0.761149", \
+            "0.292156, 0.292210, 0.293910, 0.314078, 0.887525", \
+            "1.425456, 1.425453, 1.425463, 1.425463, 1.693531"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.013964, 0.019082, 0.028092, 0.057082, 0.488461", \
+            "0.142119, 0.142058, 0.142068, 0.146714, 0.440570", \
+            "0.272785, 0.272844, 0.272830, 0.273049, 0.465710", \
+            "0.534379, 0.534395, 0.534370, 0.534368, 0.637728", \
+            "2.627044, 2.627042, 2.627042, 2.627043, 2.627043"
+          );
+        }
+      }
+      timing () {
+        related_pin: "B";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.005849, 0.004862, 0.001953, -0.005765, -0.126919", \
+            "0.046431, 0.043395, 0.046993, 0.051015, -0.016988", \
+            "0.090143, 0.082837, 0.081976, 0.088941, 0.057037", \
+            "0.178220, 0.167700, 0.160608, 0.158752, 0.176347", \
+            "0.884156, 0.870837, 0.855034, 0.827713, 0.802365"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.013792, 0.018689, 0.025506, 0.038065, 0.175360", \
+            "0.107725, 0.103637, 0.104869, 0.117440, 0.315940", \
+            "0.202285, 0.196217, 0.193422, 0.197331, 0.412055", \
+            "0.391293, 0.383864, 0.377831, 0.373134, 0.567831", \
+            "1.903042, 1.894202, 1.884122, 1.866559, 1.824766"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.009695, 0.029176, 0.049614, 0.090169, 0.630637", \
+            "0.076701, 0.082090, 0.098427, 0.140823, 0.690595", \
+            "0.147420, 0.148384, 0.158014, 0.191352, 0.764094", \
+            "0.289054, 0.289045, 0.290759, 0.310455, 0.877521", \
+            "1.422334, 1.422325, 1.422328, 1.422326, 1.682986"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.014142, 0.022429, 0.038545, 0.077748, 0.619421", \
+            "0.142113, 0.142115, 0.143140, 0.157535, 0.605736", \
+            "0.272824, 0.272791, 0.272803, 0.275498, 0.636002", \
+            "0.534396, 0.534363, 0.534379, 0.534395, 0.781620", \
+            "2.627039, 2.627044, 2.627041, 2.627039, 2.630177"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/NAND3X1.lib b/cells/lib/NAND3X1.lib
new file mode 100644
index 0000000..a0cf762
--- /dev/null
+++ b/cells/lib/NAND3X1.lib
@@ -0,0 +1,256 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (NAND3X1) {
+    area: 877824.0;
+    cell_leakage_power: 0.1173;
+    pin (C) {
+      direction: input;
+      rise_capacitance: 0.004149650005143659;
+      fall_capacitance: 0.005781505198438881;
+      capacitance: 0.00496557760179127;
+    }
+    pin (B) {
+      direction: input;
+      rise_capacitance: 0.004830629997798454;
+      fall_capacitance: 0.0065460190151835455;
+      capacitance: 0.005688324506490999;
+    }
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.0049353598856550955;
+      fall_capacitance: 0.007870869195042688;
+      capacitance: 0.006403114540348892;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(C&B&A)";
+      function: "(!A & B & C)";
+      timing () {
+        related_pin: "A";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.008972, 0.009457, 0.008503, 0.002962, -0.100701", \
+            "0.051333, 0.047529, 0.050994, 0.056259, 0.000383", \
+            "0.095086, 0.087278, 0.086059, 0.093123, 0.071594", \
+            "0.183200, 0.172294, 0.164894, 0.162676, 0.188303", \
+            "0.889127, 0.875625, 0.859595, 0.831781, 0.805945"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.020769, 0.029603, 0.037436, 0.051718, 0.185550", \
+            "0.137563, 0.140846, 0.146094, 0.159442, 0.367674", \
+            "0.257454, 0.258396, 0.261172, 0.270635, 0.491854", \
+            "0.497675, 0.496765, 0.496908, 0.501401, 0.695984", \
+            "2.420799, 2.417810, 2.414180, 2.409069, 2.461911"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.017292, 0.035367, 0.056139, 0.094266, 0.548119", \
+            "0.084992, 0.090373, 0.107178, 0.150243, 0.683940", \
+            "0.155780, 0.156840, 0.166974, 0.201316, 0.764246", \
+            "0.297431, 0.297423, 0.299270, 0.320137, 0.888634", \
+            "1.430747, 1.430744, 1.430744, 1.430744, 1.704785"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.023922, 0.025750, 0.032068, 0.055931, 0.495161", \
+            "0.188089, 0.188153, 0.188154, 0.189888, 0.453757", \
+            "0.355339, 0.355334, 0.355344, 0.355344, 0.505262", \
+            "0.689777, 0.689789, 0.689794, 0.689787, 0.761388", \
+            "3.365704, 3.365704, 3.365703, 3.365700, 3.365703"
+          );
+        }
+      }
+      timing () {
+        related_pin: "B";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.008334, 0.007999, 0.005426, -0.001872, -0.134533", \
+            "0.049592, 0.045886, 0.049021, 0.052517, -0.029801", \
+            "0.093307, 0.085588, 0.084148, 0.090357, 0.043619", \
+            "0.181419, 0.170558, 0.163034, 0.160347, 0.164016", \
+            "0.887312, 0.873890, 0.857871, 0.829951, 0.796345"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.023592, 0.029466, 0.038594, 0.055239, 0.219385", \
+            "0.141155, 0.143057, 0.148603, 0.163867, 0.404481", \
+            "0.261171, 0.261449, 0.264802, 0.276119, 0.527468", \
+            "0.501476, 0.500497, 0.501691, 0.508419, 0.732132", \
+            "2.424678, 2.422318, 2.420551, 2.419097, 2.507900"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.014708, 0.032973, 0.052387, 0.088064, 0.507153", \
+            "0.081875, 0.087345, 0.103349, 0.145759, 0.652137", \
+            "0.152726, 0.153620, 0.163460, 0.196949, 0.738027", \
+            "0.294293, 0.294378, 0.296116, 0.316174, 0.868979", \
+            "1.427621, 1.427628, 1.427634, 1.427631, 1.694351"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.023614, 0.026398, 0.032949, 0.053238, 0.454307", \
+            "0.188147, 0.188117, 0.188099, 0.189875, 0.415502", \
+            "0.355311, 0.355293, 0.355317, 0.355295, 0.485465", \
+            "0.689798, 0.689798, 0.689789, 0.689787, 0.751303", \
+            "3.365699, 3.365700, 3.365702, 3.365700, 3.365698"
+          );
+        }
+      }
+      timing () {
+        related_pin: "C";
+        timing_sense: negative_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.006743, 0.005507, 0.001409, -0.008755, -0.170135", \
+            "0.047762, 0.044106, 0.046936, 0.048789, -0.060209", \
+            "0.091478, 0.083801, 0.082253, 0.087681, 0.016317", \
+            "0.179569, 0.168817, 0.161183, 0.158074, 0.141274", \
+            "0.885505, 0.872150, 0.856133, 0.828109, 0.787687"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.021221, 0.026912, 0.036046, 0.053704, 0.240197", \
+            "0.140988, 0.137908, 0.140081, 0.153521, 0.407328", \
+            "0.261329, 0.256442, 0.255023, 0.261001, 0.520047", \
+            "0.501821, 0.495706, 0.491228, 0.489434, 0.706541", \
+            "2.425190, 2.417843, 2.409583, 2.395522, 2.391247"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.011465, 0.029918, 0.048625, 0.083915, 0.522973", \
+            "0.078783, 0.083779, 0.099962, 0.141527, 0.642500", \
+            "0.149540, 0.150478, 0.160020, 0.192902, 0.728158", \
+            "0.291212, 0.291252, 0.292980, 0.312574, 0.856649", \
+            "1.424500, 1.424495, 1.424506, 1.424500, 1.685213"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.023566, 0.027391, 0.036715, 0.061844, 0.502475", \
+            "0.188148, 0.188141, 0.188149, 0.192359, 0.487219", \
+            "0.355298, 0.355308, 0.355319, 0.355322, 0.560090", \
+            "0.689793, 0.689798, 0.689798, 0.689793, 0.809696", \
+            "3.365702, 3.365700, 3.365703, 3.365699, 3.365703"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/OR2X1.lib b/cells/lib/OR2X1.lib
new file mode 100644
index 0000000..2944b5e
--- /dev/null
+++ b/cells/lib/OR2X1.lib
@@ -0,0 +1,186 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (OR2X1) {
+    area: 877824.0;
+    cell_leakage_power: 0.1173;
+    pin (B) {
+      direction: input;
+      rise_capacitance: 0.006299305363616857;
+      fall_capacitance: 0.008885220124112183;
+      capacitance: 0.007592262743864521;
+    }
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.006309610190379141;
+      fall_capacitance: 0.00630283867340493;
+      capacitance: 0.006306224431892035;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(!B&!A)";
+      function: "(!!A & !B)";
+      timing () {
+        related_pin: "A";
+        timing_sense: positive_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410", \
+            "0.041854, 0.043329, 0.051603, 0.059323, 0.062560", \
+            "0.084916, 0.078410, 0.082038, 0.088448, 0.103720", \
+            "0.172611, 0.158216, 0.154751, 0.153103, 0.172991", \
+            "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228", \
+            "0.069115, 0.070143, 0.075842, 0.091793, 0.184877", \
+            "0.111955, 0.111797, 0.115439, 0.127845, 0.254311", \
+            "0.200493, 0.199357, 0.201189, 0.207941, 0.374505", \
+            "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675", \
+            "0.075475, 0.097421, 0.130787, 0.191718, 0.688979", \
+            "0.145593, 0.155613, 0.179062, 0.217295, 0.718675", \
+            "0.287207, 0.287428, 0.292736, 0.315169, 0.792432", \
+            "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641", \
+            "0.093953, 0.096473, 0.103565, 0.127433, 0.668426", \
+            "0.177647, 0.178545, 0.181992, 0.198197, 0.680919", \
+            "0.349306, 0.349321, 0.350007, 0.357523, 0.740411", \
+            "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"
+          );
+        }
+      }
+      timing () {
+        related_pin: "B";
+        timing_sense: positive_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827", \
+            "0.042995, 0.049240, 0.058421, 0.069922, 0.132870", \
+            "0.085418, 0.083324, 0.088199, 0.097150, 0.172762", \
+            "0.172559, 0.161472, 0.159668, 0.160671, 0.230240", \
+            "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818", \
+            "0.070126, 0.072893, 0.078115, 0.092691, 0.135288", \
+            "0.112911, 0.114897, 0.118672, 0.129875, 0.209498", \
+            "0.201393, 0.202758, 0.205335, 0.211918, 0.341264", \
+            "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235", \
+            "0.076858, 0.103458, 0.137895, 0.200949, 0.702148", \
+            "0.145825, 0.159732, 0.185528, 0.227910, 0.724008", \
+            "0.287314, 0.288184, 0.294861, 0.320599, 0.788394", \
+            "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658", \
+            "0.094505, 0.095669, 0.100243, 0.118924, 0.616317", \
+            "0.177929, 0.178313, 0.180338, 0.192868, 0.629773", \
+            "0.349160, 0.349206, 0.349675, 0.355201, 0.694984", \
+            "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/OR2X2.lib b/cells/lib/OR2X2.lib
new file mode 100644
index 0000000..a4f3e08
--- /dev/null
+++ b/cells/lib/OR2X2.lib
@@ -0,0 +1,186 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (OR2X2) {
+    area: 877824.0;
+    cell_leakage_power: 0.1173;
+    pin (B) {
+      direction: input;
+      rise_capacitance: 0.006299305363616857;
+      fall_capacitance: 0.008885220124112183;
+      capacitance: 0.007592262743864521;
+    }
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.006309610190379141;
+      fall_capacitance: 0.00630283867340493;
+      capacitance: 0.006306224431892035;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(!B&!A)";
+      function: "(!!A & !B)";
+      timing () {
+        related_pin: "A";
+        timing_sense: positive_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410", \
+            "0.041854, 0.043329, 0.051603, 0.059323, 0.062560", \
+            "0.084916, 0.078410, 0.082038, 0.088448, 0.103720", \
+            "0.172611, 0.158216, 0.154751, 0.153103, 0.172991", \
+            "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228", \
+            "0.069115, 0.070143, 0.075842, 0.091793, 0.184877", \
+            "0.111955, 0.111797, 0.115439, 0.127845, 0.254311", \
+            "0.200493, 0.199357, 0.201189, 0.207941, 0.374505", \
+            "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675", \
+            "0.075475, 0.097421, 0.130787, 0.191718, 0.688979", \
+            "0.145593, 0.155613, 0.179062, 0.217295, 0.718675", \
+            "0.287207, 0.287428, 0.292736, 0.315169, 0.792432", \
+            "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641", \
+            "0.093953, 0.096473, 0.103565, 0.127433, 0.668426", \
+            "0.177647, 0.178545, 0.181992, 0.198197, 0.680919", \
+            "0.349306, 0.349321, 0.350007, 0.357523, 0.740411", \
+            "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"
+          );
+        }
+      }
+      timing () {
+        related_pin: "B";
+        timing_sense: positive_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827", \
+            "0.042995, 0.049240, 0.058421, 0.069922, 0.132870", \
+            "0.085418, 0.083324, 0.088199, 0.097150, 0.172762", \
+            "0.172559, 0.161472, 0.159668, 0.160671, 0.230240", \
+            "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818", \
+            "0.070126, 0.072893, 0.078115, 0.092691, 0.135288", \
+            "0.112911, 0.114897, 0.118672, 0.129875, 0.209498", \
+            "0.201393, 0.202758, 0.205335, 0.211918, 0.341264", \
+            "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235", \
+            "0.076858, 0.103458, 0.137895, 0.200949, 0.702148", \
+            "0.145825, 0.159732, 0.185528, 0.227910, 0.724008", \
+            "0.287314, 0.288184, 0.294861, 0.320599, 0.788394", \
+            "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658", \
+            "0.094505, 0.095669, 0.100243, 0.118924, 0.616317", \
+            "0.177929, 0.178313, 0.180338, 0.192868, 0.629773", \
+            "0.349160, 0.349206, 0.349675, 0.355201, 0.694984", \
+            "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/XNOR2X1.lib b/cells/lib/XNOR2X1.lib
new file mode 100644
index 0000000..616e8d8
--- /dev/null
+++ b/cells/lib/XNOR2X1.lib
@@ -0,0 +1,186 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (XNOR2X1) {
+    area: 1536192.0;
+    cell_leakage_power: 0.1173;
+    pin (B) {
+      direction: input;
+      rise_capacitance: 0.01258592702529086;
+      fall_capacitance: 0.01450190121076146;
+      capacitance: 0.01354391411802616;
+    }
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.012735641153553287;
+      fall_capacitance: 0.011631625278500075;
+      capacitance: 0.012183633216026682;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(B&!A|!B&A)";
+      function: "(!(A & !B + B & !A))";
+      timing () {
+        related_pin: "A";
+        timing_sense: non_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.013989, 0.018024, 0.023608, 0.028544, 0.046990", \
+            "0.086886, 0.083890, 0.087944, 0.100115, 0.176994", \
+            "0.163666, 0.158058, 0.158583, 0.165414, 0.272018", \
+            "0.318190, 0.310552, 0.307716, 0.307846, 0.436394", \
+            "1.557671, 1.547810, 1.540413, 1.528732, 1.518482"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.013397, 0.018391, 0.023707, 0.029700, 0.049560", \
+            "0.104554, 0.099267, 0.099516, 0.107893, 0.189692", \
+            "0.198104, 0.190587, 0.187032, 0.187249, 0.294404", \
+            "0.386428, 0.377203, 0.370471, 0.362665, 0.471845", \
+            "1.897500, 1.886363, 1.875729, 1.856653, 1.754002"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.023920, 0.038475, 0.061455, 0.084755, 0.566336", \
+            "0.130811, 0.130539, 0.133792, 0.151736, 0.602473", \
+            "0.243429, 0.243459, 0.243317, 0.248917, 0.662479", \
+            "0.468797, 0.468798, 0.468793, 0.468774, 0.757658", \
+            "2.271785, 2.271788, 2.271788, 2.271786, 2.282952"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.025980, 0.034386, 0.051179, 0.088206, 0.570375", \
+            "0.155775, 0.156006, 0.156492, 0.172986, 0.639449", \
+            "0.286528, 0.286545, 0.286577, 0.289826, 0.712632", \
+            "0.548141, 0.548132, 0.548123, 0.548137, 0.844311", \
+            "2.640825, 2.640828, 2.640826, 2.640825, 2.646410"
+          );
+        }
+      }
+      timing () {
+        related_pin: "B";
+        timing_sense: non_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.016690, 0.026445, 0.031880, 0.037261, 0.048616", \
+            "0.091051, 0.095514, 0.100621, 0.112158, 0.182377", \
+            "0.168213, 0.171079, 0.174250, 0.182514, 0.282866", \
+            "0.322976, 0.324677, 0.326168, 0.330961, 0.454972", \
+            "1.562706, 1.563167, 1.562488, 1.561803, 1.609286"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.026885, 0.032811, 0.038875, 0.047403, 0.087933", \
+            "0.117282, 0.120145, 0.124314, 0.135271, 0.246516", \
+            "0.211168, 0.212784, 0.215279, 0.223230, 0.363714", \
+            "0.399743, 0.400411, 0.401407, 0.406048, 0.555385", \
+            "1.911052, 1.910726, 1.909802, 1.909023, 1.963658"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.024789, 0.028839, 0.037807, 0.058878, 0.265656", \
+            "0.130769, 0.130753, 0.131666, 0.140183, 0.394222", \
+            "0.243431, 0.243415, 0.243392, 0.244961, 0.496125", \
+            "0.468744, 0.468748, 0.468759, 0.468730, 0.635129", \
+            "2.271767, 2.271764, 2.271765, 2.271763, 2.273192"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.028716, 0.030099, 0.037985, 0.059222, 0.254230", \
+            "0.155712, 0.155759, 0.155806, 0.162226, 0.429522", \
+            "0.286550, 0.286491, 0.286518, 0.286872, 0.538319", \
+            "0.548133, 0.548105, 0.548127, 0.548107, 0.702960", \
+            "2.640815, 2.640815, 2.640812, 2.640812, 2.640812"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/XOR2X1.lib b/cells/lib/XOR2X1.lib
new file mode 100644
index 0000000..7bc0c59
--- /dev/null
+++ b/cells/lib/XOR2X1.lib
@@ -0,0 +1,186 @@
+library (ls05_stdcells) {
+  delay_model: table_lookup;
+  in_place_swap_mode: match_footprint;
+  time_unit: "1ns";
+  voltage_unit: "1V";
+  current_unit: "1uA";
+  pulling_resistance_unit: "1kohm";
+  leakage_power_unit: "1nW";
+  capacitive_load_unit (1.0, pf);
+  slew_upper_threshold_pct_rise: 80.0;
+  slew_lower_threshold_pct_rise: 20.0;
+  slew_upper_threshold_pct_fall: 80.0;
+  slew_lower_threshold_pct_fall: 20.0;
+  input_threshold_pct_rise: 50.0;
+  input_threshold_pct_fall: 50.0;
+  output_threshold_pct_rise: 50.0;
+  output_threshold_pct_fall: 50.0;
+  nom_process: 1.0;
+  nom_voltage: 5.0;
+  nom_temperature: 25.0;
+  default_operating_conditions: typical;
+  operating_conditions (typical) {
+    process: 1.0;
+    voltage: 5.0;
+    temperature: 25.0;
+  }
+  lu_table_template (delay_template_5x5) {
+    variable_1: total_output_net_capacitance;
+    variable_2: input_net_transition;
+    index_1 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+    index_2 (
+      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
+    );
+  }
+  cell (XOR2X1) {
+    area: 1536192.0;
+    cell_leakage_power: 0.1173;
+    pin (B) {
+      direction: input;
+      rise_capacitance: 0.011125237855721299;
+      fall_capacitance: 0.013458368537199627;
+      capacitance: 0.012291803196460463;
+    }
+    pin (A) {
+      direction: input;
+      rise_capacitance: 0.005915560312833218;
+      fall_capacitance: 0.009103970632490159;
+      capacitance: 0.007509765472661688;
+    }
+    pin (Y) {
+      direction: output;
+      function: "!(!B&!A|B&A)";
+      function: "(!(A & B + !A & !B))";
+      timing () {
+        related_pin: "A";
+        timing_sense: non_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.012892, 0.017884, 0.023582, 0.028581, 0.047039", \
+            "0.088020, 0.084498, 0.088176, 0.100267, 0.176997", \
+            "0.164777, 0.158599, 0.158811, 0.165507, 0.272027", \
+            "0.319197, 0.311031, 0.307896, 0.307904, 0.436456", \
+            "1.558585, 1.548160, 1.540528, 1.528710, 1.518515"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.016957, 0.021209, 0.026433, 0.031788, 0.049581", \
+            "0.105352, 0.101262, 0.101517, 0.109489, 0.189817", \
+            "0.199116, 0.192599, 0.189067, 0.189128, 0.294604", \
+            "0.387610, 0.379329, 0.372685, 0.364852, 0.472363", \
+            "1.898846, 1.888657, 1.878160, 1.859296, 1.755721"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.023649, 0.043194, 0.070971, 0.085067, 0.565950", \
+            "0.130727, 0.130960, 0.133759, 0.151712, 0.602446", \
+            "0.243440, 0.243431, 0.243448, 0.248631, 0.662387", \
+            "0.468772, 0.468795, 0.468785, 0.468785, 0.757475", \
+            "2.271774, 2.271788, 2.271788, 2.271786, 2.282763"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.028995, 0.032141, 0.047871, 0.084453, 0.560124", \
+            "0.155738, 0.155044, 0.155572, 0.170946, 0.631684", \
+            "0.286568, 0.286562, 0.286518, 0.289308, 0.710606", \
+            "0.548144, 0.548142, 0.548134, 0.548140, 0.843303", \
+            "2.640828, 2.640826, 2.640826, 2.640827, 2.646192"
+          );
+        }
+      }
+      timing () {
+        related_pin: "B";
+        timing_sense: non_unate;
+        cell_rise (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.014892, 0.026246, 0.031838, 0.037204, 0.048590", \
+            "0.091734, 0.095678, 0.100730, 0.112148, 0.182368", \
+            "0.168923, 0.171200, 0.174309, 0.182497, 0.282866", \
+            "0.323721, 0.324777, 0.326200, 0.330900, 0.455028", \
+            "1.563456, 1.563165, 1.562411, 1.561608, 1.609279"
+          );
+        }
+        cell_fall (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.024069, 0.032502, 0.038891, 0.047467, 0.087946", \
+            "0.115473, 0.118528, 0.123164, 0.134818, 0.246531", \
+            "0.209458, 0.210932, 0.213732, 0.222349, 0.363720", \
+            "0.398106, 0.398429, 0.399551, 0.404751, 0.555323", \
+            "1.909486, 1.908601, 1.907591, 1.907104, 1.962711"
+          );
+        }
+        rise_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.025121, 0.046358, 0.037829, 0.058746, 0.265684", \
+            "0.130823, 0.130739, 0.131644, 0.140015, 0.394131", \
+            "0.243421, 0.243395, 0.243335, 0.244847, 0.496071", \
+            "0.468767, 0.468755, 0.468745, 0.468743, 0.634781", \
+            "2.271778, 2.271763, 2.271765, 2.271761, 2.273117"
+          );
+        }
+        fall_transition (delay_template_5x5) {
+          index_1 (
+            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
+          );
+          index_2 (
+            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
+          );
+          values (
+            "0.031037, 0.031042, 0.038291, 0.059160, 0.254240", \
+            "0.155716, 0.155677, 0.155943, 0.162510, 0.429541", \
+            "0.286530, 0.286528, 0.286510, 0.286927, 0.538348", \
+            "0.548114, 0.548124, 0.548121, 0.548120, 0.703170", \
+            "2.640816, 2.640814, 2.640814, 2.640812, 2.640811"
+          );
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/cells/lib/libresilicon.lib b/cells/lib/libresilicon.lib
new file mode 100644
index 0000000..437499a
--- /dev/null
+++ b/cells/lib/libresilicon.lib
@@ -0,0 +1 @@
+library (ls05_stdcells) {  delay_model: table_lookup;  in_place_swap_mode: match_footprint;  time_unit: "1ns";  voltage_unit: "1V";  current_unit: "1uA";  pulling_resistance_unit: "1kohm";  leakage_power_unit: "1nW";  capacitive_load_unit (1.0, pf);  slew_upper_threshold_pct_rise: 80.0;  slew_lower_threshold_pct_rise: 20.0;  slew_upper_threshold_pct_fall: 80.0;  slew_lower_threshold_pct_fall: 20.0;  input_threshold_pct_rise: 50.0;  input_threshold_pct_fall: 50.0;  output_threshold_pct_rise: 50.0;  output_threshold_pct_fall: 50.0;  nom_process: 1.0;  nom_voltage: 5.0;  nom_temperature: 25.0;  default_operating_conditions: typical;  operating_conditions (typical) {    process: 1.0;    voltage: 5.0;    temperature: 25.0;  }  lu_table_template (delay_template_5x1) {    variable_1: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (delay_template_5x5) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (delay_template_5x6) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (delay_template_6x1) {    variable_1: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (delay_template_6x6) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (energy_template_5x5) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  power_lut_template (energy_template_5x6) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (energy_template_6x6) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (hold_template_3x5) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (hold_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (passive_energy_template_5x1) {    variable_1: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  power_lut_template (passive_energy_template_6x1) {    variable_1: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (recovery_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (recovery_template_6x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (removal_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (setup_template_3x5) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (setup_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  cell (AND2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004935475891367477;      fall_capacitance: 0.007858406695085389;      capacitance: 0.006396941293226433;    }    pin (A) {      direction: input;      rise_capacitance: 0.004150891096654475;      fall_capacitance: 0.005776428708787616;      capacitance: 0.004963659902721045;    }    pin (Y) {      direction: output;      function: "(B&A)";      function: "(A & B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749",             "0.048098, 0.064059, 0.074620, 0.093597, 0.252448",             "0.087865, 0.098559, 0.108152, 0.125156, 0.300811",             "0.172721, 0.177015, 0.184227, 0.196165, 0.394456",             "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696",             "0.056135, 0.056445, 0.057308, 0.057768, -0.014818",             "0.099933, 0.098214, 0.096071, 0.094474, 0.031563",             "0.189088, 0.185938, 0.181261, 0.173387, 0.117548",             "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792",             "0.080791, 0.094490, 0.092269, 0.111832, 0.588352",             "0.147163, 0.151582, 0.153943, 0.167618, 0.594475",             "0.287657, 0.288087, 0.288559, 0.294676, 0.639075",             "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971",             "0.091168, 0.096623, 0.108594, 0.140442, 0.624323",             "0.176540, 0.177904, 0.183856, 0.205552, 0.674111",             "0.348626, 0.348716, 0.349898, 0.359158, 0.778703",             "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628",             "0.046736, 0.065667, 0.079697, 0.098261, 0.233471",             "0.087052, 0.099648, 0.114524, 0.132001, 0.286132",             "0.172376, 0.177352, 0.192051, 0.206645, 0.390972",             "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337",             "0.058079, 0.058865, 0.060249, 0.060930, 0.021381",             "0.101524, 0.100223, 0.098824, 0.096634, 0.056583",             "0.190472, 0.187661, 0.183754, 0.176080, 0.122194",             "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913",             "0.080000, 0.118312, 0.085490, 0.095978, 0.447397",             "0.146991, 0.152360, 0.150326, 0.156871, 0.457664",             "0.287629, 0.288180, 0.288056, 0.290376, 0.519847",             "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070",             "0.091909, 0.097885, 0.110175, 0.140770, 0.556828",             "0.176533, 0.178340, 0.184385, 0.205074, 0.605254",             "0.348727, 0.348732, 0.349910, 0.357567, 0.705589",             "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"          );        }      }    }  }  cell (AND2X2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004935475891367477;      fall_capacitance: 0.007858406695085389;      capacitance: 0.006396941293226433;    }    pin (A) {      direction: input;      rise_capacitance: 0.004150891096654475;      fall_capacitance: 0.005776428708787616;      capacitance: 0.004963659902721045;    }    pin (Y) {      direction: output;      function: "(B&A)";      function: "(A & B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749",             "0.048098, 0.064059, 0.074620, 0.093597, 0.252448",             "0.087865, 0.098559, 0.108152, 0.125156, 0.300811",             "0.172721, 0.177015, 0.184227, 0.196165, 0.394456",             "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696",             "0.056135, 0.056445, 0.057308, 0.057768, -0.014818",             "0.099933, 0.098214, 0.096071, 0.094474, 0.031563",             "0.189088, 0.185938, 0.181261, 0.173387, 0.117548",             "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792",             "0.080791, 0.094490, 0.092269, 0.111832, 0.588352",             "0.147163, 0.151582, 0.153943, 0.167618, 0.594475",             "0.287657, 0.288087, 0.288559, 0.294676, 0.639075",             "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971",             "0.091168, 0.096623, 0.108594, 0.140442, 0.624323",             "0.176540, 0.177904, 0.183856, 0.205552, 0.674111",             "0.348626, 0.348716, 0.349898, 0.359158, 0.778703",             "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628",             "0.046736, 0.065667, 0.079697, 0.098261, 0.233471",             "0.087052, 0.099648, 0.114524, 0.132001, 0.286132",             "0.172376, 0.177352, 0.192051, 0.206645, 0.390972",             "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337",             "0.058079, 0.058865, 0.060249, 0.060930, 0.021381",             "0.101524, 0.100223, 0.098824, 0.096634, 0.056583",             "0.190472, 0.187661, 0.183754, 0.176080, 0.122194",             "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913",             "0.080000, 0.118312, 0.085490, 0.095978, 0.447397",             "0.146991, 0.152360, 0.150326, 0.156871, 0.457664",             "0.287629, 0.288180, 0.288056, 0.290376, 0.519847",             "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070",             "0.091909, 0.097885, 0.110175, 0.140770, 0.556828",             "0.176533, 0.178340, 0.184385, 0.205074, 0.605254",             "0.348727, 0.348732, 0.349910, 0.357567, 0.705589",             "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"          );        }      }    }  }  cell (AOI21X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.006296176741869404;      fall_capacitance: 0.006101450811807844;      capacitance: 0.006198813776838624;    }    pin (B) {      direction: input;      rise_capacitance: 0.004150897383251567;      fall_capacitance: 0.005762494885719812;      capacitance: 0.00495669613448569;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935476111367993;      fall_capacitance: 0.007850019508283936;      capacitance: 0.006392747809825965;    }    pin (Y) {      direction: output;      function: "(!C&!B&!A|!C&B&!A|!C&!B&A)";      function: "((A & !B & !C + B & !A & !C + !A & !B & !C))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018081, 0.020447, 0.022456, 0.023296, -0.023076",             "0.095512, 0.095564, 0.097577, 0.105665, 0.139556",             "0.173079, 0.172613, 0.173245, 0.177966, 0.251687",             "0.328092, 0.327325, 0.326934, 0.328569, 0.431362",             "1.568078, 1.567023, 1.565399, 1.562764, 1.593146"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011083, 0.027112, 0.034806, 0.046174, 0.138537",             "0.106126, 0.110980, 0.117817, 0.132662, 0.298423",             "0.200686, 0.203305, 0.207787, 0.219052, 0.408583",             "0.389684, 0.390741, 0.393128, 0.400447, 0.586557",             "1.901409, 1.900881, 1.900582, 1.901419, 1.980303"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017400, 0.024998, 0.038610, 0.061076, 0.316134",             "0.127638, 0.127551, 0.128643, 0.138963, 0.448630",             "0.240290, 0.240260, 0.240283, 0.242298, 0.547010",             "0.465607, 0.465635, 0.465599, 0.465632, 0.673379",             "2.268621, 2.268618, 2.268620, 2.268621, 2.271276"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029014, 0.032057, 0.039965, 0.063854, 0.320917",             "0.155270, 0.155388, 0.155812, 0.163213, 0.464067",             "0.286240, 0.286177, 0.286189, 0.286907, 0.549050",             "0.547857, 0.547835, 0.547859, 0.547862, 0.703410",             "2.640589, 2.640589, 2.640586, 2.640585, 2.640586"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015335, 0.016945, 0.017387, 0.015057, -0.070130",             "0.093269, 0.093163, 0.094810, 0.101980, 0.105211",             "0.170827, 0.170332, 0.170780, 0.174845, 0.222749",             "0.325869, 0.325151, 0.324630, 0.325908, 0.409344",             "1.565863, 1.564927, 1.563288, 1.560589, 1.585799"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013287, 0.024590, 0.032135, 0.044683, 0.169986",             "0.106631, 0.105383, 0.107181, 0.118863, 0.301183",             "0.201084, 0.196904, 0.194332, 0.196987, 0.392495",             "0.390038, 0.383879, 0.377817, 0.371491, 0.543659",             "1.901742, 1.893615, 1.883483, 1.864976, 1.785407"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013635, 0.021335, 0.036352, 0.066782, 0.514064",             "0.122731, 0.122740, 0.123665, 0.133972, 0.500371",             "0.235316, 0.235334, 0.235297, 0.237378, 0.556421",             "0.460654, 0.460640, 0.460666, 0.460646, 0.664867",             "2.263652, 2.263652, 2.263651, 2.263650, 2.266535"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029990, 0.038199, 0.056237, 0.095398, 0.544902",             "0.155321, 0.155296, 0.158206, 0.179362, 0.704360",             "0.286222, 0.286226, 0.286240, 0.291364, 0.780438",             "0.547837, 0.547857, 0.547852, 0.547862, 0.901566",             "2.640589, 2.640588, 2.640588, 2.640589, 2.647331"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012884, 0.013824, 0.016369, 0.019083, 0.025408",             "0.085533, 0.079788, 0.082111, 0.094024, 0.172908",             "0.157410, 0.149628, 0.148170, 0.154670, 0.271254",             "0.300946, 0.291744, 0.286795, 0.285855, 0.427654",             "1.448498, 1.437802, 1.428280, 1.413900, 1.417542"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005708, 0.008623, 0.010235, 0.012288, 0.017616",             "0.047043, 0.047780, 0.052874, 0.064024, 0.113032",             "0.091536, 0.089929, 0.090900, 0.100815, 0.179742",             "0.181015, 0.178070, 0.175614, 0.176901, 0.288000",             "0.897237, 0.893242, 0.888241, 0.878918, 0.902547"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012755, 0.024831, 0.044968, 0.093110, 0.730524",             "0.115219, 0.115342, 0.119381, 0.140592, 0.704120",             "0.219879, 0.219898, 0.220189, 0.229051, 0.695466",             "0.429271, 0.429272, 0.429268, 0.429957, 0.760003",             "2.104581, 2.104581, 2.104580, 2.104582, 2.138119"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013144, 0.039574, 0.064113, 0.105179, 0.740810",             "0.092532, 0.098951, 0.115649, 0.159781, 0.811024",             "0.178514, 0.180443, 0.190086, 0.223562, 0.880935",             "0.350931, 0.351086, 0.353728, 0.372580, 0.983884",             "1.729228, 1.729222, 1.729222, 1.729215, 1.983340"          );        }      }    }  }  cell (AOI22X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (D) {      direction: input;      rise_capacitance: 0.004150836637055694;      fall_capacitance: 4.293461827055451e-05;      capacitance: 0.0020968856276631243;    }    pin (C) {      direction: input;      rise_capacitance: 0.004935392181405116;      fall_capacitance: 0.004717183954380674;      capacitance: 0.004826288067892895;    }    pin (B) {      direction: input;      rise_capacitance: 0.004150898468773608;      fall_capacitance: 0.005752203124409789;      capacitance: 0.004951550796591699;    }    pin (A) {      direction: input;      rise_capacitance: 0.00493547941452175;      fall_capacitance: 0.007832228421315403;      capacitance: 0.006383853917918576;    }    pin (Y) {      direction: output;      function: "!(D&C&!B&!A|D&C&B&!A|!D&!C&B&A|D&!C&B&A|D&C&B&A|!D&C&B&A|D&C&!B&A)";      function: "(!(A & B & C & D + A & B & C & !D + A & B & D & !C + A & C & D & !B + B & C & D & !A + A & B & !C & !D + C & D & !A & !B))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022453, 0.024534, 0.027322, 0.029301, -0.013605",             "0.094099, 0.094146, 0.096422, 0.104923, 0.133468",             "0.165999, 0.165576, 0.166553, 0.171933, 0.239819",             "0.309709, 0.308987, 0.308926, 0.311303, 0.412228",             "1.459135, 1.458114, 1.456788, 1.454769, 1.495149"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.010762, 0.031215, 0.042613, 0.056720, 0.163173",             "0.106795, 0.114659, 0.123175, 0.139761, 0.315494",             "0.201577, 0.206563, 0.212378, 0.225460, 0.423829",             "0.390704, 0.393586, 0.396927, 0.405778, 0.599884",             "1.902537, 1.903178, 1.903203, 1.904622, 1.991405"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023524, 0.029914, 0.043867, 0.071865, 0.348115",             "0.124790, 0.124832, 0.126119, 0.138292, 0.474155",             "0.228743, 0.228744, 0.228751, 0.231812, 0.561135",             "0.436738, 0.436734, 0.436738, 0.436740, 0.675654",             "2.100895, 2.100896, 2.100897, 2.100896, 2.108562"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.034663, 0.063510, 0.047967, 0.068994, 0.335936",             "0.166389, 0.166412, 0.166844, 0.173304, 0.460327",             "0.297251, 0.297251, 0.297255, 0.297868, 0.539773",             "0.558920, 0.558909, 0.558922, 0.558921, 0.702122",             "2.651676, 2.651678, 2.651676, 2.651675, 2.651676"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019651, 0.021446, 0.022931, 0.021898, -0.058654",             "0.091977, 0.091918, 0.093938, 0.101438, 0.098787",             "0.163917, 0.163487, 0.164267, 0.169031, 0.210590",             "0.307654, 0.306986, 0.306812, 0.308826, 0.390145",             "1.457089, 1.456195, 1.454848, 1.452765, 1.487992"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013313, 0.029492, 0.038920, 0.053701, 0.189828",             "0.107559, 0.109470, 0.112797, 0.125324, 0.314605",             "0.202143, 0.200386, 0.199276, 0.203456, 0.404946",             "0.391163, 0.386838, 0.381869, 0.377141, 0.555274",             "1.902923, 1.895945, 1.886158, 1.868337, 1.797664"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019077, 0.026325, 0.041095, 0.072960, 0.515154",             "0.120223, 0.120250, 0.121709, 0.133832, 0.515903",             "0.224169, 0.224172, 0.224205, 0.227354, 0.569826",             "0.432139, 0.432141, 0.432149, 0.432153, 0.667848",             "2.096306, 2.096306, 2.096306, 2.096307, 2.104382"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.036269, 0.063193, 0.062392, 0.098967, 0.551553",             "0.166373, 0.166389, 0.169112, 0.187994, 0.688610",             "0.297276, 0.297267, 0.297275, 0.302013, 0.760566",             "0.558916, 0.558919, 0.558917, 0.558918, 0.890221",             "2.651678, 2.651678, 2.651674, 2.651677, 2.657773"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020200, 0.018794, 0.020910, 0.023798, 0.017569",             "0.092119, 0.084321, 0.084972, 0.095024, 0.157181",             "0.163930, 0.154431, 0.151294, 0.155481, 0.252498",             "0.307422, 0.296784, 0.290296, 0.286804, 0.407284",             "1.454937, 1.443187, 1.432682, 1.416494, 1.394586"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.010498, 0.021541, 0.028604, 0.036531, 0.082739",             "0.101264, 0.104773, 0.111902, 0.126711, 0.261658",             "0.195526, 0.196677, 0.201528, 0.213170, 0.380207",             "0.384366, 0.383767, 0.386473, 0.394329, 0.568488",             "1.895957, 1.893533, 1.893309, 1.894383, 1.975065"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020633, 0.030682, 0.050793, 0.085135, 0.465687",             "0.123409, 0.123499, 0.127912, 0.152623, 0.625796",             "0.228067, 0.228069, 0.228437, 0.238660, 0.715340",             "0.437443, 0.437449, 0.437446, 0.438286, 0.825117",             "2.112769, 2.112769, 2.112771, 2.112769, 2.154781"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021627, 0.033850, 0.033202, 0.057903, 0.432542",             "0.146054, 0.146118, 0.146677, 0.153711, 0.456404",             "0.276800, 0.276784, 0.276786, 0.277558, 0.525056",             "0.538347, 0.538333, 0.538346, 0.538344, 0.682865",             "2.630986, 2.630987, 2.630989, 2.630987, 2.630987"          );        }      }      timing () {        related_pin: "D";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016935, 0.015243, 0.015695, 0.014740, -0.033455",             "0.089882, 0.081949, 0.082234, 0.090924, 0.115190",             "0.161756, 0.152189, 0.148795, 0.152107, 0.216277",             "0.305276, 0.294641, 0.288008, 0.283956, 0.379732",             "1.452819, 1.441160, 1.430652, 1.414354, 1.384946"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011131, 0.019163, 0.024855, 0.033370, 0.110590",             "0.101703, 0.099111, 0.101250, 0.112517, 0.253820",             "0.195929, 0.190278, 0.188100, 0.190853, 0.353274",             "0.384754, 0.376936, 0.371227, 0.365324, 0.516649",             "1.896340, 1.886290, 1.876220, 1.858031, 1.777651"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016198, 0.026696, 0.047157, 0.087412, 0.629442",             "0.118843, 0.118871, 0.123371, 0.147773, 0.655892",             "0.223461, 0.223424, 0.223842, 0.234191, 0.716081",             "0.432839, 0.432831, 0.432841, 0.433715, 0.813736",             "2.108153, 2.108150, 2.108150, 2.108152, 2.151140"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019318, 0.037477, 0.046391, 0.085342, 0.625357",             "0.146062, 0.146061, 0.148782, 0.168097, 0.675212",             "0.276795, 0.276788, 0.276812, 0.281783, 0.738328",             "0.538338, 0.538332, 0.538332, 0.538345, 0.865002",             "2.630987, 2.630990, 2.630986, 2.630986, 2.638001"          );        }      }    }  }  cell (BUFX2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006189356217773286;      fall_capacitance: 0.008913012740210141;      capacitance: 0.007551184478991714;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003161, 0.018284, 0.022862, 0.029597, 0.082449",             "0.045168, 0.050118, 0.057399, 0.071712, 0.153721",             "0.086116, 0.085817, 0.089463, 0.102695, 0.199215",             "0.172383, 0.166439, 0.164531, 0.169815, 0.284515",             "0.876891, 0.864252, 0.852323, 0.833869, 0.853010"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014482, 0.018379, 0.020768, 0.022068, -0.009140",             "0.054683, 0.055959, 0.059876, 0.069706, 0.071632",             "0.098664, 0.097948, 0.098411, 0.105829, 0.136458",             "0.187943, 0.185797, 0.183424, 0.183072, 0.249186",             "0.903989, 0.900540, 0.895789, 0.885878, 0.887209"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021141, 0.053490, 0.059672, 0.113073, 0.840389",             "0.077202, 0.091911, 0.106094, 0.141454, 0.832516",             "0.145621, 0.149491, 0.160179, 0.190218, 0.830936",             "0.287250, 0.287270, 0.289142, 0.305563, 0.867895",             "1.420515, 1.420519, 1.420516, 1.420515, 1.614647"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015821, 0.031534, 0.054604, 0.109725, 0.838134",             "0.090618, 0.095897, 0.108850, 0.147026, 0.841931",             "0.176061, 0.177617, 0.184255, 0.210648, 0.851578",             "0.348673, 0.348740, 0.350198, 0.363180, 0.905512",             "1.726970, 1.726967, 1.726971, 1.726970, 1.912266"          );        }      }    }  }  cell (BUFX4) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006190154742284263;      fall_capacitance: 0.008890580111169952;      capacitance: 0.007540367426727108;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003332, 0.021756, 0.028208, 0.035969, 0.093993",             "0.026181, 0.039174, 0.048485, 0.060878, 0.137999",             "0.045221, 0.053837, 0.062262, 0.078031, 0.166608",             "0.086091, 0.087685, 0.092767, 0.107223, 0.211923",             "0.435684, 0.421572, 0.413289, 0.404913, 0.530577"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019917, 0.023712, 0.027202, 0.030685, 0.008146",             "0.039940, 0.042849, 0.048226, 0.055685, 0.049883",             "0.059858, 0.061386, 0.065377, 0.075941, 0.084686",             "0.102963, 0.102628, 0.103617, 0.111160, 0.147406",             "0.459869, 0.457168, 0.453471, 0.446561, 0.530833"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022521, 0.061092, 0.098545, 0.118668, 0.841214",             "0.047803, 0.081523, 0.116519, 0.130463, 0.837645",             "0.078588, 0.106772, 0.139897, 0.152839, 0.833203",             "0.146398, 0.156268, 0.169785, 0.200500, 0.833117",             "0.712599, 0.712588, 0.712577, 0.712845, 1.089880"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022169, 0.034922, 0.057456, 0.109434, 0.837145",             "0.053315, 0.062209, 0.079539, 0.123803, 0.839403",             "0.093114, 0.098044, 0.110960, 0.148338, 0.840752",             "0.177240, 0.178652, 0.185453, 0.211899, 0.851340",             "0.865991, 0.865992, 0.865993, 0.866799, 1.209225"          );        }      }    }  }  cell (CLKBUF1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1975104.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.012377692547513634;      fall_capacitance: 0.014507744176093367;      capacitance: 0.0134427183618035;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003460, 0.039905, 0.046929, 0.057149, 0.129195",             "0.039219, 0.054860, 0.064114, 0.078186, 0.157207",             "0.055285, 0.068102, 0.077098, 0.093315, 0.177192",             "0.092471, 0.100229, 0.107093, 0.121807, 0.216231",             "0.435605, 0.429264, 0.424902, 0.423004, 0.538823"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.032185, 0.040159, 0.044451, 0.047986, 0.023388",             "0.050450, 0.056824, 0.062828, 0.071275, 0.053156",             "0.068299, 0.073963, 0.079184, 0.090175, 0.079028",             "0.109143, 0.112555, 0.116061, 0.124195, 0.130603",             "0.463189, 0.462100, 0.460697, 0.458229, 0.520719"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.038133, 0.073853, 0.108060, 0.106379, 0.742329",             "0.060385, 0.095859, 0.130135, 0.118818, 0.738152",             "0.087750, 0.120648, 0.154819, 0.142636, 0.732318",             "0.148631, 0.165728, 0.177042, 0.190178, 0.740928",             "0.712222, 0.712217, 0.712215, 0.712236, 0.974750"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.042002, 0.068064, 0.055378, 0.096450, 0.738499",             "0.070257, 0.097122, 0.081847, 0.113407, 0.740126",             "0.100976, 0.109877, 0.115079, 0.140310, 0.740118",             "0.178532, 0.181261, 0.187084, 0.204804, 0.747643",             "0.865667, 0.865654, 0.865705, 0.865803, 1.087674"          );        }      }    }  }  cell (HAX1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 9158175.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (YS) {      direction: output;      function: "!(!B&!A|B&A)";    }    pin (YC) {      direction: output;      function: "(B&A)";    }  }  cell (INV) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX4) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.012376891490235522;      fall_capacitance: 0.014525173100391225;      capacitance: 0.013451032295313373;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.004836, 0.003911, 0.003113, -0.000947, -0.066781",             "0.023782, 0.025438, 0.029154, 0.032024, -0.003570",             "0.045059, 0.042825, 0.047513, 0.054773, 0.040967",             "0.088711, 0.082075, 0.082140, 0.091166, 0.111791",             "0.441430, 0.429084, 0.416141, 0.399464, 0.483388"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005423, 0.008343, 0.012279, 0.018588, 0.089288",             "0.025056, 0.028778, 0.035903, 0.047954, 0.142358",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.449471, 0.445891, 0.441675, 0.435947, 0.579373"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007775, 0.029000, 0.057625, 0.115801, 0.870234",             "0.039447, 0.049978, 0.071107, 0.121251, 0.867453",             "0.074397, 0.079871, 0.096343, 0.138754, 0.864852",             "0.145174, 0.146264, 0.155787, 0.188826, 0.863750",             "0.711874, 0.711865, 0.711868, 0.712356, 1.131446"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008278, 0.030236, 0.056581, 0.115515, 0.870125",             "0.047212, 0.057977, 0.079852, 0.130476, 0.873241",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.865308, 0.865247, 0.865250, 0.866773, 1.291412"          );        }      }    }  }  cell (INVX8) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.02475357794277478;      fall_capacitance: 0.025715030488090873;      capacitance: 0.025234304215432823;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.004711, 0.003669, 0.002920, -0.001273, -0.067235",             "0.013476, 0.016314, 0.018100, 0.018126, -0.031065",             "0.023686, 0.025560, 0.029154, 0.032104, -0.003570",             "0.045002, 0.042834, 0.047470, 0.054715, 0.040967",             "0.220836, 0.210041, 0.201832, 0.197811, 0.273718"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005356, 0.008225, 0.012119, 0.018287, 0.088886",             "0.014445, 0.019534, 0.025707, 0.035382, 0.118985",             "0.025056, 0.028778, 0.035903, 0.047954, 0.142358",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.225616, 0.222601, 0.219861, 0.220213, 0.388011"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007647, 0.028925, 0.057669, 0.115805, 0.870247",             "0.022139, 0.037257, 0.061628, 0.115441, 0.868805",             "0.039229, 0.050143, 0.071107, 0.121043, 0.867453",             "0.074441, 0.080027, 0.096405, 0.138670, 0.864852",             "0.357732, 0.357697, 0.358023, 0.371638, 0.931800"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008160, 0.030148, 0.056531, 0.115506, 0.870109",             "0.026793, 0.041830, 0.067077, 0.120590, 0.871621",             "0.047212, 0.057977, 0.079852, 0.130476, 0.873241",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.434491, 0.434491, 0.435448, 0.448752, 1.014657"          );        }      }    }  }  cell (MUX2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1316736.0;    cell_leakage_power: 0.1173;    pin (S) {      direction: input;      rise_capacitance: 0.010338610799344835;      fall_capacitance: 0.008212780453515003;      capacitance: 0.009275695626429919;    }    pin (B) {      direction: input;      rise_capacitance: 0.006397490498320098;      fall_capacitance: 0.008895353965965845;      capacitance: 0.007646422232142972;    }    pin (A) {      direction: input;      rise_capacitance: 0.004937389414015676;      fall_capacitance: 0.007864001795670731;      capacitance: 0.006400695604843204;    }    pin (Y) {      direction: output;      function: "!(!S&B&!A|!S&B&A|S&B&A|S&!B&A)";      function: "(!(A & B & S + A & B & !S + A & S & !B + B & !A & !S))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019428, 0.021747, 0.023821, 0.024885, -0.020070",             "0.096776, 0.096705, 0.098699, 0.106638, 0.141139",             "0.174325, 0.173758, 0.174373, 0.179031, 0.252974",             "0.329331, 0.328462, 0.328043, 0.329638, 0.432416",             "1.569307, 1.568155, 1.566435, 1.563733, 1.594086"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015958, 0.027807, 0.035343, 0.047135, 0.140744",             "0.109318, 0.113175, 0.119175, 0.133278, 0.299017",             "0.203682, 0.205651, 0.209577, 0.220207, 0.408863",             "0.392584, 0.393181, 0.395219, 0.402088, 0.586689",             "1.904228, 1.903421, 1.903075, 1.903731, 1.981518"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020411, 0.026763, 0.039961, 0.063425, 0.317670",             "0.130948, 0.130915, 0.131768, 0.141947, 0.451197",             "0.243567, 0.243583, 0.243517, 0.245528, 0.549507",             "0.468902, 0.468912, 0.468912, 0.468919, 0.675777",             "2.271917, 2.271914, 2.271917, 2.271916, 2.274560"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.034547, 0.032700, 0.040748, 0.064546, 0.323227",             "0.155499, 0.155537, 0.155799, 0.162945, 0.464800",             "0.286354, 0.286330, 0.286313, 0.286918, 0.548668",             "0.547917, 0.547919, 0.547900, 0.547926, 0.702513",             "2.640604, 2.640603, 2.640602, 2.640603, 2.640599"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012479, 0.020519, 0.023592, 0.024838, -0.020037",             "0.088439, 0.091403, 0.095223, 0.104973, 0.140988",             "0.165879, 0.167485, 0.169565, 0.175932, 0.252738",             "0.320839, 0.321506, 0.322081, 0.325051, 0.431811",             "1.560766, 1.560490, 1.559111, 1.556910, 1.590657"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023985, 0.029348, 0.035865, 0.047430, 0.140831",             "0.115535, 0.117784, 0.122066, 0.134594, 0.299174",             "0.209718, 0.210748, 0.213291, 0.222435, 0.409049",             "0.398509, 0.398634, 0.399621, 0.405195, 0.587049",             "1.910075, 1.909263, 1.908276, 1.908150, 1.983766"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021856, 0.028909, 0.040295, 0.063869, 0.317858",             "0.130661, 0.130662, 0.132045, 0.142857, 0.451367",             "0.243245, 0.243252, 0.243275, 0.245652, 0.549740",             "0.468595, 0.468585, 0.468603, 0.468582, 0.676414",             "2.271590, 2.271590, 2.271589, 2.271591, 2.274367"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026595, 0.030491, 0.040269, 0.064145, 0.322768",             "0.155850, 0.155839, 0.155924, 0.162605, 0.464668",             "0.286633, 0.286644, 0.286657, 0.287110, 0.548624",             "0.548248, 0.548238, 0.548248, 0.548232, 0.702345",             "2.641009, 2.640987, 2.640985, 2.640985, 2.640985"          );        }      }      timing () {        related_pin: "S";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015477, 0.019632, 0.023785, 0.028539, 0.047003",             "0.089791, 0.086977, 0.089938, 0.101079, 0.177000",             "0.166627, 0.161655, 0.161408, 0.167180, 0.272033",             "0.321166, 0.314571, 0.311301, 0.310607, 0.436604",             "1.560695, 1.552272, 1.545023, 1.533295, 1.520465"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009379, 0.017143, 0.022831, 0.029415, 0.049506",             "0.098224, 0.096461, 0.098086, 0.107381, 0.189678",             "0.191790, 0.187334, 0.185018, 0.186251, 0.294384",             "0.380119, 0.373656, 0.367998, 0.361115, 0.471759",             "1.891175, 1.882501, 1.872694, 1.854265, 1.753057"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025135, 0.032734, 0.048051, 0.084704, 0.566326",             "0.130733, 0.130708, 0.132826, 0.150233, 0.602446",             "0.243422, 0.243393, 0.243371, 0.247979, 0.662237",             "0.468752, 0.468761, 0.468758, 0.468739, 0.756824",             "2.271751, 2.271754, 2.271754, 2.271752, 2.282476"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022469, 0.035382, 0.052960, 0.089711, 0.571861",             "0.155713, 0.155428, 0.156540, 0.173483, 0.639442",             "0.286482, 0.286497, 0.286481, 0.290082, 0.712770",             "0.548075, 0.548076, 0.548073, 0.548087, 0.844708",             "2.640769, 2.640767, 2.640766, 2.640768, 2.646447"          );        }      }    }  }  cell (NAND2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004150889506161318;      fall_capacitance: 0.0057806089303857715;      capacitance: 0.004965749218273544;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935475394936947;      fall_capacitance: 0.007870695939137575;      capacitance: 0.0064030856670372616;    }    pin (Y) {      direction: output;      function: "!(B&A)";      function: "(!A & B)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007196, 0.007595, 0.006535, 0.002335, -0.081701",             "0.048131, 0.045109, 0.049226, 0.055554, 0.023149",             "0.091843, 0.084555, 0.083952, 0.092035, 0.093853",             "0.179911, 0.169368, 0.162474, 0.161292, 0.207503",             "0.885821, 0.872458, 0.856675, 0.829540, 0.814241"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015633, 0.021476, 0.028398, 0.039896, 0.146774",             "0.107818, 0.110541, 0.116624, 0.131991, 0.322868",             "0.202142, 0.203338, 0.207611, 0.219924, 0.436789",             "0.391020, 0.391118, 0.393477, 0.402003, 0.616285",             "1.902651, 1.901546, 1.901261, 1.902664, 2.012825"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012945, 0.032109, 0.051696, 0.088446, 0.524049",             "0.079676, 0.085046, 0.101926, 0.145349, 0.674950",             "0.150526, 0.151440, 0.161506, 0.195645, 0.761149",             "0.292156, 0.292210, 0.293910, 0.314078, 0.887525",             "1.425456, 1.425453, 1.425463, 1.425463, 1.693531"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013964, 0.019082, 0.028092, 0.057082, 0.488461",             "0.142119, 0.142058, 0.142068, 0.146714, 0.440570",             "0.272785, 0.272844, 0.272830, 0.273049, 0.465710",             "0.534379, 0.534395, 0.534370, 0.534368, 0.637728",             "2.627044, 2.627042, 2.627042, 2.627043, 2.627043"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005849, 0.004862, 0.001953, -0.005765, -0.126919",             "0.046431, 0.043395, 0.046993, 0.051015, -0.016988",             "0.090143, 0.082837, 0.081976, 0.088941, 0.057037",             "0.178220, 0.167700, 0.160608, 0.158752, 0.176347",             "0.884156, 0.870837, 0.855034, 0.827713, 0.802365"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013792, 0.018689, 0.025506, 0.038065, 0.175360",             "0.107725, 0.103637, 0.104869, 0.117440, 0.315940",             "0.202285, 0.196217, 0.193422, 0.197331, 0.412055",             "0.391293, 0.383864, 0.377831, 0.373134, 0.567831",             "1.903042, 1.894202, 1.884122, 1.866559, 1.824766"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009695, 0.029176, 0.049614, 0.090169, 0.630637",             "0.076701, 0.082090, 0.098427, 0.140823, 0.690595",             "0.147420, 0.148384, 0.158014, 0.191352, 0.764094",             "0.289054, 0.289045, 0.290759, 0.310455, 0.877521",             "1.422334, 1.422325, 1.422328, 1.422326, 1.682986"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014142, 0.022429, 0.038545, 0.077748, 0.619421",             "0.142113, 0.142115, 0.143140, 0.157535, 0.605736",             "0.272824, 0.272791, 0.272803, 0.275498, 0.636002",             "0.534396, 0.534363, 0.534379, 0.534395, 0.781620",             "2.627039, 2.627044, 2.627041, 2.627039, 2.630177"          );        }      }    }  }  cell (NAND3X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.004149650005143659;      fall_capacitance: 0.005781505198438881;      capacitance: 0.00496557760179127;    }    pin (B) {      direction: input;      rise_capacitance: 0.004830629997798454;      fall_capacitance: 0.0065460190151835455;      capacitance: 0.005688324506490999;    }    pin (A) {      direction: input;      rise_capacitance: 0.0049353598856550955;      fall_capacitance: 0.007870869195042688;      capacitance: 0.006403114540348892;    }    pin (Y) {      direction: output;      function: "!(C&B&A)";      function: "(!A & B & C)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008972, 0.009457, 0.008503, 0.002962, -0.100701",             "0.051333, 0.047529, 0.050994, 0.056259, 0.000383",             "0.095086, 0.087278, 0.086059, 0.093123, 0.071594",             "0.183200, 0.172294, 0.164894, 0.162676, 0.188303",             "0.889127, 0.875625, 0.859595, 0.831781, 0.805945"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020769, 0.029603, 0.037436, 0.051718, 0.185550",             "0.137563, 0.140846, 0.146094, 0.159442, 0.367674",             "0.257454, 0.258396, 0.261172, 0.270635, 0.491854",             "0.497675, 0.496765, 0.496908, 0.501401, 0.695984",             "2.420799, 2.417810, 2.414180, 2.409069, 2.461911"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017292, 0.035367, 0.056139, 0.094266, 0.548119",             "0.084992, 0.090373, 0.107178, 0.150243, 0.683940",             "0.155780, 0.156840, 0.166974, 0.201316, 0.764246",             "0.297431, 0.297423, 0.299270, 0.320137, 0.888634",             "1.430747, 1.430744, 1.430744, 1.430744, 1.704785"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023922, 0.025750, 0.032068, 0.055931, 0.495161",             "0.188089, 0.188153, 0.188154, 0.189888, 0.453757",             "0.355339, 0.355334, 0.355344, 0.355344, 0.505262",             "0.689777, 0.689789, 0.689794, 0.689787, 0.761388",             "3.365704, 3.365704, 3.365703, 3.365700, 3.365703"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008334, 0.007999, 0.005426, -0.001872, -0.134533",             "0.049592, 0.045886, 0.049021, 0.052517, -0.029801",             "0.093307, 0.085588, 0.084148, 0.090357, 0.043619",             "0.181419, 0.170558, 0.163034, 0.160347, 0.164016",             "0.887312, 0.873890, 0.857871, 0.829951, 0.796345"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023592, 0.029466, 0.038594, 0.055239, 0.219385",             "0.141155, 0.143057, 0.148603, 0.163867, 0.404481",             "0.261171, 0.261449, 0.264802, 0.276119, 0.527468",             "0.501476, 0.500497, 0.501691, 0.508419, 0.732132",             "2.424678, 2.422318, 2.420551, 2.419097, 2.507900"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014708, 0.032973, 0.052387, 0.088064, 0.507153",             "0.081875, 0.087345, 0.103349, 0.145759, 0.652137",             "0.152726, 0.153620, 0.163460, 0.196949, 0.738027",             "0.294293, 0.294378, 0.296116, 0.316174, 0.868979",             "1.427621, 1.427628, 1.427634, 1.427631, 1.694351"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023614, 0.026398, 0.032949, 0.053238, 0.454307",             "0.188147, 0.188117, 0.188099, 0.189875, 0.415502",             "0.355311, 0.355293, 0.355317, 0.355295, 0.485465",             "0.689798, 0.689798, 0.689789, 0.689787, 0.751303",             "3.365699, 3.365700, 3.365702, 3.365700, 3.365698"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.006743, 0.005507, 0.001409, -0.008755, -0.170135",             "0.047762, 0.044106, 0.046936, 0.048789, -0.060209",             "0.091478, 0.083801, 0.082253, 0.087681, 0.016317",             "0.179569, 0.168817, 0.161183, 0.158074, 0.141274",             "0.885505, 0.872150, 0.856133, 0.828109, 0.787687"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021221, 0.026912, 0.036046, 0.053704, 0.240197",             "0.140988, 0.137908, 0.140081, 0.153521, 0.407328",             "0.261329, 0.256442, 0.255023, 0.261001, 0.520047",             "0.501821, 0.495706, 0.491228, 0.489434, 0.706541",             "2.425190, 2.417843, 2.409583, 2.395522, 2.391247"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011465, 0.029918, 0.048625, 0.083915, 0.522973",             "0.078783, 0.083779, 0.099962, 0.141527, 0.642500",             "0.149540, 0.150478, 0.160020, 0.192902, 0.728158",             "0.291212, 0.291252, 0.292980, 0.312574, 0.856649",             "1.424500, 1.424495, 1.424506, 1.424500, 1.685213"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023566, 0.027391, 0.036715, 0.061844, 0.502475",             "0.188148, 0.188141, 0.188149, 0.192359, 0.487219",             "0.355298, 0.355308, 0.355319, 0.355322, 0.560090",             "0.689793, 0.689798, 0.689798, 0.689793, 0.809696",             "3.365702, 3.365700, 3.365703, 3.365699, 3.365703"          );        }      }    }  }  cell (NOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "(!B&!A)";    }  }  cell (NOR3X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;    }    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "(!C&!B&!A)";    }  }  cell (OAI21X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;    }    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "!(C&B&!A|C&B&A|C&!B&A)";    }  }  cell (OAI22X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 6645582.0;    cell_leakage_power: 0.1173;    pin (D) {      direction: input;    }    pin (C) {      direction: input;    }    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "(!D&!C&!B&!A|D&!C&!B&!A|D&C&!B&!A|!D&C&!B&!A|!D&!C&B&!A|!D&!C&B&A|!D&!C&!B&A)";    }  }  cell (OR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006299305363616857;      fall_capacitance: 0.008885220124112183;      capacitance: 0.007592262743864521;    }    pin (A) {      direction: input;      rise_capacitance: 0.006309610190379141;      fall_capacitance: 0.00630283867340493;      capacitance: 0.006306224431892035;    }    pin (Y) {      direction: output;      function: "!(!B&!A)";      function: "(!!A & !B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410",             "0.041854, 0.043329, 0.051603, 0.059323, 0.062560",             "0.084916, 0.078410, 0.082038, 0.088448, 0.103720",             "0.172611, 0.158216, 0.154751, 0.153103, 0.172991",             "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228",             "0.069115, 0.070143, 0.075842, 0.091793, 0.184877",             "0.111955, 0.111797, 0.115439, 0.127845, 0.254311",             "0.200493, 0.199357, 0.201189, 0.207941, 0.374505",             "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675",             "0.075475, 0.097421, 0.130787, 0.191718, 0.688979",             "0.145593, 0.155613, 0.179062, 0.217295, 0.718675",             "0.287207, 0.287428, 0.292736, 0.315169, 0.792432",             "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641",             "0.093953, 0.096473, 0.103565, 0.127433, 0.668426",             "0.177647, 0.178545, 0.181992, 0.198197, 0.680919",             "0.349306, 0.349321, 0.350007, 0.357523, 0.740411",             "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827",             "0.042995, 0.049240, 0.058421, 0.069922, 0.132870",             "0.085418, 0.083324, 0.088199, 0.097150, 0.172762",             "0.172559, 0.161472, 0.159668, 0.160671, 0.230240",             "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818",             "0.070126, 0.072893, 0.078115, 0.092691, 0.135288",             "0.112911, 0.114897, 0.118672, 0.129875, 0.209498",             "0.201393, 0.202758, 0.205335, 0.211918, 0.341264",             "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235",             "0.076858, 0.103458, 0.137895, 0.200949, 0.702148",             "0.145825, 0.159732, 0.185528, 0.227910, 0.724008",             "0.287314, 0.288184, 0.294861, 0.320599, 0.788394",             "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658",             "0.094505, 0.095669, 0.100243, 0.118924, 0.616317",             "0.177929, 0.178313, 0.180338, 0.192868, 0.629773",             "0.349160, 0.349206, 0.349675, 0.355201, 0.694984",             "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"          );        }      }    }  }  cell (OR2X2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006299305363616857;      fall_capacitance: 0.008885220124112183;      capacitance: 0.007592262743864521;    }    pin (A) {      direction: input;      rise_capacitance: 0.006309610190379141;      fall_capacitance: 0.00630283867340493;      capacitance: 0.006306224431892035;    }    pin (Y) {      direction: output;      function: "!(!B&!A)";      function: "(!!A & !B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410",             "0.041854, 0.043329, 0.051603, 0.059323, 0.062560",             "0.084916, 0.078410, 0.082038, 0.088448, 0.103720",             "0.172611, 0.158216, 0.154751, 0.153103, 0.172991",             "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228",             "0.069115, 0.070143, 0.075842, 0.091793, 0.184877",             "0.111955, 0.111797, 0.115439, 0.127845, 0.254311",             "0.200493, 0.199357, 0.201189, 0.207941, 0.374505",             "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675",             "0.075475, 0.097421, 0.130787, 0.191718, 0.688979",             "0.145593, 0.155613, 0.179062, 0.217295, 0.718675",             "0.287207, 0.287428, 0.292736, 0.315169, 0.792432",             "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641",             "0.093953, 0.096473, 0.103565, 0.127433, 0.668426",             "0.177647, 0.178545, 0.181992, 0.198197, 0.680919",             "0.349306, 0.349321, 0.350007, 0.357523, 0.740411",             "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827",             "0.042995, 0.049240, 0.058421, 0.069922, 0.132870",             "0.085418, 0.083324, 0.088199, 0.097150, 0.172762",             "0.172559, 0.161472, 0.159668, 0.160671, 0.230240",             "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818",             "0.070126, 0.072893, 0.078115, 0.092691, 0.135288",             "0.112911, 0.114897, 0.118672, 0.129875, 0.209498",             "0.201393, 0.202758, 0.205335, 0.211918, 0.341264",             "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235",             "0.076858, 0.103458, 0.137895, 0.200949, 0.702148",             "0.145825, 0.159732, 0.185528, 0.227910, 0.724008",             "0.287314, 0.288184, 0.294861, 0.320599, 0.788394",             "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658",             "0.094505, 0.095669, 0.100243, 0.118924, 0.616317",             "0.177929, 0.178313, 0.180338, 0.192868, 0.629773",             "0.349160, 0.349206, 0.349675, 0.355201, 0.694984",             "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"          );        }      }    }  }  cell (XNOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.01258592702529086;      fall_capacitance: 0.01450190121076146;      capacitance: 0.01354391411802616;    }    pin (A) {      direction: input;      rise_capacitance: 0.012735641153553287;      fall_capacitance: 0.011631625278500075;      capacitance: 0.012183633216026682;    }    pin (Y) {      direction: output;      function: "!(B&!A|!B&A)";      function: "(!(A & !B + B & !A))";      timing () {        related_pin: "A";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013989, 0.018024, 0.023608, 0.028544, 0.046990",             "0.086886, 0.083890, 0.087944, 0.100115, 0.176994",             "0.163666, 0.158058, 0.158583, 0.165414, 0.272018",             "0.318190, 0.310552, 0.307716, 0.307846, 0.436394",             "1.557671, 1.547810, 1.540413, 1.528732, 1.518482"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013397, 0.018391, 0.023707, 0.029700, 0.049560",             "0.104554, 0.099267, 0.099516, 0.107893, 0.189692",             "0.198104, 0.190587, 0.187032, 0.187249, 0.294404",             "0.386428, 0.377203, 0.370471, 0.362665, 0.471845",             "1.897500, 1.886363, 1.875729, 1.856653, 1.754002"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023920, 0.038475, 0.061455, 0.084755, 0.566336",             "0.130811, 0.130539, 0.133792, 0.151736, 0.602473",             "0.243429, 0.243459, 0.243317, 0.248917, 0.662479",             "0.468797, 0.468798, 0.468793, 0.468774, 0.757658",             "2.271785, 2.271788, 2.271788, 2.271786, 2.282952"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025980, 0.034386, 0.051179, 0.088206, 0.570375",             "0.155775, 0.156006, 0.156492, 0.172986, 0.639449",             "0.286528, 0.286545, 0.286577, 0.289826, 0.712632",             "0.548141, 0.548132, 0.548123, 0.548137, 0.844311",             "2.640825, 2.640828, 2.640826, 2.640825, 2.646410"          );        }      }      timing () {        related_pin: "B";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016690, 0.026445, 0.031880, 0.037261, 0.048616",             "0.091051, 0.095514, 0.100621, 0.112158, 0.182377",             "0.168213, 0.171079, 0.174250, 0.182514, 0.282866",             "0.322976, 0.324677, 0.326168, 0.330961, 0.454972",             "1.562706, 1.563167, 1.562488, 1.561803, 1.609286"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026885, 0.032811, 0.038875, 0.047403, 0.087933",             "0.117282, 0.120145, 0.124314, 0.135271, 0.246516",             "0.211168, 0.212784, 0.215279, 0.223230, 0.363714",             "0.399743, 0.400411, 0.401407, 0.406048, 0.555385",             "1.911052, 1.910726, 1.909802, 1.909023, 1.963658"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024789, 0.028839, 0.037807, 0.058878, 0.265656",             "0.130769, 0.130753, 0.131666, 0.140183, 0.394222",             "0.243431, 0.243415, 0.243392, 0.244961, 0.496125",             "0.468744, 0.468748, 0.468759, 0.468730, 0.635129",             "2.271767, 2.271764, 2.271765, 2.271763, 2.273192"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028716, 0.030099, 0.037985, 0.059222, 0.254230",             "0.155712, 0.155759, 0.155806, 0.162226, 0.429522",             "0.286550, 0.286491, 0.286518, 0.286872, 0.538319",             "0.548133, 0.548105, 0.548127, 0.548107, 0.702960",             "2.640815, 2.640815, 2.640812, 2.640812, 2.640812"          );        }      }    }  }  cell (XOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.011125237855721299;      fall_capacitance: 0.013458368537199627;      capacitance: 0.012291803196460463;    }    pin (A) {      direction: input;      rise_capacitance: 0.005915560312833218;      fall_capacitance: 0.009103970632490159;      capacitance: 0.007509765472661688;    }    pin (Y) {      direction: output;      function: "!(!B&!A|B&A)";      function: "(!(A & B + !A & !B))";      timing () {        related_pin: "A";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012892, 0.017884, 0.023582, 0.028581, 0.047039",             "0.088020, 0.084498, 0.088176, 0.100267, 0.176997",             "0.164777, 0.158599, 0.158811, 0.165507, 0.272027",             "0.319197, 0.311031, 0.307896, 0.307904, 0.436456",             "1.558585, 1.548160, 1.540528, 1.528710, 1.518515"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016957, 0.021209, 0.026433, 0.031788, 0.049581",             "0.105352, 0.101262, 0.101517, 0.109489, 0.189817",             "0.199116, 0.192599, 0.189067, 0.189128, 0.294604",             "0.387610, 0.379329, 0.372685, 0.364852, 0.472363",             "1.898846, 1.888657, 1.878160, 1.859296, 1.755721"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023649, 0.043194, 0.070971, 0.085067, 0.565950",             "0.130727, 0.130960, 0.133759, 0.151712, 0.602446",             "0.243440, 0.243431, 0.243448, 0.248631, 0.662387",             "0.468772, 0.468795, 0.468785, 0.468785, 0.757475",             "2.271774, 2.271788, 2.271788, 2.271786, 2.282763"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028995, 0.032141, 0.047871, 0.084453, 0.560124",             "0.155738, 0.155044, 0.155572, 0.170946, 0.631684",             "0.286568, 0.286562, 0.286518, 0.289308, 0.710606",             "0.548144, 0.548142, 0.548134, 0.548140, 0.843303",             "2.640828, 2.640826, 2.640826, 2.640827, 2.646192"          );        }      }      timing () {        related_pin: "B";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014892, 0.026246, 0.031838, 0.037204, 0.048590",             "0.091734, 0.095678, 0.100730, 0.112148, 0.182368",             "0.168923, 0.171200, 0.174309, 0.182497, 0.282866",             "0.323721, 0.324777, 0.326200, 0.330900, 0.455028",             "1.563456, 1.563165, 1.562411, 1.561608, 1.609279"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024069, 0.032502, 0.038891, 0.047467, 0.087946",             "0.115473, 0.118528, 0.123164, 0.134818, 0.246531",             "0.209458, 0.210932, 0.213732, 0.222349, 0.363720",             "0.398106, 0.398429, 0.399551, 0.404751, 0.555323",             "1.909486, 1.908601, 1.907591, 1.907104, 1.962711"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025121, 0.046358, 0.037829, 0.058746, 0.265684",             "0.130823, 0.130739, 0.131644, 0.140015, 0.394131",             "0.243421, 0.243395, 0.243335, 0.244847, 0.496071",             "0.468767, 0.468755, 0.468745, 0.468743, 0.634781",             "2.271778, 2.271763, 2.271765, 2.271761, 2.273117"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.031037, 0.031042, 0.038291, 0.059160, 0.254240",             "0.155716, 0.155677, 0.155943, 0.162510, 0.429541",             "0.286530, 0.286528, 0.286510, 0.286927, 0.538348",             "0.548114, 0.548124, 0.548121, 0.548120, 0.703170",             "2.640816, 2.640814, 2.640814, 2.640812, 2.640811"          );        }      }    }  }}
\ No newline at end of file
diff --git a/cells/lib/removenl.pl b/cells/lib/removenl.pl
new file mode 100644
index 0000000..5e49e77
--- /dev/null
+++ b/cells/lib/removenl.pl
@@ -0,0 +1,15 @@
+#!/usr/bin/perl -w
+
+open IN,"<libresilicon.lib";
+undef $/;
+my $content=<IN>;
+$content=~s/area/pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area/g;
+
+#$content=~s/"\s*\n/"/gs;
+#$content=~s/;\s*\n/;/gs;
+$content=~s/\n//gs;
+$content=~s/\\//gs;
+
+
+
+print $content;
diff --git a/cells/mag/AND2X1.lef b/cells/mag/AND2X1.lef
new file mode 100644
index 0000000..0d4e59a
--- /dev/null
+++ b/cells/mag/AND2X1.lef
@@ -0,0 +1,152 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AND2X1
+  CLASS CORE ;
+  FOREIGN AND2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 0.575 2.760 0.865 3.090 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.747200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 0.555 2.740 0.885 3.090 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 0.635 2.820 0.805 2.990 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 2.195 4.945 2.485 ;
+        RECT 4.730 0.730 4.870 2.195 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+      LAYER mcon ;
+        RECT 4.715 2.255 4.885 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 1.755 2.260 2.085 2.505 ;
+        RECT 1.775 2.175 2.085 2.260 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 1.275 0.920 1.605 1.155 ;
+        RECT 1.295 0.825 1.605 0.920 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 0.795 0.420 1.125 0.750 ;
+        RECT 4.655 0.655 4.965 0.750 ;
+        RECT 4.635 0.420 4.965 0.655 ;
+      LAYER mcon ;
+        RECT 1.835 2.255 2.005 2.425 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 0.875 0.500 1.045 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+      LAYER met1 ;
+        RECT 1.775 2.410 2.065 2.485 ;
+        RECT 0.890 2.270 4.390 2.410 ;
+        RECT 0.890 0.730 1.030 2.270 ;
+        RECT 1.775 2.195 2.065 2.270 ;
+        RECT 4.250 2.070 4.390 2.270 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 0.845 4.465 1.135 ;
+        RECT 0.815 0.440 1.105 0.730 ;
+  END
+END AND2X1
+END LIBRARY
+
diff --git a/cells/mag/AND2X1.mag b/cells/mag/AND2X1.mag
new file mode 100644
index 0000000..8ca3765
--- /dev/null
+++ b/cells/mag/AND2X1.mag
@@ -0,0 +1,360 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953857
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 163 134 221 146
+rect 163 132 175 134
+rect 115 100 175 132
+rect 209 132 221 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 209 100 273 132
+rect 115 48 273 100
+rect 303 48 561 132
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 100 943 132
+rect 977 132 989 134
+rect 977 100 1037 132
+rect 879 48 1037 100
+<< pdiff >>
+rect 115 598 273 618
+rect 115 564 127 598
+rect 161 564 273 598
+rect 115 450 273 564
+rect 303 485 561 618
+rect 303 451 367 485
+rect 401 451 561 485
+rect 303 450 561 451
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1037 618
+rect 879 451 943 485
+rect 977 451 1037 485
+rect 879 450 1037 451
+rect 355 439 413 450
+rect 931 439 989 450
+<< ndiffc >>
+rect 175 100 209 134
+rect 655 68 689 102
+rect 943 100 977 134
+<< pdiffc >>
+rect 127 564 161 598
+rect 367 451 401 485
+rect 655 564 689 598
+rect 943 451 977 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 31 618 1121 649
+rect 111 598 177 618
+rect 111 564 127 598
+rect 161 564 177 598
+rect 111 548 177 564
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 639 548 705 564
+rect 351 485 417 501
+rect 351 452 367 485
+rect 355 451 367 452
+rect 401 451 417 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 355 435 417 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 184 271 215
+rect 259 181 271 184
+rect 305 181 321 215
+rect 259 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 159 134 225 150
+rect 159 100 175 134
+rect 209 100 225 134
+rect 931 134 993 150
+rect 931 131 943 134
+rect 159 84 225 100
+rect 639 102 705 118
+rect 639 68 655 102
+rect 689 68 705 102
+rect 927 100 943 131
+rect 977 100 993 134
+rect 927 84 993 100
+rect 639 48 705 68
+rect 31 17 1121 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 127 564 161 598
+rect 655 564 689 598
+rect 367 451 401 485
+rect 943 451 977 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 175 100 209 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 115 598 173 618
+rect 115 564 127 598
+rect 161 564 173 598
+rect 115 552 173 564
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 643 552 701 564
+rect 355 485 413 497
+rect 355 482 367 485
+rect 178 454 367 482
+rect 178 146 206 454
+rect 355 451 367 454
+rect 401 482 413 485
+rect 931 485 989 497
+rect 401 454 878 482
+rect 401 451 413 454
+rect 355 439 413 451
+rect 850 414 878 454
+rect 931 451 943 485
+rect 977 451 989 485
+rect 931 439 989 451
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 850 227 878 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 835 215 893 227
+rect 835 181 847 215
+rect 881 181 893 215
+rect 835 169 893 181
+rect 946 146 974 439
+rect 163 134 221 146
+rect 163 100 175 134
+rect 209 100 221 134
+rect 931 134 989 146
+rect 163 88 221 100
+rect 643 102 701 114
+rect 643 68 655 102
+rect 689 68 701 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 931 88 989 100
+rect 643 48 701 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel metal1 0 618 1152 714 0 VPWR
+port 3 se
+rlabel metal1 0 618 1152 714 0 VPWR
+port 3 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 2 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 2 se
+rlabel metal1 931 88 989 146 0 Y
+port 4 se
+rlabel metal1 946 146 974 439 0 Y
+port 4 se
+rlabel metal1 931 439 989 497 0 Y
+port 4 se
+rlabel metal1 547 169 605 227 0 B
+port 1 se
+rlabel metal1 562 227 590 356 0 B
+port 1 se
+rlabel metal1 547 356 605 414 0 B
+port 1 se
+rlabel metal1 259 169 317 227 0 A
+port 0 se
+rlabel metal1 274 227 302 356 0 A
+port 0 se
+rlabel metal1 259 356 317 414 0 A
+port 0 se
+rlabel locali 0 -17 1152 17 4 VGND
+port 2 se ground default abutment
+rlabel locali 31 17 1121 48 4 VGND
+port 2 se ground default abutment
+rlabel locali 0 649 1152 683 4 VPWR
+port 3 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 2 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/AND2X1.mag.beforemagic b/cells/mag/AND2X1.mag.beforemagic
new file mode 100644
index 0000000..62d95c8
--- /dev/null
+++ b/cells/mag/AND2X1.mag.beforemagic
@@ -0,0 +1,352 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624752538
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 163 134 221 146
+rect 163 132 175 134
+rect 115 100 175 132
+rect 209 132 221 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 209 100 273 132
+rect 115 48 273 100
+rect 303 48 561 132
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 100 943 132
+rect 977 132 989 134
+rect 977 100 1037 132
+rect 879 48 1037 100
+<< pdiff >>
+rect 115 598 273 618
+rect 115 564 127 598
+rect 161 564 273 598
+rect 115 450 273 564
+rect 303 485 561 618
+rect 303 451 367 485
+rect 401 451 561 485
+rect 303 450 561 451
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1037 618
+rect 879 451 943 485
+rect 977 451 1037 485
+rect 879 450 1037 451
+rect 355 439 413 450
+rect 931 439 989 450
+<< ndiffc >>
+rect 175 100 209 134
+rect 655 68 689 102
+rect 943 100 977 134
+<< pdiffc >>
+rect 127 564 161 598
+rect 367 451 401 485
+rect 655 564 689 598
+rect 943 451 977 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 111 598 177 618
+rect 111 564 127 598
+rect 161 564 177 598
+rect 111 548 177 564
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 639 548 705 564
+rect 351 485 417 501
+rect 351 452 367 485
+rect 355 451 367 452
+rect 401 451 417 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 355 435 417 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 184 271 215
+rect 259 181 271 184
+rect 305 181 321 215
+rect 259 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 159 134 225 150
+rect 159 100 175 134
+rect 209 100 225 134
+rect 931 134 993 150
+rect 931 131 943 134
+rect 159 84 225 100
+rect 639 102 705 118
+rect 639 68 655 102
+rect 689 68 705 102
+rect 927 100 943 131
+rect 977 100 993 134
+rect 927 84 993 100
+rect 639 48 705 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 127 564 161 598
+rect 655 564 689 598
+rect 367 451 401 485
+rect 943 451 977 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 175 100 209 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 115 598 173 618
+rect 115 564 127 598
+rect 161 564 173 598
+rect 115 552 173 564
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 643 552 701 564
+rect 355 485 413 497
+rect 355 482 367 485
+rect 178 454 367 482
+rect 178 146 206 454
+rect 355 451 367 454
+rect 401 482 413 485
+rect 931 485 989 497
+rect 401 454 878 482
+rect 401 451 413 454
+rect 355 439 413 451
+rect 850 414 878 454
+rect 931 451 943 485
+rect 977 451 989 485
+rect 931 439 989 451
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 850 227 878 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 835 215 893 227
+rect 835 181 847 215
+rect 881 181 893 215
+rect 835 169 893 181
+rect 946 146 974 439
+rect 163 134 221 146
+rect 163 100 175 134
+rect 209 100 221 134
+rect 931 134 989 146
+rect 163 88 221 100
+rect 643 102 701 114
+rect 643 68 655 102
+rect 689 68 701 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 931 88 989 100
+rect 643 48 701 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel locali 0 618 1152 714 0 VDD
+port 1 se
+rlabel metal1 0 618 1152 714 0 VDD
+port 2 se
+rlabel locali 0 -48 1152 48 0 GND
+port 3 se
+rlabel metal1 0 -48 1152 48 0 GND
+port 4 se
+rlabel metal1 931 88 989 146 0 Y
+port 5 se
+rlabel metal1 946 146 974 439 0 Y
+port 6 se
+rlabel metal1 931 439 989 497 0 Y
+port 7 se
+rlabel metal1 547 169 605 227 0 B
+port 8 se
+rlabel metal1 562 227 590 356 0 B
+port 9 se
+rlabel metal1 547 356 605 414 0 B
+port 10 se
+rlabel metal1 259 169 317 227 0 A
+port 11 se
+rlabel metal1 274 227 302 356 0 A
+port 12 se
+rlabel metal1 259 356 317 414 0 A
+port 13 se
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/AND2X2.lef b/cells/mag/AND2X2.lef
new file mode 100644
index 0000000..b64fb1f
--- /dev/null
+++ b/cells/mag/AND2X2.lef
@@ -0,0 +1,148 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AND2X2
+  CLASS CORE ;
+  FOREIGN AND2X2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 0.575 2.760 0.865 3.090 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.747200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 0.555 2.740 0.885 3.090 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 0.635 2.820 0.805 2.990 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 2.195 4.945 2.485 ;
+        RECT 4.730 0.730 4.870 2.195 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+      LAYER mcon ;
+        RECT 4.715 2.255 4.885 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 1.755 2.260 2.085 2.505 ;
+        RECT 1.775 2.175 2.085 2.260 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 2.795 1.155 2.965 1.760 ;
+        RECT 1.275 0.920 1.605 1.155 ;
+        RECT 1.295 0.825 1.605 0.920 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 0.795 0.420 1.125 0.750 ;
+        RECT 4.655 0.655 4.965 0.750 ;
+        RECT 4.635 0.420 4.965 0.655 ;
+      LAYER mcon ;
+        RECT 1.835 2.255 2.005 2.425 ;
+        RECT 2.795 1.840 2.965 2.010 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 0.875 0.500 1.045 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+      LAYER met1 ;
+        RECT 1.775 2.195 2.065 2.485 ;
+        RECT 1.850 1.060 1.990 2.195 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 1.850 0.920 4.465 1.060 ;
+        RECT 0.815 0.655 1.105 0.730 ;
+        RECT 1.850 0.655 1.990 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 0.815 0.515 1.990 0.655 ;
+        RECT 0.815 0.440 1.105 0.515 ;
+  END
+END AND2X2
+END LIBRARY
+
diff --git a/cells/mag/AND2X2.mag b/cells/mag/AND2X2.mag
new file mode 100644
index 0000000..b435993
--- /dev/null
+++ b/cells/mag/AND2X2.mag
@@ -0,0 +1,351 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953857
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 163 134 221 146
+rect 163 132 175 134
+rect 115 100 175 132
+rect 209 132 221 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 209 100 273 132
+rect 115 48 273 100
+rect 303 48 561 132
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 100 943 132
+rect 977 132 989 134
+rect 977 100 1037 132
+rect 879 48 1037 100
+<< pdiff >>
+rect 115 598 273 618
+rect 115 564 127 598
+rect 161 564 273 598
+rect 115 450 273 564
+rect 303 485 561 618
+rect 303 451 367 485
+rect 401 451 561 485
+rect 303 450 561 451
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1037 618
+rect 879 451 943 485
+rect 977 451 1037 485
+rect 879 450 1037 451
+rect 355 439 413 450
+rect 931 439 989 450
+<< ndiffc >>
+rect 175 100 209 134
+rect 655 68 689 102
+rect 943 100 977 134
+<< pdiffc >>
+rect 127 564 161 598
+rect 367 451 401 485
+rect 655 564 689 598
+rect 943 451 977 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 31 618 1121 649
+rect 111 598 177 618
+rect 111 564 127 598
+rect 161 564 177 598
+rect 111 548 177 564
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 639 548 705 564
+rect 351 485 417 501
+rect 351 452 367 485
+rect 355 451 367 452
+rect 401 451 417 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 355 435 417 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 559 231 593 352
+rect 255 215 321 231
+rect 255 184 271 215
+rect 259 181 271 184
+rect 305 181 321 215
+rect 259 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 159 134 225 150
+rect 159 100 175 134
+rect 209 100 225 134
+rect 931 134 993 150
+rect 931 131 943 134
+rect 159 84 225 100
+rect 639 102 705 118
+rect 639 68 655 102
+rect 689 68 705 102
+rect 927 100 943 131
+rect 977 100 993 134
+rect 927 84 993 100
+rect 639 48 705 68
+rect 31 17 1121 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 127 564 161 598
+rect 655 564 689 598
+rect 367 451 401 485
+rect 943 451 977 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 847 181 881 215
+rect 175 100 209 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 115 598 173 618
+rect 115 564 127 598
+rect 161 564 173 598
+rect 115 552 173 564
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 643 552 701 564
+rect 355 485 413 497
+rect 355 451 367 485
+rect 401 451 413 485
+rect 355 439 413 451
+rect 931 485 989 497
+rect 931 451 943 485
+rect 977 451 989 485
+rect 931 439 989 451
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 274 227 302 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 370 212 398 439
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 850 227 878 356
+rect 835 215 893 227
+rect 835 212 847 215
+rect 370 184 847 212
+rect 163 134 221 146
+rect 163 100 175 134
+rect 209 131 221 134
+rect 370 131 398 184
+rect 835 181 847 184
+rect 881 181 893 215
+rect 835 169 893 181
+rect 946 146 974 439
+rect 209 103 398 131
+rect 931 134 989 146
+rect 209 100 221 103
+rect 163 88 221 100
+rect 643 102 701 114
+rect 643 68 655 102
+rect 689 68 701 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 931 88 989 100
+rect 643 48 701 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel metal1 0 618 1152 714 0 VPWR
+port 3 se
+rlabel metal1 0 618 1152 714 0 VPWR
+port 3 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 2 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 2 se
+rlabel metal1 931 88 989 146 0 Y
+port 4 se
+rlabel metal1 946 146 974 439 0 Y
+port 4 se
+rlabel metal1 931 439 989 497 0 Y
+port 4 se
+rlabel metal1 259 169 317 227 0 A
+port 0 se
+rlabel metal1 274 227 302 356 0 A
+port 0 se
+rlabel metal1 259 356 317 414 0 A
+port 0 se
+rlabel metal1 547 356 605 414 0 B
+port 1 se
+rlabel locali 0 -17 1152 17 4 VGND
+port 2 se ground default abutment
+rlabel locali 31 17 1121 48 4 VGND
+port 2 se ground default abutment
+rlabel locali 0 649 1152 683 4 VPWR
+port 3 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 2 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/AND2X2.mag.beforemagic b/cells/mag/AND2X2.mag.beforemagic
new file mode 100644
index 0000000..6132062
--- /dev/null
+++ b/cells/mag/AND2X2.mag.beforemagic
@@ -0,0 +1,343 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624752610
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 163 134 221 146
+rect 163 132 175 134
+rect 115 100 175 132
+rect 209 132 221 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 209 100 273 132
+rect 115 48 273 100
+rect 303 48 561 132
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 100 943 132
+rect 977 132 989 134
+rect 977 100 1037 132
+rect 879 48 1037 100
+<< pdiff >>
+rect 115 598 273 618
+rect 115 564 127 598
+rect 161 564 273 598
+rect 115 450 273 564
+rect 303 485 561 618
+rect 303 451 367 485
+rect 401 451 561 485
+rect 303 450 561 451
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1037 618
+rect 879 451 943 485
+rect 977 451 1037 485
+rect 879 450 1037 451
+rect 355 439 413 450
+rect 931 439 989 450
+<< ndiffc >>
+rect 175 100 209 134
+rect 655 68 689 102
+rect 943 100 977 134
+<< pdiffc >>
+rect 127 564 161 598
+rect 367 451 401 485
+rect 655 564 689 598
+rect 943 451 977 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 111 598 177 618
+rect 111 564 127 598
+rect 161 564 177 598
+rect 111 548 177 564
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 639 548 705 564
+rect 351 485 417 501
+rect 351 452 367 485
+rect 355 451 367 452
+rect 401 451 417 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 355 435 417 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 559 231 593 352
+rect 255 215 321 231
+rect 255 184 271 215
+rect 259 181 271 184
+rect 305 181 321 215
+rect 259 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 159 134 225 150
+rect 159 100 175 134
+rect 209 100 225 134
+rect 931 134 993 150
+rect 931 131 943 134
+rect 159 84 225 100
+rect 639 102 705 118
+rect 639 68 655 102
+rect 689 68 705 102
+rect 927 100 943 131
+rect 977 100 993 134
+rect 927 84 993 100
+rect 639 48 705 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 127 564 161 598
+rect 655 564 689 598
+rect 367 451 401 485
+rect 943 451 977 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 847 181 881 215
+rect 175 100 209 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 115 598 173 618
+rect 115 564 127 598
+rect 161 564 173 598
+rect 115 552 173 564
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 643 552 701 564
+rect 355 485 413 497
+rect 355 451 367 485
+rect 401 451 413 485
+rect 355 439 413 451
+rect 931 485 989 497
+rect 931 451 943 485
+rect 977 451 989 485
+rect 931 439 989 451
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 274 227 302 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 370 212 398 439
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 850 227 878 356
+rect 835 215 893 227
+rect 835 212 847 215
+rect 370 184 847 212
+rect 163 134 221 146
+rect 163 100 175 134
+rect 209 131 221 134
+rect 370 131 398 184
+rect 835 181 847 184
+rect 881 181 893 215
+rect 835 169 893 181
+rect 946 146 974 439
+rect 209 103 398 131
+rect 931 134 989 146
+rect 209 100 221 103
+rect 163 88 221 100
+rect 643 102 701 114
+rect 643 68 655 102
+rect 689 68 701 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 931 88 989 100
+rect 643 48 701 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel locali 0 618 1152 714 0 VDD
+port 1 se
+rlabel metal1 0 618 1152 714 0 VDD
+port 2 se
+rlabel locali 0 -48 1152 48 0 GND
+port 3 se
+rlabel metal1 0 -48 1152 48 0 GND
+port 4 se
+rlabel metal1 931 88 989 146 0 Y
+port 5 se
+rlabel metal1 946 146 974 439 0 Y
+port 6 se
+rlabel metal1 931 439 989 497 0 Y
+port 7 se
+rlabel metal1 259 169 317 227 0 A
+port 8 se
+rlabel metal1 274 227 302 356 0 A
+port 9 se
+rlabel metal1 259 356 317 414 0 A
+port 10 se
+rlabel metal1 547 356 605 414 0 B
+port 11 se
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/AOI21X1.lef b/cells/mag/AOI21X1.lef
new file mode 100644
index 0000000..7c4d8a2
--- /dev/null
+++ b/cells/mag/AOI21X1.lef
@@ -0,0 +1,169 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AOI21X1
+  CLASS CORE ;
+  FOREIGN AOI21X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 0.845 4.465 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+      LAYER mcon ;
+        RECT 4.235 1.840 4.405 2.010 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END C
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.383750 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.655 0.865 0.730 ;
+        RECT 4.655 0.655 4.945 0.730 ;
+        RECT 0.575 0.515 4.945 0.655 ;
+        RECT 0.575 0.440 0.865 0.515 ;
+        RECT 4.655 0.440 4.945 0.515 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 0.420 4.965 0.750 ;
+      LAYER mcon ;
+        RECT 4.715 0.500 4.885 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 0.635 0.500 0.805 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 2.235 2.260 2.565 2.505 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 2.235 2.175 2.545 2.260 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.155 0.825 4.465 0.920 ;
+      LAYER mcon ;
+        RECT 2.315 2.255 2.485 2.425 ;
+        RECT 4.715 2.255 4.885 2.425 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+      LAYER met1 ;
+        RECT 2.255 2.410 2.545 2.485 ;
+        RECT 4.655 2.410 4.945 2.485 ;
+        RECT 2.255 2.270 4.945 2.410 ;
+        RECT 2.255 2.195 2.545 2.270 ;
+        RECT 4.655 2.195 4.945 2.270 ;
+  END
+END AOI21X1
+END LIBRARY
+
diff --git a/cells/mag/AOI21X1.mag b/cells/mag/AOI21X1.mag
new file mode 100644
index 0000000..af6d4e0
--- /dev/null
+++ b/cells/mag/AOI21X1.mag
@@ -0,0 +1,368 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953858
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 48 849 132
+rect 879 100 943 132
+rect 977 132 989 134
+rect 977 100 1037 132
+rect 879 48 1037 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 485 561 618
+rect 303 451 463 485
+rect 497 451 561 485
+rect 303 450 561 451
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1037 618
+rect 879 451 943 485
+rect 977 451 1037 485
+rect 879 450 1037 451
+rect 115 439 173 450
+rect 451 439 509 450
+rect 931 439 989 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 943 100 977 134
+<< pdiffc >>
+rect 127 451 161 485
+rect 463 451 497 485
+rect 655 564 689 598
+rect 943 451 977 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 31 618 1121 649
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 639 548 705 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 447 485 513 501
+rect 447 451 463 485
+rect 497 452 513 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 497 451 509 452
+rect 447 435 509 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 184 897 215
+rect 881 181 893 184
+rect 831 165 893 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 927 134 993 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 927 100 943 134
+rect 977 100 993 134
+rect 927 84 993 100
+rect 351 48 417 68
+rect 31 17 1121 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 655 564 689 598
+rect 127 451 161 485
+rect 463 451 497 485
+rect 943 451 977 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 127 100 161 134
+rect 943 100 977 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 643 552 701 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 115 439 173 451
+rect 451 485 509 497
+rect 451 451 463 485
+rect 497 482 509 485
+rect 931 485 989 497
+rect 931 482 943 485
+rect 497 454 943 482
+rect 497 451 509 454
+rect 451 439 509 451
+rect 931 451 943 454
+rect 977 451 989 485
+rect 931 439 989 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 850 227 878 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 835 215 893 227
+rect 835 181 847 215
+rect 881 181 893 215
+rect 835 169 893 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 131 173 134
+rect 931 134 989 146
+rect 931 131 943 134
+rect 161 103 943 131
+rect 161 100 173 103
+rect 115 88 173 100
+rect 931 100 943 103
+rect 977 100 989 134
+rect 931 88 989 100
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel metal1 0 618 1152 714 0 VPWR
+port 4 se
+rlabel metal1 0 618 1152 714 0 VPWR
+port 4 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 3 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 3 se
+rlabel metal1 115 88 173 103 0 Y
+port 5 se
+rlabel metal1 931 88 989 103 0 Y
+port 5 se
+rlabel metal1 115 103 989 131 0 Y
+port 5 se
+rlabel metal1 115 131 173 146 0 Y
+port 5 se
+rlabel metal1 931 131 989 146 0 Y
+port 5 se
+rlabel metal1 130 146 158 439 0 Y
+port 5 se
+rlabel metal1 115 439 173 497 0 Y
+port 5 se
+rlabel metal1 547 169 605 227 0 A
+port 0 se
+rlabel metal1 562 227 590 356 0 A
+port 0 se
+rlabel metal1 547 356 605 414 0 A
+port 0 se
+rlabel metal1 259 169 317 227 0 C
+port 2 se
+rlabel metal1 274 227 302 356 0 C
+port 2 se
+rlabel metal1 259 356 317 414 0 C
+port 2 se
+rlabel metal1 835 169 893 227 0 B
+port 1 se
+rlabel metal1 850 227 878 356 0 B
+port 1 se
+rlabel metal1 835 356 893 414 0 B
+port 1 se
+rlabel locali 0 -17 1152 17 4 VGND
+port 3 se ground default abutment
+rlabel locali 31 17 1121 48 4 VGND
+port 3 se ground default abutment
+rlabel locali 0 649 1152 683 4 VPWR
+port 4 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 3 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/AOI21X1.mag.beforemagic b/cells/mag/AOI21X1.mag.beforemagic
new file mode 100644
index 0000000..a1d350c
--- /dev/null
+++ b/cells/mag/AOI21X1.mag.beforemagic
@@ -0,0 +1,360 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624752678
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 48 849 132
+rect 879 100 943 132
+rect 977 132 989 134
+rect 977 100 1037 132
+rect 879 48 1037 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 485 561 618
+rect 303 451 463 485
+rect 497 451 561 485
+rect 303 450 561 451
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1037 618
+rect 879 451 943 485
+rect 977 451 1037 485
+rect 879 450 1037 451
+rect 115 439 173 450
+rect 451 439 509 450
+rect 931 439 989 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 943 100 977 134
+<< pdiffc >>
+rect 127 451 161 485
+rect 463 451 497 485
+rect 655 564 689 598
+rect 943 451 977 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 639 548 705 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 447 485 513 501
+rect 447 451 463 485
+rect 497 452 513 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 497 451 509 452
+rect 447 435 509 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 184 897 215
+rect 881 181 893 184
+rect 831 165 893 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 927 134 993 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 927 100 943 134
+rect 977 100 993 134
+rect 927 84 993 100
+rect 351 48 417 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 655 564 689 598
+rect 127 451 161 485
+rect 463 451 497 485
+rect 943 451 977 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 127 100 161 134
+rect 943 100 977 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 643 552 701 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 115 439 173 451
+rect 451 485 509 497
+rect 451 451 463 485
+rect 497 482 509 485
+rect 931 485 989 497
+rect 931 482 943 485
+rect 497 454 943 482
+rect 497 451 509 454
+rect 451 439 509 451
+rect 931 451 943 454
+rect 977 451 989 485
+rect 931 439 989 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 850 227 878 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 835 215 893 227
+rect 835 181 847 215
+rect 881 181 893 215
+rect 835 169 893 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 131 173 134
+rect 931 134 989 146
+rect 931 131 943 134
+rect 161 103 943 131
+rect 161 100 173 103
+rect 115 88 173 100
+rect 931 100 943 103
+rect 977 100 989 134
+rect 931 88 989 100
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel locali 0 618 1152 714 0 VDD
+port 1 se
+rlabel metal1 0 618 1152 714 0 VDD
+port 2 se
+rlabel locali 0 -48 1152 48 0 GND
+port 3 se
+rlabel metal1 0 -48 1152 48 0 GND
+port 4 se
+rlabel metal1 115 88 173 103 0 Y
+port 5 se
+rlabel metal1 931 88 989 103 0 Y
+port 6 se
+rlabel metal1 115 103 989 131 0 Y
+port 7 se
+rlabel metal1 115 131 173 146 0 Y
+port 8 se
+rlabel metal1 931 131 989 146 0 Y
+port 9 se
+rlabel metal1 130 146 158 439 0 Y
+port 10 se
+rlabel metal1 115 439 173 497 0 Y
+port 11 se
+rlabel metal1 547 169 605 227 0 A
+port 12 se
+rlabel metal1 562 227 590 356 0 A
+port 13 se
+rlabel metal1 547 356 605 414 0 A
+port 14 se
+rlabel metal1 259 169 317 227 0 C
+port 15 se
+rlabel metal1 274 227 302 356 0 C
+port 16 se
+rlabel metal1 259 356 317 414 0 C
+port 17 se
+rlabel metal1 835 169 893 227 0 B
+port 18 se
+rlabel metal1 850 227 878 356 0 B
+port 19 se
+rlabel metal1 835 356 893 414 0 B
+port 20 se
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/AOI22X1.lef b/cells/mag/AOI22X1.lef
new file mode 100644
index 0000000..08b4e33
--- /dev/null
+++ b/cells/mag/AOI22X1.lef
@@ -0,0 +1,200 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AOI22X1
+  CLASS CORE ;
+  FOREIGN AOI22X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 7.200 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.295 2.005 1.605 2.090 ;
+        RECT 1.275 1.760 1.605 2.005 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 0.845 4.465 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+      LAYER mcon ;
+        RECT 4.235 1.840 4.405 2.010 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 5.615 1.780 5.905 2.070 ;
+        RECT 5.690 1.135 5.830 1.780 ;
+        RECT 5.615 0.845 5.905 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.595 1.760 5.925 2.090 ;
+      LAYER mcon ;
+        RECT 5.675 1.840 5.845 2.010 ;
+    END
+  END D
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 7.200 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 7.045 0.240 ;
+        RECT 0.000 -0.085 7.200 0.085 ;
+      LAYER mcon ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 7.200 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 7.200 3.415 ;
+        RECT 0.155 3.090 7.045 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.803750 ;
+    PORT
+      LAYER met1 ;
+        RECT 5.135 2.195 5.425 2.485 ;
+        RECT 0.815 0.655 1.105 0.730 ;
+        RECT 5.210 0.655 5.350 2.195 ;
+        RECT 6.095 0.655 6.385 0.730 ;
+        RECT 0.815 0.515 6.385 0.655 ;
+        RECT 0.815 0.440 1.105 0.515 ;
+        RECT 6.095 0.440 6.385 0.515 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.075 0.420 6.405 0.750 ;
+      LAYER mcon ;
+        RECT 6.155 0.500 6.325 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.795 0.655 1.105 0.750 ;
+        RECT 0.795 0.420 1.125 0.655 ;
+      LAYER mcon ;
+        RECT 0.875 0.500 1.045 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.115 2.260 5.445 2.505 ;
+        RECT 5.115 2.175 5.425 2.260 ;
+      LAYER mcon ;
+        RECT 5.195 2.255 5.365 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 7.200 3.330 ;
+      LAYER li1 ;
+        RECT 6.075 2.580 6.405 2.910 ;
+        RECT 0.795 2.175 1.125 2.505 ;
+        RECT 3.195 2.260 3.525 2.505 ;
+        RECT 3.215 2.175 3.525 2.260 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 5.595 0.920 5.925 1.155 ;
+        RECT 5.595 0.825 5.905 0.920 ;
+      LAYER mcon ;
+        RECT 6.155 2.660 6.325 2.830 ;
+        RECT 0.875 2.255 1.045 2.425 ;
+        RECT 3.275 2.255 3.445 2.425 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 5.675 0.905 5.845 1.075 ;
+      LAYER met1 ;
+        RECT 6.095 2.815 6.385 2.890 ;
+        RECT 3.290 2.675 6.385 2.815 ;
+        RECT 3.290 2.485 3.430 2.675 ;
+        RECT 6.095 2.600 6.385 2.675 ;
+        RECT 0.815 2.410 1.105 2.485 ;
+        RECT 3.215 2.410 3.505 2.485 ;
+        RECT 0.815 2.270 3.505 2.410 ;
+        RECT 0.815 2.195 1.105 2.270 ;
+        RECT 3.215 2.195 3.505 2.270 ;
+  END
+END AOI22X1
+END LIBRARY
+
diff --git a/cells/mag/AOI22X1.mag b/cells/mag/AOI22X1.mag
new file mode 100644
index 0000000..2480a5d
--- /dev/null
+++ b/cells/mag/AOI22X1.mag
@@ -0,0 +1,448 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953859
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 1440 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+<< ndiff >>
+rect 163 134 221 146
+rect 163 132 175 134
+rect 115 100 175 132
+rect 209 132 221 134
+rect 1219 134 1277 146
+rect 1219 132 1231 134
+rect 209 100 273 132
+rect 115 48 273 100
+rect 303 48 561 132
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 48 1137 132
+rect 1167 100 1231 132
+rect 1265 132 1277 134
+rect 1265 100 1325 132
+rect 1167 48 1325 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 175 485
+rect 209 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 849 618
+rect 591 451 655 485
+rect 689 451 849 485
+rect 591 450 849 451
+rect 879 485 1137 618
+rect 879 451 1039 485
+rect 1073 451 1137 485
+rect 879 450 1137 451
+rect 1167 566 1325 618
+rect 1167 532 1231 566
+rect 1265 532 1325 566
+rect 1167 450 1325 532
+rect 163 439 221 450
+rect 643 439 701 450
+rect 1027 439 1085 450
+<< ndiffc >>
+rect 175 100 209 134
+rect 655 68 689 102
+rect 1231 100 1265 134
+<< pdiffc >>
+rect 175 451 209 485
+rect 367 564 401 598
+rect 655 451 689 485
+rect 1039 451 1073 485
+rect 1231 532 1265 566
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1440 683
+rect 31 618 1409 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 1215 566 1281 582
+rect 1215 532 1231 566
+rect 1265 532 1281 566
+rect 1215 516 1281 532
+rect 159 485 225 501
+rect 159 451 175 485
+rect 209 451 225 485
+rect 639 485 705 501
+rect 639 452 655 485
+rect 159 435 225 451
+rect 643 451 655 452
+rect 689 451 705 485
+rect 643 435 705 451
+rect 1023 485 1089 501
+rect 1023 451 1039 485
+rect 1073 452 1089 485
+rect 1073 451 1085 452
+rect 1023 435 1085 451
+rect 259 402 321 418
+rect 259 401 271 402
+rect 255 368 271 401
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 184 1185 215
+rect 1169 181 1181 184
+rect 1119 165 1181 181
+rect 159 134 221 150
+rect 159 100 175 134
+rect 209 131 221 134
+rect 1215 134 1281 150
+rect 209 100 225 131
+rect 159 84 225 100
+rect 639 102 705 118
+rect 639 68 655 102
+rect 689 68 705 102
+rect 1215 100 1231 134
+rect 1265 100 1281 134
+rect 1215 84 1281 100
+rect 639 48 705 68
+rect 31 17 1409 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1440 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 367 564 401 598
+rect 1231 532 1265 566
+rect 175 451 209 485
+rect 655 451 689 485
+rect 1039 451 1073 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 175 100 209 134
+rect 1231 100 1265 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+<< metal1 >>
+rect 0 683 1440 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1440 683
+rect 0 618 1440 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 1219 566 1277 578
+rect 1219 563 1231 566
+rect 658 535 1231 563
+rect 658 497 686 535
+rect 1219 532 1231 535
+rect 1265 532 1277 566
+rect 1219 520 1277 532
+rect 163 485 221 497
+rect 163 451 175 485
+rect 209 482 221 485
+rect 643 485 701 497
+rect 643 482 655 485
+rect 209 454 655 482
+rect 209 451 221 454
+rect 163 439 221 451
+rect 643 451 655 454
+rect 689 451 701 485
+rect 643 439 701 451
+rect 1027 485 1085 497
+rect 1027 451 1039 485
+rect 1073 451 1085 485
+rect 1027 439 1085 451
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 850 227 878 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 835 215 893 227
+rect 835 181 847 215
+rect 881 181 893 215
+rect 835 169 893 181
+rect 163 134 221 146
+rect 163 100 175 134
+rect 209 131 221 134
+rect 1042 131 1070 439
+rect 1123 402 1181 414
+rect 1123 368 1135 402
+rect 1169 368 1181 402
+rect 1123 356 1181 368
+rect 1138 227 1166 356
+rect 1123 215 1181 227
+rect 1123 181 1135 215
+rect 1169 181 1181 215
+rect 1123 169 1181 181
+rect 1219 134 1277 146
+rect 1219 131 1231 134
+rect 209 103 1231 131
+rect 209 100 221 103
+rect 163 88 221 100
+rect 1219 100 1231 103
+rect 1265 100 1277 134
+rect 1219 88 1277 100
+rect 0 17 1440 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1440 17
+rect 0 -48 1440 -17
+<< labels >>
+rlabel metal1 0 618 1440 714 0 VPWR
+port 5 se
+rlabel metal1 0 618 1440 714 0 VPWR
+port 5 se
+rlabel metal1 0 -48 1440 48 0 VGND
+port 4 se
+rlabel metal1 0 -48 1440 48 0 VGND
+port 4 se
+rlabel metal1 163 88 221 103 0 Y
+port 6 se
+rlabel metal1 1219 88 1277 103 0 Y
+port 6 se
+rlabel metal1 163 103 1277 131 0 Y
+port 6 se
+rlabel metal1 163 131 221 146 0 Y
+port 6 se
+rlabel metal1 1219 131 1277 146 0 Y
+port 6 se
+rlabel metal1 1042 131 1070 439 0 Y
+port 6 se
+rlabel metal1 1027 439 1085 497 0 Y
+port 6 se
+rlabel metal1 1123 169 1181 227 0 D
+port 3 se
+rlabel metal1 1138 227 1166 356 0 D
+port 3 se
+rlabel metal1 1123 356 1181 414 0 D
+port 3 se
+rlabel metal1 259 169 317 227 0 B
+port 1 se
+rlabel metal1 274 227 302 356 0 B
+port 1 se
+rlabel metal1 259 356 317 414 0 B
+port 1 se
+rlabel metal1 835 169 893 227 0 C
+port 2 se
+rlabel metal1 850 227 878 356 0 C
+port 2 se
+rlabel metal1 835 356 893 414 0 C
+port 2 se
+rlabel metal1 547 169 605 227 0 A
+port 0 se
+rlabel metal1 562 227 590 356 0 A
+port 0 se
+rlabel metal1 547 356 605 414 0 A
+port 0 se
+rlabel locali 0 -17 1440 17 4 VGND
+port 4 se ground default abutment
+rlabel locali 31 17 1409 48 4 VGND
+port 4 se ground default abutment
+rlabel locali 0 649 1440 683 4 VPWR
+port 5 se power default abutment
+rlabel locali 31 618 1409 649 4 VGND
+port 4 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 1440 666
+<< end >>
diff --git a/cells/mag/AOI22X1.mag.beforemagic b/cells/mag/AOI22X1.mag.beforemagic
new file mode 100644
index 0000000..96af52f
--- /dev/null
+++ b/cells/mag/AOI22X1.mag.beforemagic
@@ -0,0 +1,440 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624752751
+<< nwell >>
+rect 0 358 1440 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+<< ndiff >>
+rect 163 134 221 146
+rect 163 132 175 134
+rect 115 100 175 132
+rect 209 132 221 134
+rect 1219 134 1277 146
+rect 1219 132 1231 134
+rect 209 100 273 132
+rect 115 48 273 100
+rect 303 48 561 132
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 48 1137 132
+rect 1167 100 1231 132
+rect 1265 132 1277 134
+rect 1265 100 1325 132
+rect 1167 48 1325 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 175 485
+rect 209 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 849 618
+rect 591 451 655 485
+rect 689 451 849 485
+rect 591 450 849 451
+rect 879 485 1137 618
+rect 879 451 1039 485
+rect 1073 451 1137 485
+rect 879 450 1137 451
+rect 1167 566 1325 618
+rect 1167 532 1231 566
+rect 1265 532 1325 566
+rect 1167 450 1325 532
+rect 163 439 221 450
+rect 643 439 701 450
+rect 1027 439 1085 450
+<< ndiffc >>
+rect 175 100 209 134
+rect 655 68 689 102
+rect 1231 100 1265 134
+<< pdiffc >>
+rect 175 451 209 485
+rect 367 564 401 598
+rect 655 451 689 485
+rect 1039 451 1073 485
+rect 1231 532 1265 566
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+<< locali >>
+rect 0 683 1440 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1440 683
+rect 0 618 1440 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 1215 566 1281 582
+rect 1215 532 1231 566
+rect 1265 532 1281 566
+rect 1215 516 1281 532
+rect 159 485 225 501
+rect 159 451 175 485
+rect 209 451 225 485
+rect 639 485 705 501
+rect 639 452 655 485
+rect 159 435 225 451
+rect 643 451 655 452
+rect 689 451 705 485
+rect 643 435 705 451
+rect 1023 485 1089 501
+rect 1023 451 1039 485
+rect 1073 452 1089 485
+rect 1073 451 1085 452
+rect 1023 435 1085 451
+rect 259 402 321 418
+rect 259 401 271 402
+rect 255 368 271 401
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 184 1185 215
+rect 1169 181 1181 184
+rect 1119 165 1181 181
+rect 159 134 221 150
+rect 159 100 175 134
+rect 209 131 221 134
+rect 1215 134 1281 150
+rect 209 100 225 131
+rect 159 84 225 100
+rect 639 102 705 118
+rect 639 68 655 102
+rect 689 68 705 102
+rect 1215 100 1231 134
+rect 1265 100 1281 134
+rect 1215 84 1281 100
+rect 639 48 705 68
+rect 0 17 1440 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1440 17
+rect 0 -48 1440 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 367 564 401 598
+rect 1231 532 1265 566
+rect 175 451 209 485
+rect 655 451 689 485
+rect 1039 451 1073 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 175 100 209 134
+rect 1231 100 1265 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+<< metal1 >>
+rect 0 683 1440 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1440 683
+rect 0 618 1440 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 1219 566 1277 578
+rect 1219 563 1231 566
+rect 658 535 1231 563
+rect 658 497 686 535
+rect 1219 532 1231 535
+rect 1265 532 1277 566
+rect 1219 520 1277 532
+rect 163 485 221 497
+rect 163 451 175 485
+rect 209 482 221 485
+rect 643 485 701 497
+rect 643 482 655 485
+rect 209 454 655 482
+rect 209 451 221 454
+rect 163 439 221 451
+rect 643 451 655 454
+rect 689 451 701 485
+rect 643 439 701 451
+rect 1027 485 1085 497
+rect 1027 451 1039 485
+rect 1073 451 1085 485
+rect 1027 439 1085 451
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 850 227 878 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 835 215 893 227
+rect 835 181 847 215
+rect 881 181 893 215
+rect 835 169 893 181
+rect 163 134 221 146
+rect 163 100 175 134
+rect 209 131 221 134
+rect 1042 131 1070 439
+rect 1123 402 1181 414
+rect 1123 368 1135 402
+rect 1169 368 1181 402
+rect 1123 356 1181 368
+rect 1138 227 1166 356
+rect 1123 215 1181 227
+rect 1123 181 1135 215
+rect 1169 181 1181 215
+rect 1123 169 1181 181
+rect 1219 134 1277 146
+rect 1219 131 1231 134
+rect 209 103 1231 131
+rect 209 100 221 103
+rect 163 88 221 100
+rect 1219 100 1231 103
+rect 1265 100 1277 134
+rect 1219 88 1277 100
+rect 0 17 1440 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1440 17
+rect 0 -48 1440 -17
+<< labels >>
+rlabel locali 0 618 1440 714 0 VDD
+port 1 se
+rlabel metal1 0 618 1440 714 0 VDD
+port 2 se
+rlabel locali 0 -48 1440 48 0 GND
+port 3 se
+rlabel metal1 0 -48 1440 48 0 GND
+port 4 se
+rlabel metal1 163 88 221 103 0 Y
+port 5 se
+rlabel metal1 1219 88 1277 103 0 Y
+port 6 se
+rlabel metal1 163 103 1277 131 0 Y
+port 7 se
+rlabel metal1 163 131 221 146 0 Y
+port 8 se
+rlabel metal1 1219 131 1277 146 0 Y
+port 9 se
+rlabel metal1 1042 131 1070 439 0 Y
+port 10 se
+rlabel metal1 1027 439 1085 497 0 Y
+port 11 se
+rlabel metal1 1123 169 1181 227 0 D
+port 12 se
+rlabel metal1 1138 227 1166 356 0 D
+port 13 se
+rlabel metal1 1123 356 1181 414 0 D
+port 14 se
+rlabel metal1 259 169 317 227 0 B
+port 15 se
+rlabel metal1 274 227 302 356 0 B
+port 16 se
+rlabel metal1 259 356 317 414 0 B
+port 17 se
+rlabel metal1 835 169 893 227 0 C
+port 18 se
+rlabel metal1 850 227 878 356 0 C
+port 19 se
+rlabel metal1 835 356 893 414 0 C
+port 20 se
+rlabel metal1 547 169 605 227 0 A
+port 21 se
+rlabel metal1 562 227 590 356 0 A
+port 22 se
+rlabel metal1 547 356 605 414 0 A
+port 23 se
+<< properties >>
+string FIXED_BBOX 0 0 1440 666
+<< end >>
diff --git a/cells/mag/BUFX2.lef b/cells/mag/BUFX2.lef
new file mode 100644
index 0000000..f8c0792
--- /dev/null
+++ b/cells/mag/BUFX2.lef
@@ -0,0 +1,118 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO BUFX2
+  CLASS CORE ;
+  FOREIGN BUFX2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 4.320 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 4.165 0.240 ;
+        RECT 0.000 -0.085 4.320 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 4.320 3.415 ;
+        RECT 0.155 3.090 4.165 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 3.215 2.195 3.505 2.485 ;
+        RECT 3.290 0.730 3.430 2.195 ;
+        RECT 3.215 0.440 3.505 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 2.175 3.525 2.505 ;
+      LAYER mcon ;
+        RECT 3.275 2.255 3.445 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 4.320 3.330 ;
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+        RECT 2.715 2.005 3.025 2.090 ;
+        RECT 2.715 1.760 3.045 2.005 ;
+        RECT 1.355 1.155 1.525 1.760 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.920 3.045 1.155 ;
+        RECT 2.715 0.825 3.025 0.920 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+        RECT 3.195 0.420 3.525 0.750 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+        RECT 2.795 1.840 2.965 2.010 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+        RECT 3.275 0.500 3.445 0.670 ;
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 1.995 0.790 2.195 ;
+        RECT 2.735 1.995 3.025 2.070 ;
+        RECT 0.650 1.855 3.025 1.995 ;
+        RECT 0.650 0.730 0.790 1.855 ;
+        RECT 2.735 1.780 3.025 1.855 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+  END
+END BUFX2
+END LIBRARY
+
diff --git a/cells/mag/BUFX2.mag b/cells/mag/BUFX2.mag
new file mode 100644
index 0000000..03c98bc
--- /dev/null
+++ b/cells/mag/BUFX2.mag
@@ -0,0 +1,272 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953860
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 864 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 643 134 701 146
+rect 643 132 655 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 100 655 132
+rect 689 132 701 134
+rect 689 100 749 132
+rect 591 48 749 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 749 618
+rect 591 451 655 485
+rect 689 451 749 485
+rect 591 450 749 451
+rect 115 439 173 450
+rect 643 439 701 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 655 100 689 134
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 655 451 689 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 273 22 303 48
+rect 561 22 591 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 31 618 833 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 639 485 705 501
+rect 639 451 655 485
+rect 689 451 705 485
+rect 639 435 705 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 605 418
+rect 543 368 559 402
+rect 593 401 605 402
+rect 593 368 609 401
+rect 543 352 609 368
+rect 271 231 305 352
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 184 609 215
+rect 593 181 605 184
+rect 543 165 605 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 639 134 705 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 639 100 655 134
+rect 689 100 705 134
+rect 639 84 705 100
+rect 351 48 417 68
+rect 31 17 833 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 367 564 401 598
+rect 127 451 161 485
+rect 655 451 689 485
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 655 100 689 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+<< metal1 >>
+rect 0 683 864 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 0 618 864 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 115 439 173 451
+rect 643 485 701 497
+rect 643 451 655 485
+rect 689 451 701 485
+rect 643 439 701 451
+rect 130 399 158 439
+rect 547 402 605 414
+rect 547 399 559 402
+rect 130 371 559 399
+rect 130 146 158 371
+rect 547 368 559 371
+rect 593 368 605 402
+rect 547 356 605 368
+rect 562 227 590 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 658 146 686 439
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 643 134 701 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 643 100 655 134
+rect 689 100 701 134
+rect 643 88 701 100
+rect 355 48 413 68
+rect 0 17 864 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+rect 0 -48 864 -17
+<< labels >>
+rlabel metal1 0 618 864 714 0 VPWR
+port 2 se
+rlabel metal1 0 618 864 714 0 VPWR
+port 2 se
+rlabel metal1 0 -48 864 48 0 VGND
+port 1 se
+rlabel metal1 0 -48 864 48 0 VGND
+port 1 se
+rlabel metal1 643 88 701 146 0 Y
+port 3 se
+rlabel metal1 658 146 686 439 0 Y
+port 3 se
+rlabel metal1 643 439 701 497 0 Y
+port 3 se
+rlabel metal1 259 169 317 227 0 A
+port 0 se
+rlabel locali 0 -17 864 17 4 VGND
+port 1 se ground default abutment
+rlabel locali 31 17 833 48 4 VGND
+port 1 se ground default abutment
+rlabel locali 0 649 864 683 4 VPWR
+port 2 se power default abutment
+rlabel locali 31 618 833 649 4 VGND
+port 1 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 864 666
+<< end >>
diff --git a/cells/mag/BUFX2.mag.beforemagic b/cells/mag/BUFX2.mag.beforemagic
new file mode 100644
index 0000000..f30402f
--- /dev/null
+++ b/cells/mag/BUFX2.mag.beforemagic
@@ -0,0 +1,264 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624752844
+<< nwell >>
+rect 0 358 864 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 643 134 701 146
+rect 643 132 655 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 100 655 132
+rect 689 132 701 134
+rect 689 100 749 132
+rect 591 48 749 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 749 618
+rect 591 451 655 485
+rect 689 451 749 485
+rect 591 450 749 451
+rect 115 439 173 450
+rect 643 439 701 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 655 100 689 134
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 655 451 689 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 273 22 303 48
+rect 561 22 591 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+<< locali >>
+rect 0 683 864 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 0 618 864 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 639 485 705 501
+rect 639 451 655 485
+rect 689 451 705 485
+rect 639 435 705 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 605 418
+rect 543 368 559 402
+rect 593 401 605 402
+rect 593 368 609 401
+rect 543 352 609 368
+rect 271 231 305 352
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 184 609 215
+rect 593 181 605 184
+rect 543 165 605 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 639 134 705 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 639 100 655 134
+rect 689 100 705 134
+rect 639 84 705 100
+rect 351 48 417 68
+rect 0 17 864 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+rect 0 -48 864 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 367 564 401 598
+rect 127 451 161 485
+rect 655 451 689 485
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 655 100 689 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+<< metal1 >>
+rect 0 683 864 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 0 618 864 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 115 439 173 451
+rect 643 485 701 497
+rect 643 451 655 485
+rect 689 451 701 485
+rect 643 439 701 451
+rect 130 399 158 439
+rect 547 402 605 414
+rect 547 399 559 402
+rect 130 371 559 399
+rect 130 146 158 371
+rect 547 368 559 371
+rect 593 368 605 402
+rect 547 356 605 368
+rect 562 227 590 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 658 146 686 439
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 643 134 701 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 643 100 655 134
+rect 689 100 701 134
+rect 643 88 701 100
+rect 355 48 413 68
+rect 0 17 864 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+rect 0 -48 864 -17
+<< labels >>
+rlabel locali 0 618 864 714 0 VDD
+port 1 se
+rlabel metal1 0 618 864 714 0 VDD
+port 2 se
+rlabel locali 0 -48 864 48 0 GND
+port 3 se
+rlabel metal1 0 -48 864 48 0 GND
+port 4 se
+rlabel metal1 643 88 701 146 0 Y
+port 5 se
+rlabel metal1 658 146 686 439 0 Y
+port 6 se
+rlabel metal1 643 439 701 497 0 Y
+port 7 se
+rlabel metal1 259 169 317 227 0 A
+port 8 se
+<< properties >>
+string FIXED_BBOX 0 0 864 666
+<< end >>
diff --git a/cells/mag/BUFX4.lef b/cells/mag/BUFX4.lef
new file mode 100644
index 0000000..6958308
--- /dev/null
+++ b/cells/mag/BUFX4.lef
@@ -0,0 +1,151 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO BUFX4
+  CLASS CORE ;
+  FOREIGN BUFX4 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.540 1.510 1.780 ;
+        RECT 1.295 1.250 1.585 1.540 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.873600 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 4.635 0.240 4.965 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+        RECT 4.655 2.760 4.945 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.747200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 4.635 2.740 4.965 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+        RECT 4.715 2.820 4.885 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.661650 ;
+    PORT
+      LAYER met1 ;
+        RECT 3.695 2.410 3.985 2.485 ;
+        RECT 3.695 2.270 4.870 2.410 ;
+        RECT 3.695 2.195 3.985 2.270 ;
+        RECT 3.695 0.655 3.985 0.730 ;
+        RECT 4.730 0.655 4.870 2.270 ;
+        RECT 3.695 0.515 4.870 0.655 ;
+        RECT 3.695 0.440 3.985 0.515 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.675 0.420 4.005 0.750 ;
+      LAYER mcon ;
+        RECT 3.755 0.500 3.925 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.675 2.175 4.005 2.505 ;
+      LAYER mcon ;
+        RECT 3.755 2.255 3.925 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+        RECT 4.175 2.005 4.485 2.090 ;
+        RECT 4.155 1.760 4.485 2.005 ;
+        RECT 1.355 1.155 1.525 1.480 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.175 0.825 4.485 0.920 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+        RECT 2.795 1.840 2.965 2.010 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 1.355 1.310 1.525 1.480 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 1.060 0.790 2.195 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 2.735 1.060 3.025 1.135 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 0.650 0.920 4.465 1.060 ;
+        RECT 0.650 0.730 0.790 0.920 ;
+        RECT 2.735 0.845 3.025 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+  END
+END BUFX4
+END LIBRARY
+
diff --git a/cells/mag/BUFX4.mag b/cells/mag/BUFX4.mag
new file mode 100644
index 0000000..6930eb9
--- /dev/null
+++ b/cells/mag/BUFX4.mag
@@ -0,0 +1,373 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953861
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 739 134 797 146
+rect 739 132 751 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 100 751 132
+rect 785 132 797 134
+rect 785 100 849 132
+rect 591 48 849 100
+rect 879 102 1037 132
+rect 879 68 943 102
+rect 977 68 1037 102
+rect 879 48 1037 68
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 849 618
+rect 591 451 751 485
+rect 785 451 849 485
+rect 591 450 849 451
+rect 879 598 1037 618
+rect 879 564 943 598
+rect 977 564 1037 598
+rect 879 450 1037 564
+rect 115 439 173 450
+rect 739 439 797 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 751 100 785 134
+rect 943 68 977 102
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 751 451 785 485
+rect 943 564 977 598
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 31 618 1121 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 927 598 993 618
+rect 927 564 943 598
+rect 977 564 993 598
+rect 927 548 993 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 735 485 801 501
+rect 735 451 751 485
+rect 785 451 801 485
+rect 735 435 801 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 835 402 897 418
+rect 835 401 847 402
+rect 543 352 609 368
+rect 831 368 847 401
+rect 881 368 897 402
+rect 831 352 897 368
+rect 271 231 305 262
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 831 215 897 231
+rect 831 184 847 215
+rect 543 165 609 181
+rect 835 181 847 184
+rect 881 181 897 215
+rect 835 165 897 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 735 134 801 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 735 100 751 134
+rect 785 100 801 134
+rect 735 84 801 100
+rect 927 102 993 118
+rect 351 48 417 68
+rect 927 68 943 102
+rect 977 68 993 102
+rect 927 48 993 68
+rect 31 17 1121 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 367 564 401 598
+rect 943 564 977 598
+rect 127 451 161 485
+rect 751 451 785 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 262 305 296
+rect 559 181 593 215
+rect 847 181 881 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 751 100 785 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 931 598 989 618
+rect 931 564 943 598
+rect 977 564 989 598
+rect 931 552 989 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 115 439 173 451
+rect 739 485 797 497
+rect 739 451 751 485
+rect 785 482 797 485
+rect 785 454 974 482
+rect 785 451 797 454
+rect 739 439 797 451
+rect 130 212 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 308 302 356
+rect 259 296 317 308
+rect 259 262 271 296
+rect 305 262 317 296
+rect 259 250 317 262
+rect 562 227 590 356
+rect 850 227 878 356
+rect 547 215 605 227
+rect 547 212 559 215
+rect 130 184 559 212
+rect 130 146 158 184
+rect 547 181 559 184
+rect 593 212 605 215
+rect 835 215 893 227
+rect 835 212 847 215
+rect 593 184 847 212
+rect 593 181 605 184
+rect 547 169 605 181
+rect 835 181 847 184
+rect 881 181 893 215
+rect 835 169 893 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 739 134 797 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 739 100 751 134
+rect 785 131 797 134
+rect 946 131 974 454
+rect 785 103 974 131
+rect 785 100 797 103
+rect 739 88 797 100
+rect 355 48 413 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel metal1 0 618 1152 714 0 VPWR
+port 2 se
+rlabel metal1 0 618 1152 714 0 VPWR
+port 2 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 1 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 1 se
+rlabel metal1 739 88 797 103 0 Y
+port 3 se
+rlabel metal1 739 103 974 131 0 Y
+port 3 se
+rlabel metal1 739 131 797 146 0 Y
+port 3 se
+rlabel metal1 739 439 797 454 0 Y
+port 3 se
+rlabel metal1 946 131 974 454 0 Y
+port 3 se
+rlabel metal1 739 454 974 482 0 Y
+port 3 se
+rlabel metal1 739 482 797 497 0 Y
+port 3 se
+rlabel metal1 259 250 317 308 0 A
+port 0 se
+rlabel metal1 274 308 302 356 0 A
+port 0 se
+rlabel metal1 259 356 317 414 0 A
+port 0 se
+rlabel locali 0 -17 1152 17 4 VGND
+port 1 se ground default abutment
+rlabel locali 31 17 1121 48 4 VGND
+port 1 se ground default abutment
+rlabel locali 0 649 1152 683 4 VPWR
+port 2 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 1 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/BUFX4.mag.beforemagic b/cells/mag/BUFX4.mag.beforemagic
new file mode 100644
index 0000000..db22af4
--- /dev/null
+++ b/cells/mag/BUFX4.mag.beforemagic
@@ -0,0 +1,365 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624752885
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 739 134 797 146
+rect 739 132 751 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 100 751 132
+rect 785 132 797 134
+rect 785 100 849 132
+rect 591 48 849 100
+rect 879 102 1037 132
+rect 879 68 943 102
+rect 977 68 1037 102
+rect 879 48 1037 68
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 849 618
+rect 591 451 751 485
+rect 785 451 849 485
+rect 591 450 849 451
+rect 879 598 1037 618
+rect 879 564 943 598
+rect 977 564 1037 598
+rect 879 450 1037 564
+rect 115 439 173 450
+rect 739 439 797 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 751 100 785 134
+rect 943 68 977 102
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 751 451 785 485
+rect 943 564 977 598
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 927 598 993 618
+rect 927 564 943 598
+rect 977 564 993 598
+rect 927 548 993 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 735 485 801 501
+rect 735 451 751 485
+rect 785 451 801 485
+rect 735 435 801 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 835 402 897 418
+rect 835 401 847 402
+rect 543 352 609 368
+rect 831 368 847 401
+rect 881 368 897 402
+rect 831 352 897 368
+rect 271 231 305 262
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 831 215 897 231
+rect 831 184 847 215
+rect 543 165 609 181
+rect 835 181 847 184
+rect 881 181 897 215
+rect 835 165 897 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 735 134 801 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 735 100 751 134
+rect 785 100 801 134
+rect 735 84 801 100
+rect 927 102 993 118
+rect 351 48 417 68
+rect 927 68 943 102
+rect 977 68 993 102
+rect 927 48 993 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 367 564 401 598
+rect 943 564 977 598
+rect 127 451 161 485
+rect 751 451 785 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 262 305 296
+rect 559 181 593 215
+rect 847 181 881 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 751 100 785 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 931 598 989 618
+rect 931 564 943 598
+rect 977 564 989 598
+rect 931 552 989 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 115 439 173 451
+rect 739 485 797 497
+rect 739 451 751 485
+rect 785 482 797 485
+rect 785 454 974 482
+rect 785 451 797 454
+rect 739 439 797 451
+rect 130 212 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 308 302 356
+rect 259 296 317 308
+rect 259 262 271 296
+rect 305 262 317 296
+rect 259 250 317 262
+rect 562 227 590 356
+rect 850 227 878 356
+rect 547 215 605 227
+rect 547 212 559 215
+rect 130 184 559 212
+rect 130 146 158 184
+rect 547 181 559 184
+rect 593 212 605 215
+rect 835 215 893 227
+rect 835 212 847 215
+rect 593 184 847 212
+rect 593 181 605 184
+rect 547 169 605 181
+rect 835 181 847 184
+rect 881 181 893 215
+rect 835 169 893 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 739 134 797 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 739 100 751 134
+rect 785 131 797 134
+rect 946 131 974 454
+rect 785 103 974 131
+rect 785 100 797 103
+rect 739 88 797 100
+rect 355 48 413 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel locali 0 618 1152 714 0 VDD
+port 1 se
+rlabel metal1 0 618 1152 714 0 VDD
+port 2 se
+rlabel locali 0 -48 1152 48 0 GND
+port 3 se
+rlabel metal1 0 -48 1152 48 0 GND
+port 4 se
+rlabel metal1 739 88 797 103 0 Y
+port 5 se
+rlabel metal1 739 103 974 131 0 Y
+port 6 se
+rlabel metal1 739 131 797 146 0 Y
+port 7 se
+rlabel metal1 739 439 797 454 0 Y
+port 8 se
+rlabel metal1 946 131 974 454 0 Y
+port 9 se
+rlabel metal1 739 454 974 482 0 Y
+port 10 se
+rlabel metal1 739 482 797 497 0 Y
+port 11 se
+rlabel metal1 259 250 317 308 0 A
+port 12 se
+rlabel metal1 274 308 302 356 0 A
+port 13 se
+rlabel metal1 259 356 317 414 0 A
+port 14 se
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/CLKBUF1.lef b/cells/mag/CLKBUF1.lef
new file mode 100644
index 0000000..2e59128
--- /dev/null
+++ b/cells/mag/CLKBUF1.lef
@@ -0,0 +1,271 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO CLKBUF1
+  CLASS CORE ;
+  FOREIGN CLKBUF1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 12.960 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.370 2.675 2.950 2.815 ;
+        RECT 1.370 2.070 1.510 2.675 ;
+        RECT 2.810 2.070 2.950 2.675 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 2.810 1.540 2.950 1.780 ;
+        RECT 2.735 1.250 3.025 1.540 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.795 1.155 2.965 1.480 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+      LAYER mcon ;
+        RECT 2.795 1.310 2.965 1.480 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 2.289000 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 0.240 0.865 0.570 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 6.095 0.240 6.385 0.570 ;
+        RECT 8.975 0.240 9.265 0.570 ;
+        RECT 11.855 0.240 12.145 0.570 ;
+        RECT 0.000 -0.240 12.960 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 0.240 0.885 0.590 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 6.075 0.240 6.405 0.590 ;
+        RECT 8.955 0.240 9.285 0.590 ;
+        RECT 11.835 0.240 12.165 0.590 ;
+        RECT 0.155 0.085 12.805 0.240 ;
+        RECT 0.000 -0.085 12.960 0.085 ;
+      LAYER mcon ;
+        RECT 0.635 0.340 0.805 0.510 ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 6.155 0.340 6.325 0.510 ;
+        RECT 9.035 0.340 9.205 0.510 ;
+        RECT 11.915 0.340 12.085 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+        RECT 10.235 -0.085 10.405 0.085 ;
+        RECT 10.715 -0.085 10.885 0.085 ;
+        RECT 11.195 -0.085 11.365 0.085 ;
+        RECT 11.675 -0.085 11.845 0.085 ;
+        RECT 12.155 -0.085 12.325 0.085 ;
+        RECT 12.635 -0.085 12.805 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 12.960 3.570 ;
+        RECT 0.575 2.760 0.865 3.090 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+        RECT 6.095 2.760 6.385 3.090 ;
+        RECT 8.975 2.760 9.265 3.090 ;
+        RECT 11.855 2.760 12.145 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 4.578000 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 12.960 3.415 ;
+        RECT 0.155 3.090 12.805 3.245 ;
+        RECT 0.555 2.740 0.885 3.090 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+        RECT 6.075 2.740 6.405 3.090 ;
+        RECT 8.955 2.740 9.285 3.090 ;
+        RECT 11.835 2.740 12.165 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 10.235 3.245 10.405 3.415 ;
+        RECT 10.715 3.245 10.885 3.415 ;
+        RECT 11.195 3.245 11.365 3.415 ;
+        RECT 11.675 3.245 11.845 3.415 ;
+        RECT 12.155 3.245 12.325 3.415 ;
+        RECT 12.635 3.245 12.805 3.415 ;
+        RECT 0.635 2.820 0.805 2.990 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+        RECT 6.155 2.820 6.325 2.990 ;
+        RECT 9.035 2.820 9.205 2.990 ;
+        RECT 11.915 2.820 12.085 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.661650 ;
+    PORT
+      LAYER met1 ;
+        RECT 10.415 0.440 10.705 0.730 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 12.960 3.330 ;
+      LAYER li1 ;
+        RECT 1.755 2.260 2.085 2.505 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 1.775 2.175 2.085 2.260 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+        RECT 5.675 2.090 5.845 2.830 ;
+        RECT 7.515 2.175 7.845 2.505 ;
+        RECT 8.555 2.090 8.725 2.830 ;
+        RECT 10.395 2.260 10.725 2.505 ;
+        RECT 10.415 2.175 10.725 2.260 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 5.595 1.760 5.925 2.090 ;
+        RECT 7.035 2.005 7.345 2.090 ;
+        RECT 7.035 1.760 7.365 2.005 ;
+        RECT 8.475 1.760 8.805 2.090 ;
+        RECT 9.915 1.760 10.245 2.090 ;
+        RECT 5.675 1.445 5.845 1.760 ;
+        RECT 8.555 1.445 8.725 1.760 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.155 0.825 4.465 0.920 ;
+        RECT 5.595 0.825 5.925 1.155 ;
+        RECT 7.035 0.920 7.365 1.155 ;
+        RECT 7.035 0.825 7.345 0.920 ;
+        RECT 8.475 0.825 8.805 1.155 ;
+        RECT 9.915 0.920 10.245 1.155 ;
+        RECT 9.915 0.825 10.225 0.920 ;
+        RECT 10.475 0.750 10.645 2.175 ;
+        RECT 11.355 1.760 11.685 2.090 ;
+        RECT 11.355 0.825 11.685 1.155 ;
+        RECT 1.775 0.655 2.085 0.750 ;
+        RECT 1.755 0.420 2.085 0.655 ;
+        RECT 4.635 0.420 4.965 0.750 ;
+        RECT 7.515 0.420 7.845 0.750 ;
+        RECT 10.395 0.420 10.725 0.750 ;
+      LAYER mcon ;
+        RECT 5.675 2.660 5.845 2.830 ;
+        RECT 1.835 2.255 2.005 2.425 ;
+        RECT 4.715 2.255 4.885 2.425 ;
+        RECT 8.555 2.660 8.725 2.830 ;
+        RECT 7.595 2.255 7.765 2.425 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 7.115 1.840 7.285 2.010 ;
+        RECT 9.995 1.840 10.165 2.010 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 5.675 0.905 5.845 1.075 ;
+        RECT 7.115 0.905 7.285 1.075 ;
+        RECT 8.555 0.905 8.725 1.075 ;
+        RECT 9.995 0.905 10.165 1.075 ;
+        RECT 11.435 1.840 11.605 2.010 ;
+        RECT 11.435 0.905 11.605 1.075 ;
+        RECT 1.835 0.500 2.005 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+        RECT 7.595 0.500 7.765 0.670 ;
+        RECT 10.475 0.500 10.645 0.670 ;
+      LAYER met1 ;
+        RECT 5.615 2.815 5.905 2.890 ;
+        RECT 8.495 2.815 8.785 2.890 ;
+        RECT 4.250 2.675 5.905 2.815 ;
+        RECT 1.775 2.195 2.065 2.485 ;
+        RECT 1.850 1.060 1.990 2.195 ;
+        RECT 4.250 2.070 4.390 2.675 ;
+        RECT 5.615 2.600 5.905 2.675 ;
+        RECT 7.130 2.675 8.785 2.815 ;
+        RECT 4.655 2.195 4.945 2.485 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.730 1.995 4.870 2.195 ;
+        RECT 7.130 2.070 7.270 2.675 ;
+        RECT 8.495 2.600 8.785 2.675 ;
+        RECT 7.535 2.195 7.825 2.485 ;
+        RECT 7.055 1.995 7.345 2.070 ;
+        RECT 4.730 1.855 7.345 1.995 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 1.850 0.920 4.465 1.060 ;
+        RECT 1.850 0.730 1.990 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 4.730 0.730 4.870 1.855 ;
+        RECT 7.055 1.780 7.345 1.855 ;
+        RECT 7.610 1.995 7.750 2.195 ;
+        RECT 9.935 1.995 10.225 2.070 ;
+        RECT 11.375 1.995 11.665 2.070 ;
+        RECT 7.610 1.855 11.665 1.995 ;
+        RECT 5.615 1.385 5.905 1.675 ;
+        RECT 5.690 1.135 5.830 1.385 ;
+        RECT 7.130 1.135 7.270 1.780 ;
+        RECT 5.615 0.845 5.905 1.135 ;
+        RECT 7.055 0.845 7.345 1.135 ;
+        RECT 7.610 0.730 7.750 1.855 ;
+        RECT 9.935 1.780 10.225 1.855 ;
+        RECT 11.375 1.780 11.665 1.855 ;
+        RECT 8.495 1.385 8.785 1.675 ;
+        RECT 8.570 1.135 8.710 1.385 ;
+        RECT 10.010 1.135 10.150 1.780 ;
+        RECT 11.450 1.135 11.590 1.780 ;
+        RECT 8.495 0.845 8.785 1.135 ;
+        RECT 9.935 0.845 10.225 1.135 ;
+        RECT 11.375 0.845 11.665 1.135 ;
+        RECT 1.775 0.440 2.065 0.730 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+        RECT 7.535 0.440 7.825 0.730 ;
+  END
+END CLKBUF1
+END LIBRARY
+
diff --git a/cells/mag/CLKBUF1.mag b/cells/mag/CLKBUF1.mag
new file mode 100644
index 0000000..d78d118
--- /dev/null
+++ b/cells/mag/CLKBUF1.mag
@@ -0,0 +1,818 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953862
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 2592 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+rect 1425 48 1455 132
+rect 1713 48 1743 132
+rect 2001 48 2031 132
+rect 2289 48 2319 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+rect 1425 450 1455 618
+rect 1713 450 1743 618
+rect 2001 450 2031 618
+rect 2289 450 2319 618
+<< ndiff >>
+rect 355 134 413 146
+rect 355 132 367 134
+rect 115 102 273 132
+rect 115 68 127 102
+rect 161 68 273 102
+rect 115 48 273 68
+rect 303 100 367 132
+rect 401 132 413 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 401 100 561 132
+rect 303 48 561 100
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 100 943 132
+rect 977 132 989 134
+rect 1507 134 1565 146
+rect 1507 132 1519 134
+rect 977 100 1137 132
+rect 879 48 1137 100
+rect 1167 102 1425 132
+rect 1167 68 1231 102
+rect 1265 68 1425 102
+rect 1167 48 1425 68
+rect 1455 100 1519 132
+rect 1553 132 1565 134
+rect 2083 134 2141 146
+rect 2083 132 2095 134
+rect 1553 100 1713 132
+rect 1455 48 1713 100
+rect 1743 102 2001 132
+rect 1743 68 1807 102
+rect 1841 68 2001 102
+rect 1743 48 2001 68
+rect 2031 100 2095 132
+rect 2129 132 2141 134
+rect 2129 100 2289 132
+rect 2031 48 2289 100
+rect 2319 102 2477 132
+rect 2319 68 2383 102
+rect 2417 68 2477 102
+rect 2319 48 2477 68
+<< pdiff >>
+rect 115 598 273 618
+rect 115 564 127 598
+rect 161 564 273 598
+rect 115 450 273 564
+rect 303 485 561 618
+rect 303 451 367 485
+rect 401 451 561 485
+rect 303 450 561 451
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1137 618
+rect 879 451 943 485
+rect 977 451 1137 485
+rect 879 450 1137 451
+rect 1167 598 1425 618
+rect 1167 564 1231 598
+rect 1265 564 1425 598
+rect 1167 450 1425 564
+rect 1455 485 1713 618
+rect 1455 451 1519 485
+rect 1553 451 1713 485
+rect 1455 450 1713 451
+rect 1743 598 2001 618
+rect 1743 564 1807 598
+rect 1841 564 2001 598
+rect 1743 450 2001 564
+rect 2031 485 2289 618
+rect 2031 451 2095 485
+rect 2129 451 2289 485
+rect 2031 450 2289 451
+rect 2319 598 2477 618
+rect 2319 564 2383 598
+rect 2417 564 2477 598
+rect 2319 450 2477 564
+rect 355 439 413 450
+rect 931 439 989 450
+rect 1507 439 1565 450
+rect 2083 439 2141 450
+<< ndiffc >>
+rect 127 68 161 102
+rect 367 100 401 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 1231 68 1265 102
+rect 1519 100 1553 134
+rect 1807 68 1841 102
+rect 2095 100 2129 134
+rect 2383 68 2417 102
+<< pdiffc >>
+rect 127 564 161 598
+rect 367 451 401 485
+rect 655 564 689 598
+rect 943 451 977 485
+rect 1231 564 1265 598
+rect 1519 451 1553 485
+rect 1807 564 1841 598
+rect 2095 451 2129 485
+rect 2383 564 2417 598
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 1425 618 1455 644
+rect 1713 618 1743 644
+rect 2001 618 2031 644
+rect 2289 618 2319 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 1425 418 1455 450
+rect 1713 418 1743 450
+rect 2001 418 2031 450
+rect 2289 418 2319 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 1695 402 1761 418
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 1983 402 2049 418
+rect 1983 368 1999 402
+rect 2033 368 2049 402
+rect 1983 352 2049 368
+rect 2271 402 2337 418
+rect 2271 368 2287 402
+rect 2321 368 2337 402
+rect 2271 352 2337 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 1695 215 1761 231
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 1983 215 2049 231
+rect 1983 181 1999 215
+rect 2033 181 2049 215
+rect 1983 165 2049 181
+rect 2271 215 2337 231
+rect 2271 181 2287 215
+rect 2321 181 2337 215
+rect 2271 165 2337 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 1425 132 1455 165
+rect 1713 132 1743 165
+rect 2001 132 2031 165
+rect 2289 132 2319 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+rect 1425 22 1455 48
+rect 1713 22 1743 48
+rect 2001 22 2031 48
+rect 2289 22 2319 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 1711 368 1745 402
+rect 1999 368 2033 402
+rect 2287 368 2321 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 1711 181 1745 215
+rect 1999 181 2033 215
+rect 2287 181 2321 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2239 683
+rect 2273 649 2335 683
+rect 2369 649 2431 683
+rect 2465 649 2527 683
+rect 2561 649 2592 683
+rect 31 618 2561 649
+rect 111 598 177 618
+rect 111 564 127 598
+rect 161 564 177 598
+rect 111 548 177 564
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 1215 598 1281 618
+rect 639 548 705 564
+rect 1215 564 1231 598
+rect 1265 564 1281 598
+rect 1791 598 1857 618
+rect 1215 548 1281 564
+rect 351 485 417 501
+rect 351 452 367 485
+rect 355 451 367 452
+rect 401 451 417 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 355 435 417 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 1135 418 1169 532
+rect 1791 564 1807 598
+rect 1841 564 1857 598
+rect 1791 548 1857 564
+rect 2367 598 2433 618
+rect 2367 564 2383 598
+rect 2417 564 2433 598
+rect 2367 548 2433 564
+rect 1503 485 1569 501
+rect 1503 451 1519 485
+rect 1553 451 1569 485
+rect 1503 435 1569 451
+rect 1711 418 1745 532
+rect 2079 485 2145 501
+rect 2079 452 2095 485
+rect 2083 451 2095 452
+rect 2129 451 2145 485
+rect 2083 435 2145 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1469 418
+rect 1407 368 1423 402
+rect 1457 401 1469 402
+rect 1695 402 1761 418
+rect 1457 368 1473 401
+rect 1407 352 1473 368
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 1983 402 2049 418
+rect 1983 368 1999 402
+rect 2033 368 2049 402
+rect 1983 352 2049 368
+rect 1135 323 1169 352
+rect 1711 323 1745 352
+rect 559 231 593 262
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 184 897 215
+rect 1119 215 1185 231
+rect 881 181 893 184
+rect 831 165 893 181
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 184 1473 215
+rect 1695 215 1761 231
+rect 1457 181 1469 184
+rect 1407 165 1469 181
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 1983 215 2049 231
+rect 1983 181 1999 215
+rect 2033 184 2049 215
+rect 2033 181 2045 184
+rect 1983 165 2045 181
+rect 2095 150 2129 435
+rect 2271 402 2337 418
+rect 2271 368 2287 402
+rect 2321 368 2337 402
+rect 2271 352 2337 368
+rect 2271 215 2337 231
+rect 2271 181 2287 215
+rect 2321 181 2337 215
+rect 2271 165 2337 181
+rect 355 134 417 150
+rect 355 131 367 134
+rect 111 102 177 118
+rect 111 68 127 102
+rect 161 68 177 102
+rect 351 100 367 131
+rect 401 100 417 134
+rect 927 134 993 150
+rect 351 84 417 100
+rect 639 102 705 118
+rect 111 48 177 68
+rect 639 68 655 102
+rect 689 68 705 102
+rect 927 100 943 134
+rect 977 100 993 134
+rect 1503 134 1569 150
+rect 927 84 993 100
+rect 1215 102 1281 118
+rect 639 48 705 68
+rect 1215 68 1231 102
+rect 1265 68 1281 102
+rect 1503 100 1519 134
+rect 1553 100 1569 134
+rect 2079 134 2145 150
+rect 1503 84 1569 100
+rect 1791 102 1857 118
+rect 1215 48 1281 68
+rect 1791 68 1807 102
+rect 1841 68 1857 102
+rect 2079 100 2095 134
+rect 2129 100 2145 134
+rect 2079 84 2145 100
+rect 2367 102 2433 118
+rect 1791 48 1857 68
+rect 2367 68 2383 102
+rect 2417 68 2433 102
+rect 2367 48 2433 68
+rect 31 17 2561 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2239 17
+rect 2273 -17 2335 17
+rect 2369 -17 2431 17
+rect 2465 -17 2527 17
+rect 2561 -17 2592 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 2047 649 2081 683
+rect 2143 649 2177 683
+rect 2239 649 2273 683
+rect 2335 649 2369 683
+rect 2431 649 2465 683
+rect 2527 649 2561 683
+rect 127 564 161 598
+rect 655 564 689 598
+rect 1135 532 1169 566
+rect 1231 564 1265 598
+rect 367 451 401 485
+rect 943 451 977 485
+rect 1711 532 1745 566
+rect 1807 564 1841 598
+rect 2383 564 2417 598
+rect 1519 451 1553 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1423 368 1457 402
+rect 1999 368 2033 402
+rect 559 262 593 296
+rect 1135 289 1169 323
+rect 1711 289 1745 323
+rect 271 181 305 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 1711 181 1745 215
+rect 1999 181 2033 215
+rect 2287 368 2321 402
+rect 2287 181 2321 215
+rect 127 68 161 102
+rect 367 100 401 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 1231 68 1265 102
+rect 1519 100 1553 134
+rect 1807 68 1841 102
+rect 2095 100 2129 134
+rect 2383 68 2417 102
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+rect 2047 -17 2081 17
+rect 2143 -17 2177 17
+rect 2239 -17 2273 17
+rect 2335 -17 2369 17
+rect 2431 -17 2465 17
+rect 2527 -17 2561 17
+<< metal1 >>
+rect 0 683 2592 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2239 683
+rect 2273 649 2335 683
+rect 2369 649 2431 683
+rect 2465 649 2527 683
+rect 2561 649 2592 683
+rect 0 618 2592 649
+rect 115 598 173 618
+rect 115 564 127 598
+rect 161 564 173 598
+rect 115 552 173 564
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 1219 598 1277 618
+rect 274 535 590 563
+rect 643 552 701 564
+rect 1123 566 1181 578
+rect 1123 563 1135 566
+rect 274 414 302 535
+rect 355 485 413 497
+rect 355 451 367 485
+rect 401 451 413 485
+rect 355 439 413 451
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 274 227 302 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 370 212 398 439
+rect 562 414 590 535
+rect 850 535 1135 563
+rect 850 414 878 535
+rect 1123 532 1135 535
+rect 1169 532 1181 566
+rect 1219 564 1231 598
+rect 1265 564 1277 598
+rect 1795 598 1853 618
+rect 1219 552 1277 564
+rect 1699 566 1757 578
+rect 1699 563 1711 566
+rect 1123 520 1181 532
+rect 1426 535 1711 563
+rect 931 485 989 497
+rect 931 451 943 485
+rect 977 451 989 485
+rect 931 439 989 451
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 946 399 974 439
+rect 1426 414 1454 535
+rect 1699 532 1711 535
+rect 1745 532 1757 566
+rect 1795 564 1807 598
+rect 1841 564 1853 598
+rect 1795 552 1853 564
+rect 2371 598 2429 618
+rect 2371 564 2383 598
+rect 2417 564 2429 598
+rect 2371 552 2429 564
+rect 1699 520 1757 532
+rect 1507 485 1565 497
+rect 1507 451 1519 485
+rect 1553 451 1565 485
+rect 1507 439 1565 451
+rect 1411 402 1469 414
+rect 1411 399 1423 402
+rect 946 371 1423 399
+rect 562 308 590 356
+rect 547 296 605 308
+rect 547 262 559 296
+rect 593 262 605 296
+rect 547 250 605 262
+rect 850 227 878 356
+rect 835 215 893 227
+rect 835 212 847 215
+rect 370 184 847 212
+rect 370 146 398 184
+rect 835 181 847 184
+rect 881 181 893 215
+rect 835 169 893 181
+rect 946 146 974 371
+rect 1411 368 1423 371
+rect 1457 368 1469 402
+rect 1411 356 1469 368
+rect 1522 399 1550 439
+rect 1987 402 2045 414
+rect 1987 399 1999 402
+rect 1522 371 1999 399
+rect 1123 323 1181 335
+rect 1123 289 1135 323
+rect 1169 289 1181 323
+rect 1123 277 1181 289
+rect 1138 227 1166 277
+rect 1426 227 1454 356
+rect 1123 215 1181 227
+rect 1123 181 1135 215
+rect 1169 181 1181 215
+rect 1123 169 1181 181
+rect 1411 215 1469 227
+rect 1411 181 1423 215
+rect 1457 181 1469 215
+rect 1411 169 1469 181
+rect 1522 146 1550 371
+rect 1987 368 1999 371
+rect 2033 399 2045 402
+rect 2275 402 2333 414
+rect 2275 399 2287 402
+rect 2033 371 2287 399
+rect 2033 368 2045 371
+rect 1987 356 2045 368
+rect 2275 368 2287 371
+rect 2321 368 2333 402
+rect 2275 356 2333 368
+rect 1699 323 1757 335
+rect 1699 289 1711 323
+rect 1745 289 1757 323
+rect 1699 277 1757 289
+rect 1714 227 1742 277
+rect 2002 227 2030 356
+rect 2290 227 2318 356
+rect 1699 215 1757 227
+rect 1699 181 1711 215
+rect 1745 181 1757 215
+rect 1699 169 1757 181
+rect 1987 215 2045 227
+rect 1987 181 1999 215
+rect 2033 181 2045 215
+rect 1987 169 2045 181
+rect 2275 215 2333 227
+rect 2275 181 2287 215
+rect 2321 181 2333 215
+rect 2275 169 2333 181
+rect 355 134 413 146
+rect 115 102 173 114
+rect 115 68 127 102
+rect 161 68 173 102
+rect 355 100 367 134
+rect 401 100 413 134
+rect 931 134 989 146
+rect 355 88 413 100
+rect 643 102 701 114
+rect 115 48 173 68
+rect 643 68 655 102
+rect 689 68 701 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 1507 134 1565 146
+rect 931 88 989 100
+rect 1219 102 1277 114
+rect 643 48 701 68
+rect 1219 68 1231 102
+rect 1265 68 1277 102
+rect 1507 100 1519 134
+rect 1553 100 1565 134
+rect 2083 134 2141 146
+rect 1507 88 1565 100
+rect 1795 102 1853 114
+rect 1219 48 1277 68
+rect 1795 68 1807 102
+rect 1841 68 1853 102
+rect 2083 100 2095 134
+rect 2129 100 2141 134
+rect 2083 88 2141 100
+rect 2371 102 2429 114
+rect 1795 48 1853 68
+rect 2371 68 2383 102
+rect 2417 68 2429 102
+rect 2371 48 2429 68
+rect 0 17 2592 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2239 17
+rect 2273 -17 2335 17
+rect 2369 -17 2431 17
+rect 2465 -17 2527 17
+rect 2561 -17 2592 17
+rect 0 -48 2592 -17
+<< labels >>
+rlabel metal1 0 618 2592 714 0 VPWR
+port 2 se
+rlabel metal1 0 618 2592 714 0 VPWR
+port 2 se
+rlabel metal1 0 -48 2592 48 0 VGND
+port 1 se
+rlabel metal1 0 -48 2592 48 0 VGND
+port 1 se
+rlabel metal1 2083 88 2141 146 0 Y
+port 3 se
+rlabel metal1 259 169 317 227 0 A
+port 0 se
+rlabel metal1 547 250 605 308 0 A
+port 0 se
+rlabel metal1 274 227 302 356 0 A
+port 0 se
+rlabel metal1 562 308 590 356 0 A
+port 0 se
+rlabel metal1 259 356 317 414 0 A
+port 0 se
+rlabel metal1 547 356 605 414 0 A
+port 0 se
+rlabel metal1 274 414 302 535 0 A
+port 0 se
+rlabel metal1 562 414 590 535 0 A
+port 0 se
+rlabel metal1 274 535 590 563 0 A
+port 0 se
+rlabel locali 0 -17 2592 17 4 VGND
+port 1 se ground default abutment
+rlabel locali 31 17 2561 48 4 VGND
+port 1 se ground default abutment
+rlabel locali 0 649 2592 683 4 VPWR
+port 2 se power default abutment
+rlabel locali 31 618 2561 649 4 VGND
+port 1 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 2592 666
+<< end >>
diff --git a/cells/mag/CLKBUF1.mag.beforemagic b/cells/mag/CLKBUF1.mag.beforemagic
new file mode 100644
index 0000000..e650ffe
--- /dev/null
+++ b/cells/mag/CLKBUF1.mag.beforemagic
@@ -0,0 +1,810 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624752971
+<< nwell >>
+rect 0 358 2592 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+rect 1425 48 1455 132
+rect 1713 48 1743 132
+rect 2001 48 2031 132
+rect 2289 48 2319 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+rect 1425 450 1455 618
+rect 1713 450 1743 618
+rect 2001 450 2031 618
+rect 2289 450 2319 618
+<< ndiff >>
+rect 355 134 413 146
+rect 355 132 367 134
+rect 115 102 273 132
+rect 115 68 127 102
+rect 161 68 273 102
+rect 115 48 273 68
+rect 303 100 367 132
+rect 401 132 413 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 401 100 561 132
+rect 303 48 561 100
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 100 943 132
+rect 977 132 989 134
+rect 1507 134 1565 146
+rect 1507 132 1519 134
+rect 977 100 1137 132
+rect 879 48 1137 100
+rect 1167 102 1425 132
+rect 1167 68 1231 102
+rect 1265 68 1425 102
+rect 1167 48 1425 68
+rect 1455 100 1519 132
+rect 1553 132 1565 134
+rect 2083 134 2141 146
+rect 2083 132 2095 134
+rect 1553 100 1713 132
+rect 1455 48 1713 100
+rect 1743 102 2001 132
+rect 1743 68 1807 102
+rect 1841 68 2001 102
+rect 1743 48 2001 68
+rect 2031 100 2095 132
+rect 2129 132 2141 134
+rect 2129 100 2289 132
+rect 2031 48 2289 100
+rect 2319 102 2477 132
+rect 2319 68 2383 102
+rect 2417 68 2477 102
+rect 2319 48 2477 68
+<< pdiff >>
+rect 115 598 273 618
+rect 115 564 127 598
+rect 161 564 273 598
+rect 115 450 273 564
+rect 303 485 561 618
+rect 303 451 367 485
+rect 401 451 561 485
+rect 303 450 561 451
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1137 618
+rect 879 451 943 485
+rect 977 451 1137 485
+rect 879 450 1137 451
+rect 1167 598 1425 618
+rect 1167 564 1231 598
+rect 1265 564 1425 598
+rect 1167 450 1425 564
+rect 1455 485 1713 618
+rect 1455 451 1519 485
+rect 1553 451 1713 485
+rect 1455 450 1713 451
+rect 1743 598 2001 618
+rect 1743 564 1807 598
+rect 1841 564 2001 598
+rect 1743 450 2001 564
+rect 2031 485 2289 618
+rect 2031 451 2095 485
+rect 2129 451 2289 485
+rect 2031 450 2289 451
+rect 2319 598 2477 618
+rect 2319 564 2383 598
+rect 2417 564 2477 598
+rect 2319 450 2477 564
+rect 355 439 413 450
+rect 931 439 989 450
+rect 1507 439 1565 450
+rect 2083 439 2141 450
+<< ndiffc >>
+rect 127 68 161 102
+rect 367 100 401 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 1231 68 1265 102
+rect 1519 100 1553 134
+rect 1807 68 1841 102
+rect 2095 100 2129 134
+rect 2383 68 2417 102
+<< pdiffc >>
+rect 127 564 161 598
+rect 367 451 401 485
+rect 655 564 689 598
+rect 943 451 977 485
+rect 1231 564 1265 598
+rect 1519 451 1553 485
+rect 1807 564 1841 598
+rect 2095 451 2129 485
+rect 2383 564 2417 598
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 1425 618 1455 644
+rect 1713 618 1743 644
+rect 2001 618 2031 644
+rect 2289 618 2319 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 1425 418 1455 450
+rect 1713 418 1743 450
+rect 2001 418 2031 450
+rect 2289 418 2319 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 1695 402 1761 418
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 1983 402 2049 418
+rect 1983 368 1999 402
+rect 2033 368 2049 402
+rect 1983 352 2049 368
+rect 2271 402 2337 418
+rect 2271 368 2287 402
+rect 2321 368 2337 402
+rect 2271 352 2337 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 1695 215 1761 231
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 1983 215 2049 231
+rect 1983 181 1999 215
+rect 2033 181 2049 215
+rect 1983 165 2049 181
+rect 2271 215 2337 231
+rect 2271 181 2287 215
+rect 2321 181 2337 215
+rect 2271 165 2337 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 1425 132 1455 165
+rect 1713 132 1743 165
+rect 2001 132 2031 165
+rect 2289 132 2319 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+rect 1425 22 1455 48
+rect 1713 22 1743 48
+rect 2001 22 2031 48
+rect 2289 22 2319 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 1711 368 1745 402
+rect 1999 368 2033 402
+rect 2287 368 2321 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 1711 181 1745 215
+rect 1999 181 2033 215
+rect 2287 181 2321 215
+<< locali >>
+rect 0 683 2592 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2239 683
+rect 2273 649 2335 683
+rect 2369 649 2431 683
+rect 2465 649 2527 683
+rect 2561 649 2592 683
+rect 0 618 2592 649
+rect 111 598 177 618
+rect 111 564 127 598
+rect 161 564 177 598
+rect 111 548 177 564
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 1215 598 1281 618
+rect 639 548 705 564
+rect 1215 564 1231 598
+rect 1265 564 1281 598
+rect 1791 598 1857 618
+rect 1215 548 1281 564
+rect 351 485 417 501
+rect 351 452 367 485
+rect 355 451 367 452
+rect 401 451 417 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 355 435 417 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 1135 418 1169 532
+rect 1791 564 1807 598
+rect 1841 564 1857 598
+rect 1791 548 1857 564
+rect 2367 598 2433 618
+rect 2367 564 2383 598
+rect 2417 564 2433 598
+rect 2367 548 2433 564
+rect 1503 485 1569 501
+rect 1503 451 1519 485
+rect 1553 451 1569 485
+rect 1503 435 1569 451
+rect 1711 418 1745 532
+rect 2079 485 2145 501
+rect 2079 452 2095 485
+rect 2083 451 2095 452
+rect 2129 451 2145 485
+rect 2083 435 2145 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1469 418
+rect 1407 368 1423 402
+rect 1457 401 1469 402
+rect 1695 402 1761 418
+rect 1457 368 1473 401
+rect 1407 352 1473 368
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 1983 402 2049 418
+rect 1983 368 1999 402
+rect 2033 368 2049 402
+rect 1983 352 2049 368
+rect 1135 323 1169 352
+rect 1711 323 1745 352
+rect 559 231 593 262
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 184 897 215
+rect 1119 215 1185 231
+rect 881 181 893 184
+rect 831 165 893 181
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 184 1473 215
+rect 1695 215 1761 231
+rect 1457 181 1469 184
+rect 1407 165 1469 181
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 1983 215 2049 231
+rect 1983 181 1999 215
+rect 2033 184 2049 215
+rect 2033 181 2045 184
+rect 1983 165 2045 181
+rect 2095 150 2129 435
+rect 2271 402 2337 418
+rect 2271 368 2287 402
+rect 2321 368 2337 402
+rect 2271 352 2337 368
+rect 2271 215 2337 231
+rect 2271 181 2287 215
+rect 2321 181 2337 215
+rect 2271 165 2337 181
+rect 355 134 417 150
+rect 355 131 367 134
+rect 111 102 177 118
+rect 111 68 127 102
+rect 161 68 177 102
+rect 351 100 367 131
+rect 401 100 417 134
+rect 927 134 993 150
+rect 351 84 417 100
+rect 639 102 705 118
+rect 111 48 177 68
+rect 639 68 655 102
+rect 689 68 705 102
+rect 927 100 943 134
+rect 977 100 993 134
+rect 1503 134 1569 150
+rect 927 84 993 100
+rect 1215 102 1281 118
+rect 639 48 705 68
+rect 1215 68 1231 102
+rect 1265 68 1281 102
+rect 1503 100 1519 134
+rect 1553 100 1569 134
+rect 2079 134 2145 150
+rect 1503 84 1569 100
+rect 1791 102 1857 118
+rect 1215 48 1281 68
+rect 1791 68 1807 102
+rect 1841 68 1857 102
+rect 2079 100 2095 134
+rect 2129 100 2145 134
+rect 2079 84 2145 100
+rect 2367 102 2433 118
+rect 1791 48 1857 68
+rect 2367 68 2383 102
+rect 2417 68 2433 102
+rect 2367 48 2433 68
+rect 0 17 2592 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2239 17
+rect 2273 -17 2335 17
+rect 2369 -17 2431 17
+rect 2465 -17 2527 17
+rect 2561 -17 2592 17
+rect 0 -48 2592 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 2047 649 2081 683
+rect 2143 649 2177 683
+rect 2239 649 2273 683
+rect 2335 649 2369 683
+rect 2431 649 2465 683
+rect 2527 649 2561 683
+rect 127 564 161 598
+rect 655 564 689 598
+rect 1135 532 1169 566
+rect 1231 564 1265 598
+rect 367 451 401 485
+rect 943 451 977 485
+rect 1711 532 1745 566
+rect 1807 564 1841 598
+rect 2383 564 2417 598
+rect 1519 451 1553 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1423 368 1457 402
+rect 1999 368 2033 402
+rect 559 262 593 296
+rect 1135 289 1169 323
+rect 1711 289 1745 323
+rect 271 181 305 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 1711 181 1745 215
+rect 1999 181 2033 215
+rect 2287 368 2321 402
+rect 2287 181 2321 215
+rect 127 68 161 102
+rect 367 100 401 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 1231 68 1265 102
+rect 1519 100 1553 134
+rect 1807 68 1841 102
+rect 2095 100 2129 134
+rect 2383 68 2417 102
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+rect 2047 -17 2081 17
+rect 2143 -17 2177 17
+rect 2239 -17 2273 17
+rect 2335 -17 2369 17
+rect 2431 -17 2465 17
+rect 2527 -17 2561 17
+<< metal1 >>
+rect 0 683 2592 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2239 683
+rect 2273 649 2335 683
+rect 2369 649 2431 683
+rect 2465 649 2527 683
+rect 2561 649 2592 683
+rect 0 618 2592 649
+rect 115 598 173 618
+rect 115 564 127 598
+rect 161 564 173 598
+rect 115 552 173 564
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 1219 598 1277 618
+rect 274 535 590 563
+rect 643 552 701 564
+rect 1123 566 1181 578
+rect 1123 563 1135 566
+rect 274 414 302 535
+rect 355 485 413 497
+rect 355 451 367 485
+rect 401 451 413 485
+rect 355 439 413 451
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 274 227 302 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 370 212 398 439
+rect 562 414 590 535
+rect 850 535 1135 563
+rect 850 414 878 535
+rect 1123 532 1135 535
+rect 1169 532 1181 566
+rect 1219 564 1231 598
+rect 1265 564 1277 598
+rect 1795 598 1853 618
+rect 1219 552 1277 564
+rect 1699 566 1757 578
+rect 1699 563 1711 566
+rect 1123 520 1181 532
+rect 1426 535 1711 563
+rect 931 485 989 497
+rect 931 451 943 485
+rect 977 451 989 485
+rect 931 439 989 451
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 946 399 974 439
+rect 1426 414 1454 535
+rect 1699 532 1711 535
+rect 1745 532 1757 566
+rect 1795 564 1807 598
+rect 1841 564 1853 598
+rect 1795 552 1853 564
+rect 2371 598 2429 618
+rect 2371 564 2383 598
+rect 2417 564 2429 598
+rect 2371 552 2429 564
+rect 1699 520 1757 532
+rect 1507 485 1565 497
+rect 1507 451 1519 485
+rect 1553 451 1565 485
+rect 1507 439 1565 451
+rect 1411 402 1469 414
+rect 1411 399 1423 402
+rect 946 371 1423 399
+rect 562 308 590 356
+rect 547 296 605 308
+rect 547 262 559 296
+rect 593 262 605 296
+rect 547 250 605 262
+rect 850 227 878 356
+rect 835 215 893 227
+rect 835 212 847 215
+rect 370 184 847 212
+rect 370 146 398 184
+rect 835 181 847 184
+rect 881 181 893 215
+rect 835 169 893 181
+rect 946 146 974 371
+rect 1411 368 1423 371
+rect 1457 368 1469 402
+rect 1411 356 1469 368
+rect 1522 399 1550 439
+rect 1987 402 2045 414
+rect 1987 399 1999 402
+rect 1522 371 1999 399
+rect 1123 323 1181 335
+rect 1123 289 1135 323
+rect 1169 289 1181 323
+rect 1123 277 1181 289
+rect 1138 227 1166 277
+rect 1426 227 1454 356
+rect 1123 215 1181 227
+rect 1123 181 1135 215
+rect 1169 181 1181 215
+rect 1123 169 1181 181
+rect 1411 215 1469 227
+rect 1411 181 1423 215
+rect 1457 181 1469 215
+rect 1411 169 1469 181
+rect 1522 146 1550 371
+rect 1987 368 1999 371
+rect 2033 399 2045 402
+rect 2275 402 2333 414
+rect 2275 399 2287 402
+rect 2033 371 2287 399
+rect 2033 368 2045 371
+rect 1987 356 2045 368
+rect 2275 368 2287 371
+rect 2321 368 2333 402
+rect 2275 356 2333 368
+rect 1699 323 1757 335
+rect 1699 289 1711 323
+rect 1745 289 1757 323
+rect 1699 277 1757 289
+rect 1714 227 1742 277
+rect 2002 227 2030 356
+rect 2290 227 2318 356
+rect 1699 215 1757 227
+rect 1699 181 1711 215
+rect 1745 181 1757 215
+rect 1699 169 1757 181
+rect 1987 215 2045 227
+rect 1987 181 1999 215
+rect 2033 181 2045 215
+rect 1987 169 2045 181
+rect 2275 215 2333 227
+rect 2275 181 2287 215
+rect 2321 181 2333 215
+rect 2275 169 2333 181
+rect 355 134 413 146
+rect 115 102 173 114
+rect 115 68 127 102
+rect 161 68 173 102
+rect 355 100 367 134
+rect 401 100 413 134
+rect 931 134 989 146
+rect 355 88 413 100
+rect 643 102 701 114
+rect 115 48 173 68
+rect 643 68 655 102
+rect 689 68 701 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 1507 134 1565 146
+rect 931 88 989 100
+rect 1219 102 1277 114
+rect 643 48 701 68
+rect 1219 68 1231 102
+rect 1265 68 1277 102
+rect 1507 100 1519 134
+rect 1553 100 1565 134
+rect 2083 134 2141 146
+rect 1507 88 1565 100
+rect 1795 102 1853 114
+rect 1219 48 1277 68
+rect 1795 68 1807 102
+rect 1841 68 1853 102
+rect 2083 100 2095 134
+rect 2129 100 2141 134
+rect 2083 88 2141 100
+rect 2371 102 2429 114
+rect 1795 48 1853 68
+rect 2371 68 2383 102
+rect 2417 68 2429 102
+rect 2371 48 2429 68
+rect 0 17 2592 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2239 17
+rect 2273 -17 2335 17
+rect 2369 -17 2431 17
+rect 2465 -17 2527 17
+rect 2561 -17 2592 17
+rect 0 -48 2592 -17
+<< labels >>
+rlabel locali 0 618 2592 714 0 VDD
+port 1 se
+rlabel metal1 0 618 2592 714 0 VDD
+port 2 se
+rlabel locali 0 -48 2592 48 0 GND
+port 3 se
+rlabel metal1 0 -48 2592 48 0 GND
+port 4 se
+rlabel metal1 2083 88 2141 146 0 Y
+port 5 se
+rlabel metal1 259 169 317 227 0 A
+port 6 se
+rlabel metal1 547 250 605 308 0 A
+port 7 se
+rlabel metal1 274 227 302 356 0 A
+port 8 se
+rlabel metal1 562 308 590 356 0 A
+port 9 se
+rlabel metal1 259 356 317 414 0 A
+port 10 se
+rlabel metal1 547 356 605 414 0 A
+port 11 se
+rlabel metal1 274 414 302 535 0 A
+port 12 se
+rlabel metal1 562 414 590 535 0 A
+port 13 se
+rlabel metal1 274 535 590 563 0 A
+port 14 se
+<< properties >>
+string FIXED_BBOX 0 0 2592 666
+<< end >>
diff --git a/cells/mag/INV.lef b/cells/mag/INV.lef
new file mode 100644
index 0000000..d0194d2
--- /dev/null
+++ b/cells/mag/INV.lef
@@ -0,0 +1,98 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INV
+  CLASS CORE ;
+  FOREIGN INV ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 2.880 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.331800 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 2.725 0.240 ;
+        RECT 0.000 -0.085 2.880 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 0.663600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 2.880 3.415 ;
+        RECT 0.155 3.090 2.725 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 2.880 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END INV
+END LIBRARY
+
diff --git a/cells/mag/INV.mag b/cells/mag/INV.mag
new file mode 100644
index 0000000..9bff3f1
--- /dev/null
+++ b/cells/mag/INV.mag
@@ -0,0 +1,191 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953863
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 576 666
+<< nmos >>
+rect 273 48 303 132
+<< pmos >>
+rect 273 450 303 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 461 132
+rect 303 68 367 102
+rect 401 68 461 102
+rect 303 48 461 68
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 461 618
+rect 303 564 367 598
+rect 401 564 461 598
+rect 303 450 461 564
+rect 115 439 173 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+<< poly >>
+rect 273 618 303 644
+rect 273 418 303 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 273 132 303 165
+rect 273 22 303 48
+<< polycont >>
+rect 271 368 305 402
+rect 271 181 305 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 576 683
+rect 31 618 545 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 351 48 417 68
+rect 31 17 545 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 576 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 367 564 401 598
+rect 127 451 161 485
+rect 271 368 305 402
+rect 271 181 305 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+<< metal1 >>
+rect 0 683 576 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 576 683
+rect 0 618 576 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 115 439 173 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 274 227 302 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 355 48 413 68
+rect 0 17 576 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 576 17
+rect 0 -48 576 -17
+<< labels >>
+rlabel metal1 0 618 576 714 0 VPWR
+port 2 se
+rlabel metal1 0 618 576 714 0 VPWR
+port 2 se
+rlabel metal1 0 -48 576 48 0 VGND
+port 1 se
+rlabel metal1 0 -48 576 48 0 VGND
+port 1 se
+rlabel metal1 115 88 173 146 0 Y
+port 3 se
+rlabel metal1 130 146 158 439 0 Y
+port 3 se
+rlabel metal1 115 439 173 497 0 Y
+port 3 se
+rlabel metal1 259 169 317 227 0 A
+port 0 se
+rlabel metal1 274 227 302 356 0 A
+port 0 se
+rlabel metal1 259 356 317 414 0 A
+port 0 se
+rlabel locali 0 -17 576 17 4 VGND
+port 1 se ground default abutment
+rlabel locali 31 17 545 48 4 VGND
+port 1 se ground default abutment
+rlabel locali 0 649 576 683 4 VPWR
+port 2 se power default abutment
+rlabel locali 31 618 545 649 4 VGND
+port 1 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 576 666
+<< end >>
diff --git a/cells/mag/INV.mag.beforemagic b/cells/mag/INV.mag.beforemagic
new file mode 100644
index 0000000..4c9a00f
--- /dev/null
+++ b/cells/mag/INV.mag.beforemagic
@@ -0,0 +1,104 @@
+magic
+# Generated by librecell
+tech sky130A
+magscale 1 2
+timestamp 1624753132
+<< nwell >>
+rect 0 358 576 666
+<< viali >>
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 367 68 401 102
+rect 127 100 161 134
+rect 271 181 305 215
+rect 271 368 305 402
+rect 127 451 161 485
+rect 367 564 401 598
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+<< poly >>
+rect 273 22 303 165
+rect 255 165 321 231
+rect 255 352 321 418
+rect 273 418 303 644
+<< properties >>
+string FIXED_BBOX 0 0 576 666
+<< li1 >>
+rect 0 -48 576 48
+rect 351 48 417 118
+rect 111 84 177 150
+rect 255 165 321 231
+rect 255 352 321 418
+rect 111 435 177 501
+rect 351 548 417 618
+rect 0 618 576 714
+<< met1 >>
+rect 0 -48 576 48
+rect 355 48 413 114
+rect 259 169 317 227
+rect 274 227 302 356
+rect 259 356 317 414
+rect 115 88 173 146
+rect 130 146 158 439
+rect 115 439 173 497
+rect 355 552 413 618
+rect 0 618 576 714
+<< li1 >>
+<< met1 >>
+<< li1 >>
+<< met1 >>
+rect 0 -48 576 48
+rect 259 169 317 227
+rect 274 227 302 356
+rect 259 356 317 414
+rect 115 88 173 146
+rect 130 146 158 439
+rect 115 439 173 497
+rect 0 618 576 714
+<< ndiffusion >>
+rect 115 48 461 132
+rect 115 132 173 146
+<< pdiffusion >>
+rect 115 439 173 450
+rect 115 450 461 618
+<< polycont >>
+rect 271 181 305 215
+rect 271 368 305 402
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+<< ndiffc >>
+rect 367 68 401 102
+rect 127 100 161 134
+<< allnactivetap >>
+<< allpactivetap >>
+<< labels >>
+rlabel li1 0 618 576 714 0 VDD
+port 1 se
+rlabel met1 0 618 576 714 0 VDD
+port 2 se
+rlabel li1 0 -48 576 48 0 GND
+port 3 se
+rlabel met1 0 -48 576 48 0 GND
+port 4 se
+rlabel met1 115 88 173 146 0 Y
+port 5 se
+rlabel met1 130 146 158 439 0 Y
+port 6 se
+rlabel met1 115 439 173 497 0 Y
+port 7 se
+rlabel met1 259 169 317 227 0 A
+port 8 se
+rlabel met1 274 227 302 356 0 A
+port 9 se
+rlabel met1 259 356 317 414 0 A
+port 10 se
+<< end >>
diff --git a/cells/mag/INVX1.lef b/cells/mag/INVX1.lef
new file mode 100644
index 0000000..49571c6
--- /dev/null
+++ b/cells/mag/INVX1.lef
@@ -0,0 +1,98 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX1
+  CLASS CORE ;
+  FOREIGN INVX1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 2.880 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.331800 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 2.725 0.240 ;
+        RECT 0.000 -0.085 2.880 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 0.663600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 2.880 3.415 ;
+        RECT 0.155 3.090 2.725 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 2.880 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END INVX1
+END LIBRARY
+
diff --git a/cells/mag/INVX1.mag b/cells/mag/INVX1.mag
new file mode 100644
index 0000000..9425b6a
--- /dev/null
+++ b/cells/mag/INVX1.mag
@@ -0,0 +1,191 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953864
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 576 666
+<< nmos >>
+rect 273 48 303 132
+<< pmos >>
+rect 273 450 303 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 461 132
+rect 303 68 367 102
+rect 401 68 461 102
+rect 303 48 461 68
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 461 618
+rect 303 564 367 598
+rect 401 564 461 598
+rect 303 450 461 564
+rect 115 439 173 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+<< poly >>
+rect 273 618 303 644
+rect 273 418 303 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 273 132 303 165
+rect 273 22 303 48
+<< polycont >>
+rect 271 368 305 402
+rect 271 181 305 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 576 683
+rect 31 618 545 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 351 48 417 68
+rect 31 17 545 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 576 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 367 564 401 598
+rect 127 451 161 485
+rect 271 368 305 402
+rect 271 181 305 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+<< metal1 >>
+rect 0 683 576 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 576 683
+rect 0 618 576 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 115 439 173 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 274 227 302 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 355 48 413 68
+rect 0 17 576 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 576 17
+rect 0 -48 576 -17
+<< labels >>
+rlabel metal1 0 618 576 714 0 VPWR
+port 2 se
+rlabel metal1 0 618 576 714 0 VPWR
+port 2 se
+rlabel metal1 0 -48 576 48 0 VGND
+port 1 se
+rlabel metal1 0 -48 576 48 0 VGND
+port 1 se
+rlabel metal1 115 88 173 146 0 Y
+port 3 se
+rlabel metal1 130 146 158 439 0 Y
+port 3 se
+rlabel metal1 115 439 173 497 0 Y
+port 3 se
+rlabel metal1 259 169 317 227 0 A
+port 0 se
+rlabel metal1 274 227 302 356 0 A
+port 0 se
+rlabel metal1 259 356 317 414 0 A
+port 0 se
+rlabel locali 0 -17 576 17 4 VGND
+port 1 se ground default abutment
+rlabel locali 31 17 545 48 4 VGND
+port 1 se ground default abutment
+rlabel locali 0 649 576 683 4 VPWR
+port 2 se power default abutment
+rlabel locali 31 618 545 649 4 VGND
+port 1 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 576 666
+<< end >>
diff --git a/cells/mag/INVX1.mag.beforemagic b/cells/mag/INVX1.mag.beforemagic
new file mode 100644
index 0000000..bb7f05e
--- /dev/null
+++ b/cells/mag/INVX1.mag.beforemagic
@@ -0,0 +1,104 @@
+magic
+# Generated by librecell
+tech sky130A
+magscale 1 2
+timestamp 1624753163
+<< nwell >>
+rect 0 358 576 666
+<< viali >>
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 367 68 401 102
+rect 127 100 161 134
+rect 271 181 305 215
+rect 271 368 305 402
+rect 127 451 161 485
+rect 367 564 401 598
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+<< poly >>
+rect 273 22 303 165
+rect 255 165 321 231
+rect 255 352 321 418
+rect 273 418 303 644
+<< properties >>
+string FIXED_BBOX 0 0 576 666
+<< li1 >>
+rect 0 -48 576 48
+rect 351 48 417 118
+rect 111 84 177 150
+rect 255 165 321 231
+rect 255 352 321 418
+rect 111 435 177 501
+rect 351 548 417 618
+rect 0 618 576 714
+<< met1 >>
+rect 0 -48 576 48
+rect 355 48 413 114
+rect 259 169 317 227
+rect 274 227 302 356
+rect 259 356 317 414
+rect 115 88 173 146
+rect 130 146 158 439
+rect 115 439 173 497
+rect 355 552 413 618
+rect 0 618 576 714
+<< li1 >>
+<< met1 >>
+<< li1 >>
+<< met1 >>
+rect 0 -48 576 48
+rect 259 169 317 227
+rect 274 227 302 356
+rect 259 356 317 414
+rect 115 88 173 146
+rect 130 146 158 439
+rect 115 439 173 497
+rect 0 618 576 714
+<< ndiffusion >>
+rect 115 48 461 132
+rect 115 132 173 146
+<< pdiffusion >>
+rect 115 439 173 450
+rect 115 450 461 618
+<< polycont >>
+rect 271 181 305 215
+rect 271 368 305 402
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+<< ndiffc >>
+rect 367 68 401 102
+rect 127 100 161 134
+<< allnactivetap >>
+<< allpactivetap >>
+<< labels >>
+rlabel li1 0 618 576 714 0 VDD
+port 1 se
+rlabel met1 0 618 576 714 0 VDD
+port 2 se
+rlabel li1 0 -48 576 48 0 GND
+port 3 se
+rlabel met1 0 -48 576 48 0 GND
+port 4 se
+rlabel met1 115 88 173 146 0 Y
+port 5 se
+rlabel met1 130 146 158 439 0 Y
+port 6 se
+rlabel met1 115 439 173 497 0 Y
+port 7 se
+rlabel met1 259 169 317 227 0 A
+port 8 se
+rlabel met1 274 227 302 356 0 A
+port 9 se
+rlabel met1 259 356 317 414 0 A
+port 10 se
+<< end >>
diff --git a/cells/mag/INVX2.lef b/cells/mag/INVX2.lef
new file mode 100644
index 0000000..49f7723
--- /dev/null
+++ b/cells/mag/INVX2.lef
@@ -0,0 +1,98 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX2
+  CLASS CORE ;
+  FOREIGN INVX2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 2.880 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.331800 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 2.725 0.240 ;
+        RECT 0.000 -0.085 2.880 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 0.663600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 2.880 3.415 ;
+        RECT 0.155 3.090 2.725 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 2.880 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END INVX2
+END LIBRARY
+
diff --git a/cells/mag/INVX2.mag b/cells/mag/INVX2.mag
new file mode 100644
index 0000000..cbac091
--- /dev/null
+++ b/cells/mag/INVX2.mag
@@ -0,0 +1,191 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953865
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 576 666
+<< nmos >>
+rect 273 48 303 132
+<< pmos >>
+rect 273 450 303 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 461 132
+rect 303 68 367 102
+rect 401 68 461 102
+rect 303 48 461 68
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 461 618
+rect 303 564 367 598
+rect 401 564 461 598
+rect 303 450 461 564
+rect 115 439 173 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+<< poly >>
+rect 273 618 303 644
+rect 273 418 303 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 273 132 303 165
+rect 273 22 303 48
+<< polycont >>
+rect 271 368 305 402
+rect 271 181 305 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 576 683
+rect 31 618 545 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 351 48 417 68
+rect 31 17 545 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 576 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 367 564 401 598
+rect 127 451 161 485
+rect 271 368 305 402
+rect 271 181 305 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+<< metal1 >>
+rect 0 683 576 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 576 683
+rect 0 618 576 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 115 439 173 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 274 227 302 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 355 48 413 68
+rect 0 17 576 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 576 17
+rect 0 -48 576 -17
+<< labels >>
+rlabel metal1 0 618 576 714 0 VPWR
+port 2 se
+rlabel metal1 0 618 576 714 0 VPWR
+port 2 se
+rlabel metal1 0 -48 576 48 0 VGND
+port 1 se
+rlabel metal1 0 -48 576 48 0 VGND
+port 1 se
+rlabel metal1 115 88 173 146 0 Y
+port 3 se
+rlabel metal1 130 146 158 439 0 Y
+port 3 se
+rlabel metal1 115 439 173 497 0 Y
+port 3 se
+rlabel metal1 259 169 317 227 0 A
+port 0 se
+rlabel metal1 274 227 302 356 0 A
+port 0 se
+rlabel metal1 259 356 317 414 0 A
+port 0 se
+rlabel locali 0 -17 576 17 4 VGND
+port 1 se ground default abutment
+rlabel locali 31 17 545 48 4 VGND
+port 1 se ground default abutment
+rlabel locali 0 649 576 683 4 VPWR
+port 2 se power default abutment
+rlabel locali 31 618 545 649 4 VGND
+port 1 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 576 666
+<< end >>
diff --git a/cells/mag/INVX2.mag.beforemagic b/cells/mag/INVX2.mag.beforemagic
new file mode 100644
index 0000000..8c0f0f8
--- /dev/null
+++ b/cells/mag/INVX2.mag.beforemagic
@@ -0,0 +1,104 @@
+magic
+# Generated by librecell
+tech sky130A
+magscale 1 2
+timestamp 1624753191
+<< nwell >>
+rect 0 358 576 666
+<< viali >>
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 367 68 401 102
+rect 127 100 161 134
+rect 271 181 305 215
+rect 271 368 305 402
+rect 127 451 161 485
+rect 367 564 401 598
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+<< poly >>
+rect 273 22 303 165
+rect 255 165 321 231
+rect 255 352 321 418
+rect 273 418 303 644
+<< properties >>
+string FIXED_BBOX 0 0 576 666
+<< li1 >>
+rect 0 -48 576 48
+rect 351 48 417 118
+rect 111 84 177 150
+rect 255 165 321 231
+rect 255 352 321 418
+rect 111 435 177 501
+rect 351 548 417 618
+rect 0 618 576 714
+<< met1 >>
+rect 0 -48 576 48
+rect 355 48 413 114
+rect 259 169 317 227
+rect 274 227 302 356
+rect 259 356 317 414
+rect 115 88 173 146
+rect 130 146 158 439
+rect 115 439 173 497
+rect 355 552 413 618
+rect 0 618 576 714
+<< li1 >>
+<< met1 >>
+<< li1 >>
+<< met1 >>
+rect 0 -48 576 48
+rect 259 169 317 227
+rect 274 227 302 356
+rect 259 356 317 414
+rect 115 88 173 146
+rect 130 146 158 439
+rect 115 439 173 497
+rect 0 618 576 714
+<< ndiffusion >>
+rect 115 48 461 132
+rect 115 132 173 146
+<< pdiffusion >>
+rect 115 439 173 450
+rect 115 450 461 618
+<< polycont >>
+rect 271 181 305 215
+rect 271 368 305 402
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+<< ndiffc >>
+rect 367 68 401 102
+rect 127 100 161 134
+<< allnactivetap >>
+<< allpactivetap >>
+<< labels >>
+rlabel li1 0 618 576 714 0 VDD
+port 1 se
+rlabel met1 0 618 576 714 0 VDD
+port 2 se
+rlabel li1 0 -48 576 48 0 GND
+port 3 se
+rlabel met1 0 -48 576 48 0 GND
+port 4 se
+rlabel met1 115 88 173 146 0 Y
+port 5 se
+rlabel met1 130 146 158 439 0 Y
+port 6 se
+rlabel met1 115 439 173 497 0 Y
+port 7 se
+rlabel met1 259 169 317 227 0 A
+port 8 se
+rlabel met1 274 227 302 356 0 A
+port 9 se
+rlabel met1 259 356 317 414 0 A
+port 10 se
+<< end >>
diff --git a/cells/mag/INVX4.lef b/cells/mag/INVX4.lef
new file mode 100644
index 0000000..be0eef9
--- /dev/null
+++ b/cells/mag/INVX4.lef
@@ -0,0 +1,142 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX4
+  CLASS CORE ;
+  FOREIGN INVX4 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 4.320 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.995 1.585 2.070 ;
+        RECT 2.735 1.995 3.025 2.070 ;
+        RECT 1.295 1.855 3.025 1.995 ;
+        RECT 1.295 1.780 1.585 1.855 ;
+        RECT 2.735 1.780 3.025 1.855 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 0.920 3.045 1.155 ;
+        RECT 2.715 0.825 3.025 0.920 ;
+      LAYER mcon ;
+        RECT 2.795 0.905 2.965 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 2.005 3.025 2.090 ;
+        RECT 2.715 1.760 3.045 2.005 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 4.165 0.240 ;
+        RECT 0.000 -0.085 4.320 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 4.320 3.415 ;
+        RECT 0.155 3.090 4.165 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 2.063300 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.410 0.865 2.485 ;
+        RECT 3.215 2.410 3.505 2.485 ;
+        RECT 0.575 2.270 3.505 2.410 ;
+        RECT 0.575 2.195 0.865 2.270 ;
+        RECT 3.215 2.195 3.505 2.270 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 3.290 0.730 3.430 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+        RECT 3.215 0.440 3.505 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 0.420 3.525 0.750 ;
+      LAYER mcon ;
+        RECT 3.275 0.500 3.445 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 2.175 3.525 2.505 ;
+      LAYER mcon ;
+        RECT 3.275 2.255 3.445 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 4.320 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END INVX4
+END LIBRARY
+
diff --git a/cells/mag/INVX4.mag b/cells/mag/INVX4.mag
new file mode 100644
index 0000000..3d70480
--- /dev/null
+++ b/cells/mag/INVX4.mag
@@ -0,0 +1,308 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953866
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 864 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 643 134 701 146
+rect 643 132 655 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 100 655 132
+rect 689 132 701 134
+rect 689 100 749 132
+rect 591 48 749 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 749 618
+rect 591 451 655 485
+rect 689 451 749 485
+rect 591 450 749 451
+rect 115 439 173 450
+rect 643 439 701 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 655 100 689 134
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 655 451 689 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 273 22 303 48
+rect 561 22 591 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 31 618 833 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 639 485 705 501
+rect 639 451 655 485
+rect 689 451 705 485
+rect 639 435 705 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 605 418
+rect 543 368 559 402
+rect 593 401 605 402
+rect 593 368 609 401
+rect 543 352 609 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 184 609 215
+rect 593 181 605 184
+rect 543 165 605 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 639 134 705 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 639 100 655 134
+rect 689 100 705 134
+rect 639 84 705 100
+rect 351 48 417 68
+rect 31 17 833 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 367 564 401 598
+rect 127 451 161 485
+rect 655 451 689 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 655 100 689 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+<< metal1 >>
+rect 0 683 864 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 0 618 864 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 482 173 485
+rect 643 485 701 497
+rect 643 482 655 485
+rect 161 454 655 482
+rect 161 451 173 454
+rect 115 439 173 451
+rect 643 451 655 454
+rect 689 451 701 485
+rect 643 439 701 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 399 317 402
+rect 547 402 605 414
+rect 547 399 559 402
+rect 305 371 559 399
+rect 305 368 317 371
+rect 259 356 317 368
+rect 547 368 559 371
+rect 593 368 605 402
+rect 547 356 605 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 658 146 686 439
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 643 134 701 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 643 100 655 134
+rect 689 100 701 134
+rect 643 88 701 100
+rect 355 48 413 68
+rect 0 17 864 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+rect 0 -48 864 -17
+<< labels >>
+rlabel metal1 0 618 864 714 0 VPWR
+port 2 se
+rlabel metal1 0 618 864 714 0 VPWR
+port 2 se
+rlabel metal1 0 -48 864 48 0 VGND
+port 1 se
+rlabel metal1 0 -48 864 48 0 VGND
+port 1 se
+rlabel metal1 115 88 173 146 0 Y
+port 3 se
+rlabel metal1 643 88 701 146 0 Y
+port 3 se
+rlabel metal1 130 146 158 439 0 Y
+port 3 se
+rlabel metal1 658 146 686 439 0 Y
+port 3 se
+rlabel metal1 115 439 173 454 0 Y
+port 3 se
+rlabel metal1 643 439 701 454 0 Y
+port 3 se
+rlabel metal1 115 454 701 482 0 Y
+port 3 se
+rlabel metal1 115 482 173 497 0 Y
+port 3 se
+rlabel metal1 643 482 701 497 0 Y
+port 3 se
+rlabel metal1 259 169 317 227 0 A
+port 0 se
+rlabel metal1 547 169 605 227 0 A
+port 0 se
+rlabel metal1 274 227 302 356 0 A
+port 0 se
+rlabel metal1 562 227 590 356 0 A
+port 0 se
+rlabel metal1 259 356 317 371 0 A
+port 0 se
+rlabel metal1 547 356 605 371 0 A
+port 0 se
+rlabel metal1 259 371 605 399 0 A
+port 0 se
+rlabel metal1 259 399 317 414 0 A
+port 0 se
+rlabel metal1 547 399 605 414 0 A
+port 0 se
+rlabel locali 0 -17 864 17 4 VGND
+port 1 se ground default abutment
+rlabel locali 31 17 833 48 4 VGND
+port 1 se ground default abutment
+rlabel locali 0 649 864 683 4 VPWR
+port 2 se power default abutment
+rlabel locali 31 618 833 649 4 VGND
+port 1 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 864 666
+<< end >>
diff --git a/cells/mag/INVX4.mag.beforemagic b/cells/mag/INVX4.mag.beforemagic
new file mode 100644
index 0000000..4ef0a02
--- /dev/null
+++ b/cells/mag/INVX4.mag.beforemagic
@@ -0,0 +1,300 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624753286
+<< nwell >>
+rect 0 358 864 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 643 134 701 146
+rect 643 132 655 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 100 655 132
+rect 689 132 701 134
+rect 689 100 749 132
+rect 591 48 749 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 749 618
+rect 591 451 655 485
+rect 689 451 749 485
+rect 591 450 749 451
+rect 115 439 173 450
+rect 643 439 701 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 655 100 689 134
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 655 451 689 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 273 22 303 48
+rect 561 22 591 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+<< locali >>
+rect 0 683 864 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 0 618 864 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 639 485 705 501
+rect 639 451 655 485
+rect 689 451 705 485
+rect 639 435 705 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 605 418
+rect 543 368 559 402
+rect 593 401 605 402
+rect 593 368 609 401
+rect 543 352 609 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 184 609 215
+rect 593 181 605 184
+rect 543 165 605 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 639 134 705 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 639 100 655 134
+rect 689 100 705 134
+rect 639 84 705 100
+rect 351 48 417 68
+rect 0 17 864 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+rect 0 -48 864 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 367 564 401 598
+rect 127 451 161 485
+rect 655 451 689 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 655 100 689 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+<< metal1 >>
+rect 0 683 864 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 0 618 864 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 482 173 485
+rect 643 485 701 497
+rect 643 482 655 485
+rect 161 454 655 482
+rect 161 451 173 454
+rect 115 439 173 451
+rect 643 451 655 454
+rect 689 451 701 485
+rect 643 439 701 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 399 317 402
+rect 547 402 605 414
+rect 547 399 559 402
+rect 305 371 559 399
+rect 305 368 317 371
+rect 259 356 317 368
+rect 547 368 559 371
+rect 593 368 605 402
+rect 547 356 605 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 658 146 686 439
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 643 134 701 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 643 100 655 134
+rect 689 100 701 134
+rect 643 88 701 100
+rect 355 48 413 68
+rect 0 17 864 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+rect 0 -48 864 -17
+<< labels >>
+rlabel locali 0 618 864 714 0 VDD
+port 1 se
+rlabel metal1 0 618 864 714 0 VDD
+port 2 se
+rlabel locali 0 -48 864 48 0 GND
+port 3 se
+rlabel metal1 0 -48 864 48 0 GND
+port 4 se
+rlabel metal1 115 88 173 146 0 Y
+port 5 se
+rlabel metal1 643 88 701 146 0 Y
+port 6 se
+rlabel metal1 130 146 158 439 0 Y
+port 7 se
+rlabel metal1 658 146 686 439 0 Y
+port 8 se
+rlabel metal1 115 439 173 454 0 Y
+port 9 se
+rlabel metal1 643 439 701 454 0 Y
+port 10 se
+rlabel metal1 115 454 701 482 0 Y
+port 11 se
+rlabel metal1 115 482 173 497 0 Y
+port 12 se
+rlabel metal1 643 482 701 497 0 Y
+port 13 se
+rlabel metal1 259 169 317 227 0 A
+port 14 se
+rlabel metal1 547 169 605 227 0 A
+port 15 se
+rlabel metal1 274 227 302 356 0 A
+port 16 se
+rlabel metal1 562 227 590 356 0 A
+port 17 se
+rlabel metal1 259 356 317 371 0 A
+port 18 se
+rlabel metal1 547 356 605 371 0 A
+port 19 se
+rlabel metal1 259 371 605 399 0 A
+port 20 se
+rlabel metal1 259 399 317 414 0 A
+port 21 se
+rlabel metal1 547 399 605 414 0 A
+port 22 se
+<< properties >>
+string FIXED_BBOX 0 0 864 666
+<< end >>
diff --git a/cells/mag/INVX8.lef b/cells/mag/INVX8.lef
new file mode 100644
index 0000000..f50dc35
--- /dev/null
+++ b/cells/mag/INVX8.lef
@@ -0,0 +1,216 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX8
+  CLASS CORE ;
+  FOREIGN INVX8 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 7.200 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.756000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 5.615 1.780 5.905 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 5.690 1.135 5.830 1.780 ;
+        RECT 1.295 1.060 1.585 1.135 ;
+        RECT 2.735 1.060 3.025 1.135 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 5.615 1.060 5.905 1.135 ;
+        RECT 1.295 0.920 5.905 1.060 ;
+        RECT 1.295 0.845 1.585 0.920 ;
+        RECT 2.735 0.845 3.025 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 5.615 0.845 5.905 0.920 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+      LAYER mcon ;
+        RECT 2.795 0.905 2.965 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.175 0.825 4.485 0.920 ;
+      LAYER mcon ;
+        RECT 4.235 0.905 4.405 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.595 0.825 5.925 1.155 ;
+      LAYER mcon ;
+        RECT 5.675 0.905 5.845 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.295 2.005 1.605 2.090 ;
+        RECT 1.275 1.760 1.605 2.005 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+      LAYER mcon ;
+        RECT 4.235 1.840 4.405 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.595 1.760 5.925 2.090 ;
+      LAYER mcon ;
+        RECT 5.675 1.840 5.845 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 7.200 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 4.635 0.240 4.965 0.590 ;
+        RECT 0.155 0.085 7.045 0.240 ;
+        RECT 0.000 -0.085 7.200 0.085 ;
+      LAYER mcon ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 7.200 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+        RECT 4.655 2.760 4.945 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 2.167200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 7.200 3.415 ;
+        RECT 0.155 3.090 7.045 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 4.635 2.740 4.965 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+        RECT 4.715 2.820 4.885 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 3.724950 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.815 2.410 1.105 2.485 ;
+        RECT 3.695 2.410 3.985 2.485 ;
+        RECT 6.095 2.410 6.385 2.485 ;
+        RECT 0.815 2.270 6.385 2.410 ;
+        RECT 0.815 2.195 1.105 2.270 ;
+        RECT 3.695 2.195 3.985 2.270 ;
+        RECT 6.095 2.195 6.385 2.270 ;
+        RECT 0.890 0.730 1.030 2.195 ;
+        RECT 6.170 0.730 6.310 2.195 ;
+        RECT 0.815 0.440 1.105 0.730 ;
+        RECT 3.695 0.655 3.985 0.730 ;
+        RECT 6.095 0.655 6.385 0.730 ;
+        RECT 3.695 0.515 6.385 0.655 ;
+        RECT 3.695 0.440 3.985 0.515 ;
+        RECT 6.095 0.440 6.385 0.515 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.095 0.655 6.405 0.750 ;
+        RECT 6.075 0.420 6.405 0.655 ;
+      LAYER mcon ;
+        RECT 6.155 0.500 6.325 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.675 0.420 4.005 0.750 ;
+      LAYER mcon ;
+        RECT 3.755 0.500 3.925 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.795 0.655 1.105 0.750 ;
+        RECT 0.795 0.420 1.125 0.655 ;
+      LAYER mcon ;
+        RECT 0.875 0.500 1.045 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.795 2.175 1.125 2.505 ;
+      LAYER mcon ;
+        RECT 0.875 2.255 1.045 2.425 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.675 2.260 4.005 2.505 ;
+        RECT 3.675 2.175 3.985 2.260 ;
+      LAYER mcon ;
+        RECT 3.755 2.255 3.925 2.425 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.075 2.260 6.405 2.505 ;
+        RECT 6.095 2.175 6.405 2.260 ;
+      LAYER mcon ;
+        RECT 6.155 2.255 6.325 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 7.200 3.330 ;
+  END
+END INVX8
+END LIBRARY
+
diff --git a/cells/mag/INVX8.mag b/cells/mag/INVX8.mag
new file mode 100644
index 0000000..2fae17a
--- /dev/null
+++ b/cells/mag/INVX8.mag
@@ -0,0 +1,508 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953867
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 1440 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+<< ndiff >>
+rect 163 134 221 146
+rect 163 132 175 134
+rect 115 100 175 132
+rect 209 132 221 134
+rect 739 134 797 146
+rect 739 132 751 134
+rect 209 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 100 751 132
+rect 785 132 797 134
+rect 1219 134 1277 146
+rect 1219 132 1231 134
+rect 785 100 849 132
+rect 591 48 849 100
+rect 879 102 1137 132
+rect 879 68 943 102
+rect 977 68 1137 102
+rect 879 48 1137 68
+rect 1167 100 1231 132
+rect 1265 132 1277 134
+rect 1265 100 1325 132
+rect 1167 48 1325 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 175 485
+rect 209 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 849 618
+rect 591 451 751 485
+rect 785 451 849 485
+rect 591 450 849 451
+rect 879 598 1137 618
+rect 879 564 943 598
+rect 977 564 1137 598
+rect 879 450 1137 564
+rect 1167 485 1325 618
+rect 1167 451 1231 485
+rect 1265 451 1325 485
+rect 1167 450 1325 451
+rect 163 439 221 450
+rect 739 439 797 450
+rect 1219 439 1277 450
+<< ndiffc >>
+rect 175 100 209 134
+rect 367 68 401 102
+rect 751 100 785 134
+rect 943 68 977 102
+rect 1231 100 1265 134
+<< pdiffc >>
+rect 175 451 209 485
+rect 367 564 401 598
+rect 751 451 785 485
+rect 943 564 977 598
+rect 1231 451 1265 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1440 683
+rect 31 618 1409 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 927 598 993 618
+rect 927 564 943 598
+rect 977 564 993 598
+rect 927 548 993 564
+rect 159 485 225 501
+rect 159 451 175 485
+rect 209 451 225 485
+rect 159 435 225 451
+rect 735 485 801 501
+rect 735 451 751 485
+rect 785 452 801 485
+rect 1215 485 1281 501
+rect 1215 452 1231 485
+rect 785 451 797 452
+rect 735 435 797 451
+rect 1219 451 1231 452
+rect 1265 451 1281 485
+rect 1219 435 1281 451
+rect 259 402 321 418
+rect 259 401 271 402
+rect 255 368 271 401
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 831 215 897 231
+rect 831 184 847 215
+rect 543 165 609 181
+rect 835 181 847 184
+rect 881 181 897 215
+rect 835 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 159 134 221 150
+rect 159 100 175 134
+rect 209 131 221 134
+rect 735 134 801 150
+rect 209 100 225 131
+rect 159 84 225 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 735 100 751 134
+rect 785 100 801 134
+rect 1219 134 1281 150
+rect 1219 131 1231 134
+rect 735 84 801 100
+rect 927 102 993 118
+rect 351 48 417 68
+rect 927 68 943 102
+rect 977 68 993 102
+rect 1215 100 1231 131
+rect 1265 100 1281 134
+rect 1215 84 1281 100
+rect 927 48 993 68
+rect 31 17 1409 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1440 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 367 564 401 598
+rect 943 564 977 598
+rect 175 451 209 485
+rect 751 451 785 485
+rect 1231 451 1265 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 175 100 209 134
+rect 751 100 785 134
+rect 1231 100 1265 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+<< metal1 >>
+rect 0 683 1440 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1440 683
+rect 0 618 1440 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 931 598 989 618
+rect 931 564 943 598
+rect 977 564 989 598
+rect 931 552 989 564
+rect 163 485 221 497
+rect 163 451 175 485
+rect 209 482 221 485
+rect 739 485 797 497
+rect 739 482 751 485
+rect 209 454 751 482
+rect 209 451 221 454
+rect 163 439 221 451
+rect 739 451 751 454
+rect 785 482 797 485
+rect 1219 485 1277 497
+rect 1219 482 1231 485
+rect 785 454 1231 482
+rect 785 451 797 454
+rect 739 439 797 451
+rect 1219 451 1231 454
+rect 1265 451 1277 485
+rect 1219 439 1277 451
+rect 178 146 206 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 1123 402 1181 414
+rect 1123 368 1135 402
+rect 1169 368 1181 402
+rect 1123 356 1181 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 850 227 878 356
+rect 1138 227 1166 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 212 317 215
+rect 547 215 605 227
+rect 547 212 559 215
+rect 305 184 559 212
+rect 305 181 317 184
+rect 259 169 317 181
+rect 547 181 559 184
+rect 593 212 605 215
+rect 835 215 893 227
+rect 835 212 847 215
+rect 593 184 847 212
+rect 593 181 605 184
+rect 547 169 605 181
+rect 835 181 847 184
+rect 881 212 893 215
+rect 1123 215 1181 227
+rect 1123 212 1135 215
+rect 881 184 1135 212
+rect 881 181 893 184
+rect 835 169 893 181
+rect 1123 181 1135 184
+rect 1169 181 1181 215
+rect 1123 169 1181 181
+rect 1234 146 1262 439
+rect 163 134 221 146
+rect 163 100 175 134
+rect 209 100 221 134
+rect 163 88 221 100
+rect 739 134 797 146
+rect 739 100 751 134
+rect 785 131 797 134
+rect 1219 134 1277 146
+rect 1219 131 1231 134
+rect 785 103 1231 131
+rect 785 100 797 103
+rect 739 88 797 100
+rect 1219 100 1231 103
+rect 1265 100 1277 134
+rect 1219 88 1277 100
+rect 0 17 1440 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1440 17
+rect 0 -48 1440 -17
+<< labels >>
+rlabel metal1 0 618 1440 714 0 VPWR
+port 2 se
+rlabel metal1 0 618 1440 714 0 VPWR
+port 2 se
+rlabel metal1 0 -48 1440 48 0 VGND
+port 1 se
+rlabel metal1 0 -48 1440 48 0 VGND
+port 1 se
+rlabel metal1 739 88 797 103 0 Y
+port 3 se
+rlabel metal1 1219 88 1277 103 0 Y
+port 3 se
+rlabel metal1 739 103 1277 131 0 Y
+port 3 se
+rlabel metal1 163 88 221 146 0 Y
+port 3 se
+rlabel metal1 739 131 797 146 0 Y
+port 3 se
+rlabel metal1 1219 131 1277 146 0 Y
+port 3 se
+rlabel metal1 178 146 206 439 0 Y
+port 3 se
+rlabel metal1 1234 146 1262 439 0 Y
+port 3 se
+rlabel metal1 163 439 221 454 0 Y
+port 3 se
+rlabel metal1 739 439 797 454 0 Y
+port 3 se
+rlabel metal1 1219 439 1277 454 0 Y
+port 3 se
+rlabel metal1 163 454 1277 482 0 Y
+port 3 se
+rlabel metal1 163 482 221 497 0 Y
+port 3 se
+rlabel metal1 739 482 797 497 0 Y
+port 3 se
+rlabel metal1 1219 482 1277 497 0 Y
+port 3 se
+rlabel metal1 259 169 317 184 0 A
+port 0 se
+rlabel metal1 547 169 605 184 0 A
+port 0 se
+rlabel metal1 835 169 893 184 0 A
+port 0 se
+rlabel metal1 1123 169 1181 184 0 A
+port 0 se
+rlabel metal1 259 184 1181 212 0 A
+port 0 se
+rlabel metal1 259 212 317 227 0 A
+port 0 se
+rlabel metal1 547 212 605 227 0 A
+port 0 se
+rlabel metal1 835 212 893 227 0 A
+port 0 se
+rlabel metal1 1123 212 1181 227 0 A
+port 0 se
+rlabel metal1 274 227 302 356 0 A
+port 0 se
+rlabel metal1 562 227 590 356 0 A
+port 0 se
+rlabel metal1 850 227 878 356 0 A
+port 0 se
+rlabel metal1 1138 227 1166 356 0 A
+port 0 se
+rlabel metal1 259 356 317 414 0 A
+port 0 se
+rlabel metal1 547 356 605 414 0 A
+port 0 se
+rlabel metal1 835 356 893 414 0 A
+port 0 se
+rlabel metal1 1123 356 1181 414 0 A
+port 0 se
+rlabel locali 0 -17 1440 17 4 VGND
+port 1 se ground default abutment
+rlabel locali 31 17 1409 48 4 VGND
+port 1 se ground default abutment
+rlabel locali 0 649 1440 683 4 VPWR
+port 2 se power default abutment
+rlabel locali 31 618 1409 649 4 VGND
+port 1 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 1440 666
+<< end >>
diff --git a/cells/mag/INVX8.mag.beforemagic b/cells/mag/INVX8.mag.beforemagic
new file mode 100644
index 0000000..e831bc1
--- /dev/null
+++ b/cells/mag/INVX8.mag.beforemagic
@@ -0,0 +1,500 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624753365
+<< nwell >>
+rect 0 358 1440 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+<< ndiff >>
+rect 163 134 221 146
+rect 163 132 175 134
+rect 115 100 175 132
+rect 209 132 221 134
+rect 739 134 797 146
+rect 739 132 751 134
+rect 209 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 100 751 132
+rect 785 132 797 134
+rect 1219 134 1277 146
+rect 1219 132 1231 134
+rect 785 100 849 132
+rect 591 48 849 100
+rect 879 102 1137 132
+rect 879 68 943 102
+rect 977 68 1137 102
+rect 879 48 1137 68
+rect 1167 100 1231 132
+rect 1265 132 1277 134
+rect 1265 100 1325 132
+rect 1167 48 1325 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 175 485
+rect 209 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 849 618
+rect 591 451 751 485
+rect 785 451 849 485
+rect 591 450 849 451
+rect 879 598 1137 618
+rect 879 564 943 598
+rect 977 564 1137 598
+rect 879 450 1137 564
+rect 1167 485 1325 618
+rect 1167 451 1231 485
+rect 1265 451 1325 485
+rect 1167 450 1325 451
+rect 163 439 221 450
+rect 739 439 797 450
+rect 1219 439 1277 450
+<< ndiffc >>
+rect 175 100 209 134
+rect 367 68 401 102
+rect 751 100 785 134
+rect 943 68 977 102
+rect 1231 100 1265 134
+<< pdiffc >>
+rect 175 451 209 485
+rect 367 564 401 598
+rect 751 451 785 485
+rect 943 564 977 598
+rect 1231 451 1265 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+<< locali >>
+rect 0 683 1440 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1440 683
+rect 0 618 1440 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 927 598 993 618
+rect 927 564 943 598
+rect 977 564 993 598
+rect 927 548 993 564
+rect 159 485 225 501
+rect 159 451 175 485
+rect 209 451 225 485
+rect 159 435 225 451
+rect 735 485 801 501
+rect 735 451 751 485
+rect 785 452 801 485
+rect 1215 485 1281 501
+rect 1215 452 1231 485
+rect 785 451 797 452
+rect 735 435 797 451
+rect 1219 451 1231 452
+rect 1265 451 1281 485
+rect 1219 435 1281 451
+rect 259 402 321 418
+rect 259 401 271 402
+rect 255 368 271 401
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 831 215 897 231
+rect 831 184 847 215
+rect 543 165 609 181
+rect 835 181 847 184
+rect 881 181 897 215
+rect 835 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 159 134 221 150
+rect 159 100 175 134
+rect 209 131 221 134
+rect 735 134 801 150
+rect 209 100 225 131
+rect 159 84 225 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 735 100 751 134
+rect 785 100 801 134
+rect 1219 134 1281 150
+rect 1219 131 1231 134
+rect 735 84 801 100
+rect 927 102 993 118
+rect 351 48 417 68
+rect 927 68 943 102
+rect 977 68 993 102
+rect 1215 100 1231 131
+rect 1265 100 1281 134
+rect 1215 84 1281 100
+rect 927 48 993 68
+rect 0 17 1440 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1440 17
+rect 0 -48 1440 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 367 564 401 598
+rect 943 564 977 598
+rect 175 451 209 485
+rect 751 451 785 485
+rect 1231 451 1265 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 175 100 209 134
+rect 751 100 785 134
+rect 1231 100 1265 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+<< metal1 >>
+rect 0 683 1440 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1440 683
+rect 0 618 1440 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 931 598 989 618
+rect 931 564 943 598
+rect 977 564 989 598
+rect 931 552 989 564
+rect 163 485 221 497
+rect 163 451 175 485
+rect 209 482 221 485
+rect 739 485 797 497
+rect 739 482 751 485
+rect 209 454 751 482
+rect 209 451 221 454
+rect 163 439 221 451
+rect 739 451 751 454
+rect 785 482 797 485
+rect 1219 485 1277 497
+rect 1219 482 1231 485
+rect 785 454 1231 482
+rect 785 451 797 454
+rect 739 439 797 451
+rect 1219 451 1231 454
+rect 1265 451 1277 485
+rect 1219 439 1277 451
+rect 178 146 206 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 1123 402 1181 414
+rect 1123 368 1135 402
+rect 1169 368 1181 402
+rect 1123 356 1181 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 850 227 878 356
+rect 1138 227 1166 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 212 317 215
+rect 547 215 605 227
+rect 547 212 559 215
+rect 305 184 559 212
+rect 305 181 317 184
+rect 259 169 317 181
+rect 547 181 559 184
+rect 593 212 605 215
+rect 835 215 893 227
+rect 835 212 847 215
+rect 593 184 847 212
+rect 593 181 605 184
+rect 547 169 605 181
+rect 835 181 847 184
+rect 881 212 893 215
+rect 1123 215 1181 227
+rect 1123 212 1135 215
+rect 881 184 1135 212
+rect 881 181 893 184
+rect 835 169 893 181
+rect 1123 181 1135 184
+rect 1169 181 1181 215
+rect 1123 169 1181 181
+rect 1234 146 1262 439
+rect 163 134 221 146
+rect 163 100 175 134
+rect 209 100 221 134
+rect 163 88 221 100
+rect 739 134 797 146
+rect 739 100 751 134
+rect 785 131 797 134
+rect 1219 134 1277 146
+rect 1219 131 1231 134
+rect 785 103 1231 131
+rect 785 100 797 103
+rect 739 88 797 100
+rect 1219 100 1231 103
+rect 1265 100 1277 134
+rect 1219 88 1277 100
+rect 0 17 1440 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1440 17
+rect 0 -48 1440 -17
+<< labels >>
+rlabel locali 0 618 1440 714 0 VDD
+port 1 se
+rlabel metal1 0 618 1440 714 0 VDD
+port 2 se
+rlabel locali 0 -48 1440 48 0 GND
+port 3 se
+rlabel metal1 0 -48 1440 48 0 GND
+port 4 se
+rlabel metal1 739 88 797 103 0 Y
+port 5 se
+rlabel metal1 1219 88 1277 103 0 Y
+port 6 se
+rlabel metal1 739 103 1277 131 0 Y
+port 7 se
+rlabel metal1 163 88 221 146 0 Y
+port 8 se
+rlabel metal1 739 131 797 146 0 Y
+port 9 se
+rlabel metal1 1219 131 1277 146 0 Y
+port 10 se
+rlabel metal1 178 146 206 439 0 Y
+port 11 se
+rlabel metal1 1234 146 1262 439 0 Y
+port 12 se
+rlabel metal1 163 439 221 454 0 Y
+port 13 se
+rlabel metal1 739 439 797 454 0 Y
+port 14 se
+rlabel metal1 1219 439 1277 454 0 Y
+port 15 se
+rlabel metal1 163 454 1277 482 0 Y
+port 16 se
+rlabel metal1 163 482 221 497 0 Y
+port 17 se
+rlabel metal1 739 482 797 497 0 Y
+port 18 se
+rlabel metal1 1219 482 1277 497 0 Y
+port 19 se
+rlabel metal1 259 169 317 184 0 A
+port 20 se
+rlabel metal1 547 169 605 184 0 A
+port 21 se
+rlabel metal1 835 169 893 184 0 A
+port 22 se
+rlabel metal1 1123 169 1181 184 0 A
+port 23 se
+rlabel metal1 259 184 1181 212 0 A
+port 24 se
+rlabel metal1 259 212 317 227 0 A
+port 25 se
+rlabel metal1 547 212 605 227 0 A
+port 26 se
+rlabel metal1 835 212 893 227 0 A
+port 27 se
+rlabel metal1 1123 212 1181 227 0 A
+port 28 se
+rlabel metal1 274 227 302 356 0 A
+port 29 se
+rlabel metal1 562 227 590 356 0 A
+port 30 se
+rlabel metal1 850 227 878 356 0 A
+port 31 se
+rlabel metal1 1138 227 1166 356 0 A
+port 32 se
+rlabel metal1 259 356 317 414 0 A
+port 33 se
+rlabel metal1 547 356 605 414 0 A
+port 34 se
+rlabel metal1 835 356 893 414 0 A
+port 35 se
+rlabel metal1 1123 356 1181 414 0 A
+port 36 se
+<< properties >>
+string FIXED_BBOX 0 0 1440 666
+<< end >>
diff --git a/cells/mag/MUX2X1.lef b/cells/mag/MUX2X1.lef
new file mode 100644
index 0000000..6cdfb49
--- /dev/null
+++ b/cells/mag/MUX2X1.lef
@@ -0,0 +1,211 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO MUX2X1
+  CLASS CORE ;
+  FOREIGN MUX2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 8.640 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.250 3.025 1.540 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 7.055 1.780 7.345 2.070 ;
+        RECT 7.130 1.135 7.270 1.780 ;
+        RECT 7.055 0.845 7.345 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.035 1.760 7.365 2.090 ;
+      LAYER mcon ;
+        RECT 7.115 1.840 7.285 2.010 ;
+    END
+  END B
+  PIN S
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 2.410 1.585 2.485 ;
+        RECT 1.295 2.270 4.870 2.410 ;
+        RECT 1.295 2.195 1.585 2.270 ;
+        RECT 4.730 1.995 4.870 2.270 ;
+        RECT 5.615 1.995 5.905 2.070 ;
+        RECT 4.730 1.855 5.905 1.995 ;
+        RECT 5.615 1.780 5.905 1.855 ;
+        RECT 1.295 1.385 1.585 1.675 ;
+        RECT 1.370 1.135 1.510 1.385 ;
+        RECT 1.295 1.060 1.585 1.135 ;
+        RECT 3.215 1.060 3.505 1.135 ;
+        RECT 1.295 0.920 3.505 1.060 ;
+        RECT 1.295 0.845 1.585 0.920 ;
+        RECT 3.215 0.845 3.505 0.920 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.075 4.485 1.155 ;
+        RECT 3.275 0.905 4.485 1.075 ;
+        RECT 4.155 0.825 4.485 0.905 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.355 2.090 1.525 2.425 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+        RECT 1.355 1.445 1.525 1.760 ;
+      LAYER mcon ;
+        RECT 1.355 2.255 1.525 2.425 ;
+    END
+  END S
+  PIN VGND
+    ANTENNADIFFAREA 0.873600 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 7.535 0.240 7.825 0.570 ;
+        RECT 0.000 -0.240 8.640 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 7.515 0.240 7.845 0.590 ;
+        RECT 0.155 0.085 8.485 0.240 ;
+        RECT 0.000 -0.085 8.640 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 7.595 0.340 7.765 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 8.640 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+        RECT 7.535 2.760 7.825 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.747200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 8.640 3.415 ;
+        RECT 0.155 3.090 8.485 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 7.515 2.740 7.845 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+        RECT 7.595 2.820 7.765 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.661650 ;
+    PORT
+      LAYER met1 ;
+        RECT 5.135 2.410 5.425 2.485 ;
+        RECT 5.135 2.270 6.310 2.410 ;
+        RECT 5.135 2.195 5.425 2.270 ;
+        RECT 5.135 0.655 5.425 0.730 ;
+        RECT 6.170 0.655 6.310 2.270 ;
+        RECT 5.135 0.515 6.310 0.655 ;
+        RECT 5.135 0.440 5.425 0.515 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.115 0.420 5.445 0.750 ;
+      LAYER mcon ;
+        RECT 5.195 0.500 5.365 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.115 2.175 5.445 2.505 ;
+      LAYER mcon ;
+        RECT 5.195 2.255 5.365 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 8.640 3.330 ;
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 5.615 2.005 5.925 2.090 ;
+        RECT 5.595 1.760 5.925 2.005 ;
+        RECT 2.795 1.155 2.965 1.760 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 5.595 0.920 5.925 1.155 ;
+        RECT 5.615 0.825 5.925 0.920 ;
+        RECT 7.035 0.825 7.365 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 5.675 1.840 5.845 2.010 ;
+        RECT 2.795 1.310 2.965 1.480 ;
+        RECT 5.675 0.905 5.845 1.075 ;
+        RECT 7.115 0.905 7.285 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 1.995 0.790 2.195 ;
+        RECT 4.175 1.995 4.465 2.070 ;
+        RECT 0.650 1.855 4.465 1.995 ;
+        RECT 0.650 0.730 0.790 1.855 ;
+        RECT 4.175 1.780 4.465 1.855 ;
+        RECT 4.250 1.060 4.390 1.780 ;
+        RECT 5.615 1.060 5.905 1.135 ;
+        RECT 4.250 0.920 5.905 1.060 ;
+        RECT 5.615 0.845 5.905 0.920 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+  END
+END MUX2X1
+END LIBRARY
+
diff --git a/cells/mag/MUX2X1.mag b/cells/mag/MUX2X1.mag
new file mode 100644
index 0000000..7f6ae26
--- /dev/null
+++ b/cells/mag/MUX2X1.mag
@@ -0,0 +1,512 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953867
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 1728 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+rect 1425 48 1455 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+rect 1425 450 1455 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 1027 134 1085 146
+rect 1027 132 1039 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 48 849 132
+rect 879 100 1039 132
+rect 1073 132 1085 134
+rect 1073 100 1137 132
+rect 879 48 1137 100
+rect 1167 48 1425 132
+rect 1455 102 1613 132
+rect 1455 68 1519 102
+rect 1553 68 1613 102
+rect 1455 48 1613 68
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 450 849 618
+rect 879 485 1137 618
+rect 879 451 1039 485
+rect 1073 451 1137 485
+rect 879 450 1137 451
+rect 1167 450 1425 618
+rect 1455 598 1613 618
+rect 1455 564 1519 598
+rect 1553 564 1613 598
+rect 1455 450 1613 564
+rect 115 439 173 450
+rect 1027 439 1085 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 1039 100 1073 134
+rect 1519 68 1553 102
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 1039 451 1073 485
+rect 1519 564 1553 598
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 1425 618 1455 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 1425 418 1455 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 1425 132 1455 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+rect 1425 22 1455 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1728 683
+rect 31 618 1697 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 1503 598 1569 618
+rect 1503 564 1519 598
+rect 1553 564 1569 598
+rect 1503 548 1569 564
+rect 111 485 177 501
+rect 1023 485 1089 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 271 418 305 451
+rect 1023 451 1039 485
+rect 1073 451 1089 485
+rect 1023 435 1089 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 1123 402 1185 418
+rect 1123 401 1135 402
+rect 831 352 897 368
+rect 1119 368 1135 401
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 271 323 305 352
+rect 559 296 593 352
+rect 559 231 593 262
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 831 215 897 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 689 181 847 215
+rect 881 181 897 215
+rect 1119 215 1185 231
+rect 1119 184 1135 215
+rect 543 165 609 181
+rect 831 165 897 181
+rect 1123 181 1135 184
+rect 1169 181 1185 215
+rect 1123 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 1023 134 1089 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 1023 100 1039 134
+rect 1073 100 1089 134
+rect 1023 84 1089 100
+rect 1503 102 1569 118
+rect 351 48 417 68
+rect 1503 68 1519 102
+rect 1553 68 1569 102
+rect 1503 48 1569 68
+rect 31 17 1697 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1728 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 367 564 401 598
+rect 1519 564 1553 598
+rect 127 451 161 485
+rect 271 451 305 485
+rect 1039 451 1073 485
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 271 289 305 323
+rect 559 262 593 296
+rect 271 181 305 215
+rect 655 181 689 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 1039 100 1073 134
+rect 1519 68 1553 102
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+<< metal1 >>
+rect 0 683 1728 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1728 683
+rect 0 618 1728 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 1507 598 1565 618
+rect 1507 564 1519 598
+rect 1553 564 1565 598
+rect 1507 552 1565 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 115 439 173 451
+rect 259 485 317 497
+rect 259 451 271 485
+rect 305 482 317 485
+rect 1027 485 1085 497
+rect 305 454 974 482
+rect 305 451 317 454
+rect 259 439 317 451
+rect 130 399 158 439
+rect 835 402 893 414
+rect 835 399 847 402
+rect 130 371 847 399
+rect 130 146 158 371
+rect 835 368 847 371
+rect 881 368 893 402
+rect 946 399 974 454
+rect 1027 451 1039 485
+rect 1073 482 1085 485
+rect 1073 454 1262 482
+rect 1073 451 1085 454
+rect 1027 439 1085 451
+rect 1123 402 1181 414
+rect 1123 399 1135 402
+rect 946 371 1135 399
+rect 835 356 893 368
+rect 1123 368 1135 371
+rect 1169 368 1181 402
+rect 1123 356 1181 368
+rect 259 323 317 335
+rect 259 289 271 323
+rect 305 289 317 323
+rect 259 277 317 289
+rect 547 296 605 308
+rect 274 227 302 277
+rect 547 262 559 296
+rect 593 262 605 296
+rect 547 250 605 262
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 212 317 215
+rect 643 215 701 227
+rect 643 212 655 215
+rect 305 184 655 212
+rect 305 181 317 184
+rect 259 169 317 181
+rect 643 181 655 184
+rect 689 181 701 215
+rect 850 212 878 356
+rect 1123 215 1181 227
+rect 1123 212 1135 215
+rect 850 184 1135 212
+rect 643 169 701 181
+rect 1123 181 1135 184
+rect 1169 181 1181 215
+rect 1123 169 1181 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 1027 134 1085 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 1027 100 1039 134
+rect 1073 131 1085 134
+rect 1234 131 1262 454
+rect 1411 402 1469 414
+rect 1411 368 1423 402
+rect 1457 368 1469 402
+rect 1411 356 1469 368
+rect 1426 227 1454 356
+rect 1411 215 1469 227
+rect 1411 181 1423 215
+rect 1457 181 1469 215
+rect 1411 169 1469 181
+rect 1073 103 1262 131
+rect 1073 100 1085 103
+rect 1027 88 1085 100
+rect 1507 102 1565 114
+rect 355 48 413 68
+rect 1507 68 1519 102
+rect 1553 68 1565 102
+rect 1507 48 1565 68
+rect 0 17 1728 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1728 17
+rect 0 -48 1728 -17
+<< labels >>
+rlabel metal1 0 618 1728 714 0 VPWR
+port 4 se
+rlabel metal1 0 618 1728 714 0 VPWR
+port 4 se
+rlabel metal1 0 -48 1728 48 0 VGND
+port 3 se
+rlabel metal1 0 -48 1728 48 0 VGND
+port 3 se
+rlabel metal1 1027 88 1085 103 0 Y
+port 5 se
+rlabel metal1 1027 103 1262 131 0 Y
+port 5 se
+rlabel metal1 1027 131 1085 146 0 Y
+port 5 se
+rlabel metal1 1027 439 1085 454 0 Y
+port 5 se
+rlabel metal1 1234 131 1262 454 0 Y
+port 5 se
+rlabel metal1 1027 454 1262 482 0 Y
+port 5 se
+rlabel metal1 1027 482 1085 497 0 Y
+port 5 se
+rlabel metal1 259 169 317 184 0 S
+port 2 se
+rlabel metal1 643 169 701 184 0 S
+port 2 se
+rlabel metal1 259 184 701 212 0 S
+port 2 se
+rlabel metal1 259 212 317 227 0 S
+port 2 se
+rlabel metal1 643 212 701 227 0 S
+port 2 se
+rlabel metal1 274 227 302 277 0 S
+port 2 se
+rlabel metal1 259 277 317 335 0 S
+port 2 se
+rlabel metal1 547 250 605 308 0 A
+port 0 se
+rlabel metal1 1411 169 1469 227 0 B
+port 1 se
+rlabel metal1 1426 227 1454 356 0 B
+port 1 se
+rlabel metal1 1411 356 1469 414 0 B
+port 1 se
+rlabel locali 0 -17 1728 17 4 VGND
+port 3 se ground default abutment
+rlabel locali 31 17 1697 48 4 VGND
+port 3 se ground default abutment
+rlabel locali 0 649 1728 683 4 VPWR
+port 4 se power default abutment
+rlabel locali 31 618 1697 649 4 VGND
+port 3 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 1728 666
+<< end >>
diff --git a/cells/mag/MUX2X1.mag.beforemagic b/cells/mag/MUX2X1.mag.beforemagic
new file mode 100644
index 0000000..52c0110
--- /dev/null
+++ b/cells/mag/MUX2X1.mag.beforemagic
@@ -0,0 +1,504 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624753424
+<< nwell >>
+rect 0 358 1728 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+rect 1425 48 1455 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+rect 1425 450 1455 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 1027 134 1085 146
+rect 1027 132 1039 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 48 849 132
+rect 879 100 1039 132
+rect 1073 132 1085 134
+rect 1073 100 1137 132
+rect 879 48 1137 100
+rect 1167 48 1425 132
+rect 1455 102 1613 132
+rect 1455 68 1519 102
+rect 1553 68 1613 102
+rect 1455 48 1613 68
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 450 849 618
+rect 879 485 1137 618
+rect 879 451 1039 485
+rect 1073 451 1137 485
+rect 879 450 1137 451
+rect 1167 450 1425 618
+rect 1455 598 1613 618
+rect 1455 564 1519 598
+rect 1553 564 1613 598
+rect 1455 450 1613 564
+rect 115 439 173 450
+rect 1027 439 1085 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 1039 100 1073 134
+rect 1519 68 1553 102
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 1039 451 1073 485
+rect 1519 564 1553 598
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 1425 618 1455 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 1425 418 1455 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 1425 132 1455 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+rect 1425 22 1455 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+<< locali >>
+rect 0 683 1728 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1728 683
+rect 0 618 1728 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 1503 598 1569 618
+rect 1503 564 1519 598
+rect 1553 564 1569 598
+rect 1503 548 1569 564
+rect 111 485 177 501
+rect 1023 485 1089 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 271 418 305 451
+rect 1023 451 1039 485
+rect 1073 451 1089 485
+rect 1023 435 1089 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 1123 402 1185 418
+rect 1123 401 1135 402
+rect 831 352 897 368
+rect 1119 368 1135 401
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 271 323 305 352
+rect 559 296 593 352
+rect 559 231 593 262
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 831 215 897 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 689 181 847 215
+rect 881 181 897 215
+rect 1119 215 1185 231
+rect 1119 184 1135 215
+rect 543 165 609 181
+rect 831 165 897 181
+rect 1123 181 1135 184
+rect 1169 181 1185 215
+rect 1123 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 1023 134 1089 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 1023 100 1039 134
+rect 1073 100 1089 134
+rect 1023 84 1089 100
+rect 1503 102 1569 118
+rect 351 48 417 68
+rect 1503 68 1519 102
+rect 1553 68 1569 102
+rect 1503 48 1569 68
+rect 0 17 1728 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1728 17
+rect 0 -48 1728 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 367 564 401 598
+rect 1519 564 1553 598
+rect 127 451 161 485
+rect 271 451 305 485
+rect 1039 451 1073 485
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 271 289 305 323
+rect 559 262 593 296
+rect 271 181 305 215
+rect 655 181 689 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 1039 100 1073 134
+rect 1519 68 1553 102
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+<< metal1 >>
+rect 0 683 1728 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1728 683
+rect 0 618 1728 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 1507 598 1565 618
+rect 1507 564 1519 598
+rect 1553 564 1565 598
+rect 1507 552 1565 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 115 439 173 451
+rect 259 485 317 497
+rect 259 451 271 485
+rect 305 482 317 485
+rect 1027 485 1085 497
+rect 305 454 974 482
+rect 305 451 317 454
+rect 259 439 317 451
+rect 130 399 158 439
+rect 835 402 893 414
+rect 835 399 847 402
+rect 130 371 847 399
+rect 130 146 158 371
+rect 835 368 847 371
+rect 881 368 893 402
+rect 946 399 974 454
+rect 1027 451 1039 485
+rect 1073 482 1085 485
+rect 1073 454 1262 482
+rect 1073 451 1085 454
+rect 1027 439 1085 451
+rect 1123 402 1181 414
+rect 1123 399 1135 402
+rect 946 371 1135 399
+rect 835 356 893 368
+rect 1123 368 1135 371
+rect 1169 368 1181 402
+rect 1123 356 1181 368
+rect 259 323 317 335
+rect 259 289 271 323
+rect 305 289 317 323
+rect 259 277 317 289
+rect 547 296 605 308
+rect 274 227 302 277
+rect 547 262 559 296
+rect 593 262 605 296
+rect 547 250 605 262
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 212 317 215
+rect 643 215 701 227
+rect 643 212 655 215
+rect 305 184 655 212
+rect 305 181 317 184
+rect 259 169 317 181
+rect 643 181 655 184
+rect 689 181 701 215
+rect 850 212 878 356
+rect 1123 215 1181 227
+rect 1123 212 1135 215
+rect 850 184 1135 212
+rect 643 169 701 181
+rect 1123 181 1135 184
+rect 1169 181 1181 215
+rect 1123 169 1181 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 1027 134 1085 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 1027 100 1039 134
+rect 1073 131 1085 134
+rect 1234 131 1262 454
+rect 1411 402 1469 414
+rect 1411 368 1423 402
+rect 1457 368 1469 402
+rect 1411 356 1469 368
+rect 1426 227 1454 356
+rect 1411 215 1469 227
+rect 1411 181 1423 215
+rect 1457 181 1469 215
+rect 1411 169 1469 181
+rect 1073 103 1262 131
+rect 1073 100 1085 103
+rect 1027 88 1085 100
+rect 1507 102 1565 114
+rect 355 48 413 68
+rect 1507 68 1519 102
+rect 1553 68 1565 102
+rect 1507 48 1565 68
+rect 0 17 1728 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1728 17
+rect 0 -48 1728 -17
+<< labels >>
+rlabel locali 0 618 1728 714 0 VDD
+port 1 se
+rlabel metal1 0 618 1728 714 0 VDD
+port 2 se
+rlabel locali 0 -48 1728 48 0 GND
+port 3 se
+rlabel metal1 0 -48 1728 48 0 GND
+port 4 se
+rlabel metal1 1027 88 1085 103 0 Y
+port 5 se
+rlabel metal1 1027 103 1262 131 0 Y
+port 6 se
+rlabel metal1 1027 131 1085 146 0 Y
+port 7 se
+rlabel metal1 1027 439 1085 454 0 Y
+port 8 se
+rlabel metal1 1234 131 1262 454 0 Y
+port 9 se
+rlabel metal1 1027 454 1262 482 0 Y
+port 10 se
+rlabel metal1 1027 482 1085 497 0 Y
+port 11 se
+rlabel metal1 259 169 317 184 0 S
+port 12 se
+rlabel metal1 643 169 701 184 0 S
+port 13 se
+rlabel metal1 259 184 701 212 0 S
+port 14 se
+rlabel metal1 259 212 317 227 0 S
+port 15 se
+rlabel metal1 643 212 701 227 0 S
+port 16 se
+rlabel metal1 274 227 302 277 0 S
+port 17 se
+rlabel metal1 259 277 317 335 0 S
+port 18 se
+rlabel metal1 547 250 605 308 0 A
+port 19 se
+rlabel metal1 1411 169 1469 227 0 B
+port 20 se
+rlabel metal1 1426 227 1454 356 0 B
+port 21 se
+rlabel metal1 1411 356 1469 414 0 B
+port 22 se
+<< properties >>
+string FIXED_BBOX 0 0 1728 666
+<< end >>
diff --git a/cells/mag/NAND2X1.lef b/cells/mag/NAND2X1.lef
new file mode 100644
index 0000000..9df1269
--- /dev/null
+++ b/cells/mag/NAND2X1.lef
@@ -0,0 +1,132 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO NAND2X1
+  CLASS CORE ;
+  FOREIGN NAND2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 4.320 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 2.005 3.025 2.090 ;
+        RECT 2.715 1.760 3.045 2.005 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 0.331800 ;
+    PORT
+      LAYER met1 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 4.165 0.240 ;
+        RECT 0.000 -0.085 4.320 0.085 ;
+      LAYER mcon ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 4.320 3.415 ;
+        RECT 0.155 3.090 4.165 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.711200 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.410 0.865 2.485 ;
+        RECT 3.215 2.410 3.505 2.485 ;
+        RECT 0.575 2.270 3.505 2.410 ;
+        RECT 0.575 2.195 0.865 2.270 ;
+        RECT 3.215 2.195 3.505 2.270 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 2.175 3.525 2.505 ;
+      LAYER mcon ;
+        RECT 3.275 2.255 3.445 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 4.320 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END NAND2X1
+END LIBRARY
+
diff --git a/cells/mag/NAND2X1.mag b/cells/mag/NAND2X1.mag
new file mode 100644
index 0000000..e4cc091
--- /dev/null
+++ b/cells/mag/NAND2X1.mag
@@ -0,0 +1,278 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953868
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 864 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 48 561 132
+rect 591 102 749 132
+rect 591 68 655 102
+rect 689 68 749 102
+rect 591 48 749 68
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 749 618
+rect 591 451 655 485
+rect 689 451 749 485
+rect 591 450 749 451
+rect 115 439 173 450
+rect 643 439 701 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 655 68 689 102
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 655 451 689 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 273 22 303 48
+rect 561 22 591 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 31 618 833 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 639 485 705 501
+rect 639 451 655 485
+rect 689 451 705 485
+rect 639 435 705 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 605 418
+rect 543 368 559 402
+rect 593 401 605 402
+rect 593 368 609 401
+rect 543 352 609 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 111 84 177 100
+rect 639 102 705 118
+rect 639 68 655 102
+rect 689 68 705 102
+rect 639 48 705 68
+rect 31 17 833 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 367 564 401 598
+rect 127 451 161 485
+rect 655 451 689 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 127 100 161 134
+rect 655 68 689 102
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+<< metal1 >>
+rect 0 683 864 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 0 618 864 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 482 173 485
+rect 643 485 701 497
+rect 643 482 655 485
+rect 161 454 655 482
+rect 161 451 173 454
+rect 115 439 173 451
+rect 643 451 655 454
+rect 689 451 701 485
+rect 643 439 701 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 115 88 173 100
+rect 643 102 701 114
+rect 643 68 655 102
+rect 689 68 701 102
+rect 643 48 701 68
+rect 0 17 864 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+rect 0 -48 864 -17
+<< labels >>
+rlabel metal1 0 618 864 714 0 VPWR
+port 3 se
+rlabel metal1 0 618 864 714 0 VPWR
+port 3 se
+rlabel metal1 0 -48 864 48 0 VGND
+port 2 se
+rlabel metal1 0 -48 864 48 0 VGND
+port 2 se
+rlabel metal1 115 88 173 146 0 Y
+port 4 se
+rlabel metal1 130 146 158 439 0 Y
+port 4 se
+rlabel metal1 115 439 173 454 0 Y
+port 4 se
+rlabel metal1 643 439 701 454 0 Y
+port 4 se
+rlabel metal1 115 454 701 482 0 Y
+port 4 se
+rlabel metal1 115 482 173 497 0 Y
+port 4 se
+rlabel metal1 643 482 701 497 0 Y
+port 4 se
+rlabel metal1 259 169 317 227 0 B
+port 1 se
+rlabel metal1 274 227 302 356 0 B
+port 1 se
+rlabel metal1 259 356 317 414 0 B
+port 1 se
+rlabel metal1 547 169 605 227 0 A
+port 0 se
+rlabel metal1 562 227 590 356 0 A
+port 0 se
+rlabel metal1 547 356 605 414 0 A
+port 0 se
+rlabel locali 0 -17 864 17 4 VGND
+port 2 se ground default abutment
+rlabel locali 31 17 833 48 4 VGND
+port 2 se ground default abutment
+rlabel locali 0 649 864 683 4 VPWR
+port 3 se power default abutment
+rlabel locali 31 618 833 649 4 VGND
+port 2 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 864 666
+<< end >>
diff --git a/cells/mag/NAND2X1.mag.beforemagic b/cells/mag/NAND2X1.mag.beforemagic
new file mode 100644
index 0000000..21f7972
--- /dev/null
+++ b/cells/mag/NAND2X1.mag.beforemagic
@@ -0,0 +1,270 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624753481
+<< nwell >>
+rect 0 358 864 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 48 561 132
+rect 591 102 749 132
+rect 591 68 655 102
+rect 689 68 749 102
+rect 591 48 749 68
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 749 618
+rect 591 451 655 485
+rect 689 451 749 485
+rect 591 450 749 451
+rect 115 439 173 450
+rect 643 439 701 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 655 68 689 102
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 655 451 689 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 273 22 303 48
+rect 561 22 591 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+<< locali >>
+rect 0 683 864 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 0 618 864 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 639 485 705 501
+rect 639 451 655 485
+rect 689 451 705 485
+rect 639 435 705 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 605 418
+rect 543 368 559 402
+rect 593 401 605 402
+rect 593 368 609 401
+rect 543 352 609 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 111 84 177 100
+rect 639 102 705 118
+rect 639 68 655 102
+rect 689 68 705 102
+rect 639 48 705 68
+rect 0 17 864 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+rect 0 -48 864 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 367 564 401 598
+rect 127 451 161 485
+rect 655 451 689 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 127 100 161 134
+rect 655 68 689 102
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+<< metal1 >>
+rect 0 683 864 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 864 683
+rect 0 618 864 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 482 173 485
+rect 643 485 701 497
+rect 643 482 655 485
+rect 161 454 655 482
+rect 161 451 173 454
+rect 115 439 173 451
+rect 643 451 655 454
+rect 689 451 701 485
+rect 643 439 701 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 115 88 173 100
+rect 643 102 701 114
+rect 643 68 655 102
+rect 689 68 701 102
+rect 643 48 701 68
+rect 0 17 864 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 864 17
+rect 0 -48 864 -17
+<< labels >>
+rlabel locali 0 618 864 714 0 VDD
+port 1 se
+rlabel metal1 0 618 864 714 0 VDD
+port 2 se
+rlabel locali 0 -48 864 48 0 GND
+port 3 se
+rlabel metal1 0 -48 864 48 0 GND
+port 4 se
+rlabel metal1 115 88 173 146 0 Y
+port 5 se
+rlabel metal1 130 146 158 439 0 Y
+port 6 se
+rlabel metal1 115 439 173 454 0 Y
+port 7 se
+rlabel metal1 643 439 701 454 0 Y
+port 8 se
+rlabel metal1 115 454 701 482 0 Y
+port 9 se
+rlabel metal1 115 482 173 497 0 Y
+port 10 se
+rlabel metal1 643 482 701 497 0 Y
+port 11 se
+rlabel metal1 259 169 317 227 0 B
+port 12 se
+rlabel metal1 274 227 302 356 0 B
+port 13 se
+rlabel metal1 259 356 317 414 0 B
+port 14 se
+rlabel metal1 547 169 605 227 0 A
+port 15 se
+rlabel metal1 562 227 590 356 0 A
+port 16 se
+rlabel metal1 547 356 605 414 0 A
+port 17 se
+<< properties >>
+string FIXED_BBOX 0 0 864 666
+<< end >>
diff --git a/cells/mag/NAND3X1.lef b/cells/mag/NAND3X1.lef
new file mode 100644
index 0000000..aaef15b
--- /dev/null
+++ b/cells/mag/NAND3X1.lef
@@ -0,0 +1,158 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO NAND3X1
+  CLASS CORE ;
+  FOREIGN NAND3X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 0.845 4.465 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+      LAYER mcon ;
+        RECT 4.235 1.840 4.405 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 2.005 3.025 2.090 ;
+        RECT 2.715 1.760 3.045 2.005 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END C
+  PIN VGND
+    ANTENNADIFFAREA 0.331800 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 0.240 4.945 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 0.240 4.965 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 4.715 0.340 4.885 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+        RECT 4.655 2.760 4.945 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.747200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 4.635 2.740 4.965 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+        RECT 4.715 2.820 4.885 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 2.131200 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.410 0.865 2.485 ;
+        RECT 3.215 2.410 3.505 2.485 ;
+        RECT 0.575 2.270 3.505 2.410 ;
+        RECT 0.575 2.195 0.865 2.270 ;
+        RECT 3.215 2.195 3.505 2.270 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 2.175 3.525 2.505 ;
+      LAYER mcon ;
+        RECT 3.275 2.255 3.445 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END NAND3X1
+END LIBRARY
+
diff --git a/cells/mag/NAND3X1.mag b/cells/mag/NAND3X1.mag
new file mode 100644
index 0000000..6f91e1a
--- /dev/null
+++ b/cells/mag/NAND3X1.mag
@@ -0,0 +1,352 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953869
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 48 561 132
+rect 591 48 849 132
+rect 879 102 1037 132
+rect 879 68 943 102
+rect 977 68 1037 102
+rect 879 48 1037 68
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 849 618
+rect 591 451 655 485
+rect 689 451 849 485
+rect 591 450 849 451
+rect 879 598 1037 618
+rect 879 564 943 598
+rect 977 564 1037 598
+rect 879 450 1037 564
+rect 115 439 173 450
+rect 643 439 701 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 943 68 977 102
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 655 451 689 485
+rect 943 564 977 598
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 31 618 1121 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 927 598 993 618
+rect 927 564 943 598
+rect 977 564 993 598
+rect 927 548 993 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 639 485 705 501
+rect 639 451 655 485
+rect 689 451 705 485
+rect 639 435 705 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 605 418
+rect 543 368 559 402
+rect 593 401 605 402
+rect 831 402 897 418
+rect 593 368 609 401
+rect 543 352 609 368
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 111 84 177 100
+rect 927 102 993 118
+rect 927 68 943 102
+rect 977 68 993 102
+rect 927 48 993 68
+rect 31 17 1121 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 367 564 401 598
+rect 943 564 977 598
+rect 127 451 161 485
+rect 655 451 689 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 127 100 161 134
+rect 943 68 977 102
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 931 598 989 618
+rect 931 564 943 598
+rect 977 564 989 598
+rect 931 552 989 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 482 173 485
+rect 643 485 701 497
+rect 643 482 655 485
+rect 161 454 655 482
+rect 161 451 173 454
+rect 115 439 173 451
+rect 643 451 655 454
+rect 689 451 701 485
+rect 643 439 701 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 850 227 878 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 835 215 893 227
+rect 835 181 847 215
+rect 881 181 893 215
+rect 835 169 893 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 115 88 173 100
+rect 931 102 989 114
+rect 931 68 943 102
+rect 977 68 989 102
+rect 931 48 989 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel metal1 0 618 1152 714 0 VPWR
+port 4 se
+rlabel metal1 0 618 1152 714 0 VPWR
+port 4 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 3 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 3 se
+rlabel metal1 115 88 173 146 0 Y
+port 5 se
+rlabel metal1 130 146 158 439 0 Y
+port 5 se
+rlabel metal1 115 439 173 454 0 Y
+port 5 se
+rlabel metal1 643 439 701 454 0 Y
+port 5 se
+rlabel metal1 115 454 701 482 0 Y
+port 5 se
+rlabel metal1 115 482 173 497 0 Y
+port 5 se
+rlabel metal1 643 482 701 497 0 Y
+port 5 se
+rlabel metal1 259 169 317 227 0 C
+port 2 se
+rlabel metal1 274 227 302 356 0 C
+port 2 se
+rlabel metal1 259 356 317 414 0 C
+port 2 se
+rlabel metal1 547 169 605 227 0 B
+port 1 se
+rlabel metal1 562 227 590 356 0 B
+port 1 se
+rlabel metal1 547 356 605 414 0 B
+port 1 se
+rlabel metal1 835 169 893 227 0 A
+port 0 se
+rlabel metal1 850 227 878 356 0 A
+port 0 se
+rlabel metal1 835 356 893 414 0 A
+port 0 se
+rlabel locali 0 -17 1152 17 4 VGND
+port 3 se ground default abutment
+rlabel locali 31 17 1121 48 4 VGND
+port 3 se ground default abutment
+rlabel locali 0 649 1152 683 4 VPWR
+port 4 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 3 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/NAND3X1.mag.beforemagic b/cells/mag/NAND3X1.mag.beforemagic
new file mode 100644
index 0000000..6e5bf12
--- /dev/null
+++ b/cells/mag/NAND3X1.mag.beforemagic
@@ -0,0 +1,344 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624753525
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 48 561 132
+rect 591 48 849 132
+rect 879 102 1037 132
+rect 879 68 943 102
+rect 977 68 1037 102
+rect 879 48 1037 68
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 485 849 618
+rect 591 451 655 485
+rect 689 451 849 485
+rect 591 450 849 451
+rect 879 598 1037 618
+rect 879 564 943 598
+rect 977 564 1037 598
+rect 879 450 1037 564
+rect 115 439 173 450
+rect 643 439 701 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 943 68 977 102
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 655 451 689 485
+rect 943 564 977 598
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 351 548 417 564
+rect 927 598 993 618
+rect 927 564 943 598
+rect 977 564 993 598
+rect 927 548 993 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 639 485 705 501
+rect 639 451 655 485
+rect 689 451 705 485
+rect 639 435 705 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 605 418
+rect 543 368 559 402
+rect 593 401 605 402
+rect 831 402 897 418
+rect 593 368 609 401
+rect 543 352 609 368
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 111 84 177 100
+rect 927 102 993 118
+rect 927 68 943 102
+rect 977 68 993 102
+rect 927 48 993 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 367 564 401 598
+rect 943 564 977 598
+rect 127 451 161 485
+rect 655 451 689 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 127 100 161 134
+rect 943 68 977 102
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 931 598 989 618
+rect 931 564 943 598
+rect 977 564 989 598
+rect 931 552 989 564
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 482 173 485
+rect 643 485 701 497
+rect 643 482 655 485
+rect 161 454 655 482
+rect 161 451 173 454
+rect 115 439 173 451
+rect 643 451 655 454
+rect 689 451 701 485
+rect 643 439 701 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 850 227 878 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 835 215 893 227
+rect 835 181 847 215
+rect 881 181 893 215
+rect 835 169 893 181
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 115 88 173 100
+rect 931 102 989 114
+rect 931 68 943 102
+rect 977 68 989 102
+rect 931 48 989 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel locali 0 618 1152 714 0 VDD
+port 1 se
+rlabel metal1 0 618 1152 714 0 VDD
+port 2 se
+rlabel locali 0 -48 1152 48 0 GND
+port 3 se
+rlabel metal1 0 -48 1152 48 0 GND
+port 4 se
+rlabel metal1 115 88 173 146 0 Y
+port 5 se
+rlabel metal1 130 146 158 439 0 Y
+port 6 se
+rlabel metal1 115 439 173 454 0 Y
+port 7 se
+rlabel metal1 643 439 701 454 0 Y
+port 8 se
+rlabel metal1 115 454 701 482 0 Y
+port 9 se
+rlabel metal1 115 482 173 497 0 Y
+port 10 se
+rlabel metal1 643 482 701 497 0 Y
+port 11 se
+rlabel metal1 259 169 317 227 0 C
+port 12 se
+rlabel metal1 274 227 302 356 0 C
+port 13 se
+rlabel metal1 259 356 317 414 0 C
+port 14 se
+rlabel metal1 547 169 605 227 0 B
+port 15 se
+rlabel metal1 562 227 590 356 0 B
+port 16 se
+rlabel metal1 547 356 605 414 0 B
+port 17 se
+rlabel metal1 835 169 893 227 0 A
+port 18 se
+rlabel metal1 850 227 878 356 0 A
+port 19 se
+rlabel metal1 835 356 893 414 0 A
+port 20 se
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/OR2X1.lef b/cells/mag/OR2X1.lef
new file mode 100644
index 0000000..8c486d4
--- /dev/null
+++ b/cells/mag/OR2X1.lef
@@ -0,0 +1,147 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO OR2X1
+  CLASS CORE ;
+  FOREIGN OR2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.540 1.510 1.780 ;
+        RECT 1.295 1.250 1.585 1.540 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 0.873600 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 0.240 0.865 0.570 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 0.240 0.885 0.590 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 0.635 0.340 0.805 0.510 ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 2.195 4.945 2.485 ;
+        RECT 4.730 0.730 4.870 2.195 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+      LAYER mcon ;
+        RECT 4.715 2.255 4.885 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 0.795 2.260 1.125 2.505 ;
+        RECT 0.795 2.175 1.105 2.260 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 1.355 1.155 1.525 1.480 ;
+        RECT 2.795 1.155 2.965 1.760 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.920 3.045 1.155 ;
+        RECT 2.735 0.825 3.045 0.920 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 2.235 0.420 2.565 0.750 ;
+        RECT 4.655 0.655 4.965 0.750 ;
+        RECT 4.635 0.420 4.965 0.655 ;
+      LAYER mcon ;
+        RECT 0.875 2.255 1.045 2.425 ;
+        RECT 2.795 1.840 2.965 2.010 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 1.355 1.310 1.525 1.480 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 2.315 0.500 2.485 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+      LAYER met1 ;
+        RECT 0.815 2.195 1.105 2.485 ;
+        RECT 0.890 1.060 1.030 2.195 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 0.890 0.920 4.465 1.060 ;
+        RECT 2.330 0.730 2.470 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 2.255 0.440 2.545 0.730 ;
+  END
+END OR2X1
+END LIBRARY
+
diff --git a/cells/mag/OR2X1.mag b/cells/mag/OR2X1.mag
new file mode 100644
index 0000000..2e2d4bc
--- /dev/null
+++ b/cells/mag/OR2X1.mag
@@ -0,0 +1,350 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953870
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 451 134 509 146
+rect 451 132 463 134
+rect 115 102 273 132
+rect 115 68 127 102
+rect 161 68 273 102
+rect 115 48 273 68
+rect 303 100 463 132
+rect 497 132 509 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 497 100 561 132
+rect 303 48 561 100
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 100 943 132
+rect 977 132 989 134
+rect 977 100 1037 132
+rect 879 48 1037 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 175 485
+rect 209 451 273 485
+rect 115 450 273 451
+rect 303 450 561 618
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1037 618
+rect 879 451 943 485
+rect 977 451 1037 485
+rect 879 450 1037 451
+rect 163 439 221 450
+rect 931 439 989 450
+<< ndiffc >>
+rect 127 68 161 102
+rect 463 100 497 134
+rect 655 68 689 102
+rect 943 100 977 134
+<< pdiffc >>
+rect 175 451 209 485
+rect 655 564 689 598
+rect 943 451 977 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 31 618 1121 649
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 639 548 705 564
+rect 159 485 225 501
+rect 159 451 175 485
+rect 209 452 225 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 209 451 221 452
+rect 159 435 221 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 271 231 305 262
+rect 559 231 593 352
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 543 215 609 231
+rect 543 184 559 215
+rect 255 165 321 181
+rect 547 181 559 184
+rect 593 181 609 215
+rect 547 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 447 134 513 150
+rect 111 102 177 118
+rect 111 68 127 102
+rect 161 68 177 102
+rect 447 100 463 134
+rect 497 100 513 134
+rect 931 134 993 150
+rect 931 131 943 134
+rect 447 84 513 100
+rect 639 102 705 118
+rect 111 48 177 68
+rect 639 68 655 102
+rect 689 68 705 102
+rect 927 100 943 131
+rect 977 100 993 134
+rect 927 84 993 100
+rect 639 48 705 68
+rect 31 17 1121 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 655 564 689 598
+rect 175 451 209 485
+rect 943 451 977 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 262 305 296
+rect 847 181 881 215
+rect 127 68 161 102
+rect 463 100 497 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 643 552 701 564
+rect 163 485 221 497
+rect 163 451 175 485
+rect 209 451 221 485
+rect 163 439 221 451
+rect 931 485 989 497
+rect 931 451 943 485
+rect 977 451 989 485
+rect 931 439 989 451
+rect 178 212 206 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 308 302 356
+rect 259 296 317 308
+rect 259 262 271 296
+rect 305 262 317 296
+rect 259 250 317 262
+rect 850 227 878 356
+rect 835 215 893 227
+rect 835 212 847 215
+rect 178 184 847 212
+rect 466 146 494 184
+rect 835 181 847 184
+rect 881 181 893 215
+rect 835 169 893 181
+rect 946 146 974 439
+rect 451 134 509 146
+rect 115 102 173 114
+rect 115 68 127 102
+rect 161 68 173 102
+rect 451 100 463 134
+rect 497 100 509 134
+rect 931 134 989 146
+rect 451 88 509 100
+rect 643 102 701 114
+rect 115 48 173 68
+rect 643 68 655 102
+rect 689 68 701 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 931 88 989 100
+rect 643 48 701 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel metal1 0 618 1152 714 0 VPWR
+port 3 se
+rlabel metal1 0 618 1152 714 0 VPWR
+port 3 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 2 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 2 se
+rlabel metal1 931 88 989 146 0 Y
+port 4 se
+rlabel metal1 946 146 974 439 0 Y
+port 4 se
+rlabel metal1 931 439 989 497 0 Y
+port 4 se
+rlabel metal1 259 250 317 308 0 A
+port 0 se
+rlabel metal1 274 308 302 356 0 A
+port 0 se
+rlabel metal1 259 356 317 414 0 A
+port 0 se
+rlabel metal1 547 356 605 414 0 B
+port 1 se
+rlabel locali 0 -17 1152 17 4 VGND
+port 2 se ground default abutment
+rlabel locali 31 17 1121 48 4 VGND
+port 2 se ground default abutment
+rlabel locali 0 649 1152 683 4 VPWR
+port 3 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 2 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/OR2X1.mag.beforemagic b/cells/mag/OR2X1.mag.beforemagic
new file mode 100644
index 0000000..6299fec
--- /dev/null
+++ b/cells/mag/OR2X1.mag.beforemagic
@@ -0,0 +1,342 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624753856
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 451 134 509 146
+rect 451 132 463 134
+rect 115 102 273 132
+rect 115 68 127 102
+rect 161 68 273 102
+rect 115 48 273 68
+rect 303 100 463 132
+rect 497 132 509 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 497 100 561 132
+rect 303 48 561 100
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 100 943 132
+rect 977 132 989 134
+rect 977 100 1037 132
+rect 879 48 1037 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 175 485
+rect 209 451 273 485
+rect 115 450 273 451
+rect 303 450 561 618
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1037 618
+rect 879 451 943 485
+rect 977 451 1037 485
+rect 879 450 1037 451
+rect 163 439 221 450
+rect 931 439 989 450
+<< ndiffc >>
+rect 127 68 161 102
+rect 463 100 497 134
+rect 655 68 689 102
+rect 943 100 977 134
+<< pdiffc >>
+rect 175 451 209 485
+rect 655 564 689 598
+rect 943 451 977 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 639 548 705 564
+rect 159 485 225 501
+rect 159 451 175 485
+rect 209 452 225 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 209 451 221 452
+rect 159 435 221 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 271 231 305 262
+rect 559 231 593 352
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 543 215 609 231
+rect 543 184 559 215
+rect 255 165 321 181
+rect 547 181 559 184
+rect 593 181 609 215
+rect 547 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 447 134 513 150
+rect 111 102 177 118
+rect 111 68 127 102
+rect 161 68 177 102
+rect 447 100 463 134
+rect 497 100 513 134
+rect 931 134 993 150
+rect 931 131 943 134
+rect 447 84 513 100
+rect 639 102 705 118
+rect 111 48 177 68
+rect 639 68 655 102
+rect 689 68 705 102
+rect 927 100 943 131
+rect 977 100 993 134
+rect 927 84 993 100
+rect 639 48 705 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 655 564 689 598
+rect 175 451 209 485
+rect 943 451 977 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 262 305 296
+rect 847 181 881 215
+rect 127 68 161 102
+rect 463 100 497 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 643 552 701 564
+rect 163 485 221 497
+rect 163 451 175 485
+rect 209 451 221 485
+rect 163 439 221 451
+rect 931 485 989 497
+rect 931 451 943 485
+rect 977 451 989 485
+rect 931 439 989 451
+rect 178 212 206 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 274 308 302 356
+rect 259 296 317 308
+rect 259 262 271 296
+rect 305 262 317 296
+rect 259 250 317 262
+rect 850 227 878 356
+rect 835 215 893 227
+rect 835 212 847 215
+rect 178 184 847 212
+rect 466 146 494 184
+rect 835 181 847 184
+rect 881 181 893 215
+rect 835 169 893 181
+rect 946 146 974 439
+rect 451 134 509 146
+rect 115 102 173 114
+rect 115 68 127 102
+rect 161 68 173 102
+rect 451 100 463 134
+rect 497 100 509 134
+rect 931 134 989 146
+rect 451 88 509 100
+rect 643 102 701 114
+rect 115 48 173 68
+rect 643 68 655 102
+rect 689 68 701 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 931 88 989 100
+rect 643 48 701 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel locali 0 618 1152 714 0 VDD
+port 1 se
+rlabel metal1 0 618 1152 714 0 VDD
+port 2 se
+rlabel locali 0 -48 1152 48 0 GND
+port 3 se
+rlabel metal1 0 -48 1152 48 0 GND
+port 4 se
+rlabel metal1 931 88 989 146 0 Y
+port 5 se
+rlabel metal1 946 146 974 439 0 Y
+port 6 se
+rlabel metal1 931 439 989 497 0 Y
+port 7 se
+rlabel metal1 259 250 317 308 0 A
+port 8 se
+rlabel metal1 274 308 302 356 0 A
+port 9 se
+rlabel metal1 259 356 317 414 0 A
+port 10 se
+rlabel metal1 547 356 605 414 0 B
+port 11 se
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/OR2X2.lef b/cells/mag/OR2X2.lef
new file mode 100644
index 0000000..7247db0
--- /dev/null
+++ b/cells/mag/OR2X2.lef
@@ -0,0 +1,147 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO OR2X2
+  CLASS CORE ;
+  FOREIGN OR2X2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.540 2.950 1.780 ;
+        RECT 2.735 1.250 3.025 1.540 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 0.873600 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 0.240 0.865 0.570 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 0.240 0.885 0.590 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 0.635 0.340 0.805 0.510 ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 2.195 4.945 2.485 ;
+        RECT 4.730 0.730 4.870 2.195 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+      LAYER mcon ;
+        RECT 4.715 2.255 4.885 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 0.795 2.260 1.125 2.505 ;
+        RECT 0.795 2.175 1.105 2.260 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 1.355 1.155 1.525 1.760 ;
+        RECT 2.795 1.155 2.965 1.480 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.920 3.045 1.155 ;
+        RECT 2.735 0.825 3.045 0.920 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 2.235 0.420 2.565 0.750 ;
+        RECT 4.655 0.655 4.965 0.750 ;
+        RECT 4.635 0.420 4.965 0.655 ;
+      LAYER mcon ;
+        RECT 0.875 2.255 1.045 2.425 ;
+        RECT 1.355 1.840 1.525 2.010 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 2.795 1.310 2.965 1.480 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 2.315 0.500 2.485 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+      LAYER met1 ;
+        RECT 0.815 2.195 1.105 2.485 ;
+        RECT 0.890 1.060 1.030 2.195 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 0.890 0.920 4.465 1.060 ;
+        RECT 2.330 0.730 2.470 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 2.255 0.440 2.545 0.730 ;
+  END
+END OR2X2
+END LIBRARY
+
diff --git a/cells/mag/OR2X2.mag b/cells/mag/OR2X2.mag
new file mode 100644
index 0000000..990d72c
--- /dev/null
+++ b/cells/mag/OR2X2.mag
@@ -0,0 +1,350 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953871
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 451 134 509 146
+rect 451 132 463 134
+rect 115 102 273 132
+rect 115 68 127 102
+rect 161 68 273 102
+rect 115 48 273 68
+rect 303 100 463 132
+rect 497 132 509 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 497 100 561 132
+rect 303 48 561 100
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 100 943 132
+rect 977 132 989 134
+rect 977 100 1037 132
+rect 879 48 1037 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 175 485
+rect 209 451 273 485
+rect 115 450 273 451
+rect 303 450 561 618
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1037 618
+rect 879 451 943 485
+rect 977 451 1037 485
+rect 879 450 1037 451
+rect 163 439 221 450
+rect 931 439 989 450
+<< ndiffc >>
+rect 127 68 161 102
+rect 463 100 497 134
+rect 655 68 689 102
+rect 943 100 977 134
+<< pdiffc >>
+rect 175 451 209 485
+rect 655 564 689 598
+rect 943 451 977 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 31 618 1121 649
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 639 548 705 564
+rect 159 485 225 501
+rect 159 451 175 485
+rect 209 452 225 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 209 451 221 452
+rect 159 435 221 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 271 231 305 352
+rect 559 231 593 262
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 543 215 609 231
+rect 543 184 559 215
+rect 255 165 321 181
+rect 547 181 559 184
+rect 593 181 609 215
+rect 547 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 447 134 513 150
+rect 111 102 177 118
+rect 111 68 127 102
+rect 161 68 177 102
+rect 447 100 463 134
+rect 497 100 513 134
+rect 931 134 993 150
+rect 931 131 943 134
+rect 447 84 513 100
+rect 639 102 705 118
+rect 111 48 177 68
+rect 639 68 655 102
+rect 689 68 705 102
+rect 927 100 943 131
+rect 977 100 993 134
+rect 927 84 993 100
+rect 639 48 705 68
+rect 31 17 1121 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 655 564 689 598
+rect 175 451 209 485
+rect 943 451 977 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 559 262 593 296
+rect 847 181 881 215
+rect 127 68 161 102
+rect 463 100 497 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 643 552 701 564
+rect 163 485 221 497
+rect 163 451 175 485
+rect 209 451 221 485
+rect 163 439 221 451
+rect 931 485 989 497
+rect 931 451 943 485
+rect 977 451 989 485
+rect 931 439 989 451
+rect 178 212 206 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 562 308 590 356
+rect 547 296 605 308
+rect 547 262 559 296
+rect 593 262 605 296
+rect 547 250 605 262
+rect 850 227 878 356
+rect 835 215 893 227
+rect 835 212 847 215
+rect 178 184 847 212
+rect 466 146 494 184
+rect 835 181 847 184
+rect 881 181 893 215
+rect 835 169 893 181
+rect 946 146 974 439
+rect 451 134 509 146
+rect 115 102 173 114
+rect 115 68 127 102
+rect 161 68 173 102
+rect 451 100 463 134
+rect 497 100 509 134
+rect 931 134 989 146
+rect 451 88 509 100
+rect 643 102 701 114
+rect 115 48 173 68
+rect 643 68 655 102
+rect 689 68 701 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 931 88 989 100
+rect 643 48 701 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel metal1 0 618 1152 714 0 VPWR
+port 3 se
+rlabel metal1 0 618 1152 714 0 VPWR
+port 3 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 2 se
+rlabel metal1 0 -48 1152 48 0 VGND
+port 2 se
+rlabel metal1 931 88 989 146 0 Y
+port 4 se
+rlabel metal1 946 146 974 439 0 Y
+port 4 se
+rlabel metal1 931 439 989 497 0 Y
+port 4 se
+rlabel metal1 259 356 317 414 0 A
+port 0 se
+rlabel metal1 547 250 605 308 0 B
+port 1 se
+rlabel metal1 562 308 590 356 0 B
+port 1 se
+rlabel metal1 547 356 605 414 0 B
+port 1 se
+rlabel locali 0 -17 1152 17 4 VGND
+port 2 se ground default abutment
+rlabel locali 31 17 1121 48 4 VGND
+port 2 se ground default abutment
+rlabel locali 0 649 1152 683 4 VPWR
+port 3 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 2 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/OR2X2.mag.beforemagic b/cells/mag/OR2X2.mag.beforemagic
new file mode 100644
index 0000000..8575741
--- /dev/null
+++ b/cells/mag/OR2X2.mag.beforemagic
@@ -0,0 +1,342 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624753902
+<< nwell >>
+rect 0 358 1152 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+<< ndiff >>
+rect 451 134 509 146
+rect 451 132 463 134
+rect 115 102 273 132
+rect 115 68 127 102
+rect 161 68 273 102
+rect 115 48 273 68
+rect 303 100 463 132
+rect 497 132 509 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 497 100 561 132
+rect 303 48 561 100
+rect 591 102 849 132
+rect 591 68 655 102
+rect 689 68 849 102
+rect 591 48 849 68
+rect 879 100 943 132
+rect 977 132 989 134
+rect 977 100 1037 132
+rect 879 48 1037 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 175 485
+rect 209 451 273 485
+rect 115 450 273 451
+rect 303 450 561 618
+rect 591 598 849 618
+rect 591 564 655 598
+rect 689 564 849 598
+rect 591 450 849 564
+rect 879 485 1037 618
+rect 879 451 943 485
+rect 977 451 1037 485
+rect 879 450 1037 451
+rect 163 439 221 450
+rect 931 439 989 450
+<< ndiffc >>
+rect 127 68 161 102
+rect 463 100 497 134
+rect 655 68 689 102
+rect 943 100 977 134
+<< pdiffc >>
+rect 175 451 209 485
+rect 655 564 689 598
+rect 943 451 977 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+<< locali >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 639 598 705 618
+rect 639 564 655 598
+rect 689 564 705 598
+rect 639 548 705 564
+rect 159 485 225 501
+rect 159 451 175 485
+rect 209 452 225 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 209 451 221 452
+rect 159 435 221 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 271 231 305 352
+rect 559 231 593 262
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 543 215 609 231
+rect 543 184 559 215
+rect 255 165 321 181
+rect 547 181 559 184
+rect 593 181 609 215
+rect 547 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 447 134 513 150
+rect 111 102 177 118
+rect 111 68 127 102
+rect 161 68 177 102
+rect 447 100 463 134
+rect 497 100 513 134
+rect 931 134 993 150
+rect 931 131 943 134
+rect 447 84 513 100
+rect 639 102 705 118
+rect 111 48 177 68
+rect 639 68 655 102
+rect 689 68 705 102
+rect 927 100 943 131
+rect 977 100 993 134
+rect 927 84 993 100
+rect 639 48 705 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 655 564 689 598
+rect 175 451 209 485
+rect 943 451 977 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 559 262 593 296
+rect 847 181 881 215
+rect 127 68 161 102
+rect 463 100 497 134
+rect 655 68 689 102
+rect 943 100 977 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+<< metal1 >>
+rect 0 683 1152 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1152 683
+rect 0 618 1152 649
+rect 643 598 701 618
+rect 643 564 655 598
+rect 689 564 701 598
+rect 643 552 701 564
+rect 163 485 221 497
+rect 163 451 175 485
+rect 209 451 221 485
+rect 163 439 221 451
+rect 931 485 989 497
+rect 931 451 943 485
+rect 977 451 989 485
+rect 931 439 989 451
+rect 178 212 206 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 368 893 402
+rect 835 356 893 368
+rect 562 308 590 356
+rect 547 296 605 308
+rect 547 262 559 296
+rect 593 262 605 296
+rect 547 250 605 262
+rect 850 227 878 356
+rect 835 215 893 227
+rect 835 212 847 215
+rect 178 184 847 212
+rect 466 146 494 184
+rect 835 181 847 184
+rect 881 181 893 215
+rect 835 169 893 181
+rect 946 146 974 439
+rect 451 134 509 146
+rect 115 102 173 114
+rect 115 68 127 102
+rect 161 68 173 102
+rect 451 100 463 134
+rect 497 100 509 134
+rect 931 134 989 146
+rect 451 88 509 100
+rect 643 102 701 114
+rect 115 48 173 68
+rect 643 68 655 102
+rect 689 68 701 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 931 88 989 100
+rect 643 48 701 68
+rect 0 17 1152 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1152 17
+rect 0 -48 1152 -17
+<< labels >>
+rlabel locali 0 618 1152 714 0 VDD
+port 1 se
+rlabel metal1 0 618 1152 714 0 VDD
+port 2 se
+rlabel locali 0 -48 1152 48 0 GND
+port 3 se
+rlabel metal1 0 -48 1152 48 0 GND
+port 4 se
+rlabel metal1 931 88 989 146 0 Y
+port 5 se
+rlabel metal1 946 146 974 439 0 Y
+port 6 se
+rlabel metal1 931 439 989 497 0 Y
+port 7 se
+rlabel metal1 259 356 317 414 0 A
+port 8 se
+rlabel metal1 547 250 605 308 0 B
+port 9 se
+rlabel metal1 562 308 590 356 0 B
+port 10 se
+rlabel metal1 547 356 605 414 0 B
+port 11 se
+<< properties >>
+string FIXED_BBOX 0 0 1152 666
+<< end >>
diff --git a/cells/mag/XNOR2X1.lef b/cells/mag/XNOR2X1.lef
new file mode 100644
index 0000000..8cff1cf
--- /dev/null
+++ b/cells/mag/XNOR2X1.lef
@@ -0,0 +1,229 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO XNOR2X1
+  CLASS CORE ;
+  FOREIGN XNOR2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 10.080 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.175 1.995 4.465 2.070 ;
+        RECT 4.175 1.855 5.350 1.995 ;
+        RECT 4.175 1.780 4.465 1.855 ;
+        RECT 5.210 1.060 5.350 1.855 ;
+        RECT 8.495 1.780 8.785 2.070 ;
+        RECT 8.570 1.135 8.710 1.780 ;
+        RECT 5.615 1.060 5.905 1.135 ;
+        RECT 8.495 1.060 8.785 1.135 ;
+        RECT 5.210 0.920 8.785 1.060 ;
+        RECT 5.615 0.845 5.905 0.920 ;
+        RECT 8.495 0.845 8.785 0.920 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.475 0.825 8.805 1.155 ;
+      LAYER mcon ;
+        RECT 8.555 0.905 8.725 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.595 0.825 5.925 1.155 ;
+      LAYER mcon ;
+        RECT 5.675 0.905 5.845 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+      LAYER mcon ;
+        RECT 4.235 1.840 4.405 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.475 1.760 8.805 2.090 ;
+      LAYER mcon ;
+        RECT 8.555 1.840 8.725 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 1.295 1.060 1.585 1.135 ;
+        RECT 2.735 1.060 3.025 1.135 ;
+        RECT 1.295 0.920 3.025 1.060 ;
+        RECT 1.295 0.845 1.585 0.920 ;
+        RECT 2.735 0.845 3.025 0.920 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+      LAYER mcon ;
+        RECT 2.795 0.905 2.965 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 7.535 0.240 7.825 0.570 ;
+        RECT 0.000 -0.240 10.080 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 7.515 0.240 7.845 0.590 ;
+        RECT 0.155 0.085 9.925 0.240 ;
+        RECT 0.000 -0.085 10.080 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 7.595 0.340 7.765 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 10.080 3.570 ;
+        RECT 7.535 2.760 7.825 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 2.167200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 10.080 3.415 ;
+        RECT 0.155 3.090 9.925 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 7.515 2.740 7.845 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 7.595 2.820 7.765 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.661650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 10.080 3.330 ;
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.155 0.825 4.465 0.920 ;
+        RECT 4.715 0.750 4.885 2.175 ;
+        RECT 7.115 2.090 7.285 2.830 ;
+        RECT 8.955 2.260 9.285 2.505 ;
+        RECT 8.975 2.175 9.285 2.260 ;
+        RECT 5.595 1.760 5.925 2.090 ;
+        RECT 7.035 1.760 7.365 2.090 ;
+        RECT 7.115 1.155 7.285 1.760 ;
+        RECT 7.035 0.825 7.365 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+        RECT 4.635 0.420 4.965 0.750 ;
+        RECT 8.975 0.655 9.285 0.750 ;
+        RECT 8.955 0.420 9.285 0.655 ;
+      LAYER mcon ;
+        RECT 7.115 2.660 7.285 2.830 ;
+        RECT 0.635 2.255 0.805 2.425 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 9.035 2.255 9.205 2.425 ;
+        RECT 5.675 1.840 5.845 2.010 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+        RECT 9.035 0.500 9.205 0.670 ;
+      LAYER met1 ;
+        RECT 7.055 2.815 7.345 2.890 ;
+        RECT 0.650 2.675 7.345 2.815 ;
+        RECT 0.650 2.485 0.790 2.675 ;
+        RECT 7.055 2.600 7.345 2.675 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 8.975 2.410 9.265 2.485 ;
+        RECT 3.770 2.270 9.265 2.410 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 3.770 1.060 3.910 2.270 ;
+        RECT 5.690 2.070 5.830 2.270 ;
+        RECT 8.975 2.195 9.265 2.270 ;
+        RECT 5.615 1.780 5.905 2.070 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 3.770 0.920 4.465 1.060 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 9.050 0.730 9.190 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+        RECT 8.975 0.440 9.265 0.730 ;
+  END
+END XNOR2X1
+END LIBRARY
+
diff --git a/cells/mag/XNOR2X1.mag b/cells/mag/XNOR2X1.mag
new file mode 100644
index 0000000..eca7395
--- /dev/null
+++ b/cells/mag/XNOR2X1.mag
@@ -0,0 +1,590 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953872
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 2016 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+rect 1425 48 1455 132
+rect 1713 48 1743 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+rect 1425 450 1455 618
+rect 1713 450 1743 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 48 849 132
+rect 879 100 943 132
+rect 977 132 989 134
+rect 1795 134 1853 146
+rect 1795 132 1807 134
+rect 977 100 1137 132
+rect 879 48 1137 100
+rect 1167 48 1425 132
+rect 1455 102 1713 132
+rect 1455 68 1519 102
+rect 1553 68 1713 102
+rect 1455 48 1713 68
+rect 1743 100 1807 132
+rect 1841 132 1853 134
+rect 1841 100 1901 132
+rect 1743 48 1901 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 450 849 618
+rect 879 485 1137 618
+rect 879 451 943 485
+rect 977 451 1137 485
+rect 879 450 1137 451
+rect 1167 450 1425 618
+rect 1455 598 1713 618
+rect 1455 564 1519 598
+rect 1553 564 1713 598
+rect 1455 450 1713 564
+rect 1743 485 1901 618
+rect 1743 451 1807 485
+rect 1841 451 1901 485
+rect 1743 450 1901 451
+rect 115 439 173 450
+rect 931 439 989 450
+rect 1795 439 1853 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 943 100 977 134
+rect 1519 68 1553 102
+rect 1807 100 1841 134
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 943 451 977 485
+rect 1519 564 1553 598
+rect 1807 451 1841 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 1425 618 1455 644
+rect 1713 618 1743 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 1425 418 1455 450
+rect 1713 418 1743 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 1695 402 1761 418
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 1695 215 1761 231
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 1425 132 1455 165
+rect 1713 132 1743 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+rect 1425 22 1455 48
+rect 1713 22 1743 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 1711 368 1745 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 1711 181 1745 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2016 683
+rect 31 618 1985 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 1503 598 1569 618
+rect 351 548 417 564
+rect 1503 564 1519 598
+rect 1553 564 1569 598
+rect 1503 548 1569 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 111 435 177 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 184 897 215
+rect 881 181 893 184
+rect 831 165 893 181
+rect 943 150 977 435
+rect 1423 418 1457 532
+rect 1791 485 1857 501
+rect 1791 452 1807 485
+rect 1795 451 1807 452
+rect 1841 451 1857 485
+rect 1795 435 1857 451
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 1695 402 1761 418
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 1423 231 1457 352
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 1695 215 1761 231
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 927 134 993 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 927 100 943 134
+rect 977 100 993 134
+rect 1795 134 1857 150
+rect 1795 131 1807 134
+rect 927 84 993 100
+rect 1503 102 1569 118
+rect 351 48 417 68
+rect 1503 68 1519 102
+rect 1553 68 1569 102
+rect 1791 100 1807 131
+rect 1841 100 1857 134
+rect 1791 84 1857 100
+rect 1503 48 1569 68
+rect 31 17 1985 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2016 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 1423 532 1457 566
+rect 1519 564 1553 598
+rect 127 451 161 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1807 451 1841 485
+rect 1135 368 1169 402
+rect 1711 368 1745 402
+rect 1135 181 1169 215
+rect 1711 181 1745 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 943 100 977 134
+rect 1519 68 1553 102
+rect 1807 100 1841 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+<< metal1 >>
+rect 0 683 2016 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2016 683
+rect 0 618 2016 649
+rect 1507 598 1565 618
+rect 1411 566 1469 578
+rect 1411 563 1423 566
+rect 130 535 1423 563
+rect 130 497 158 535
+rect 1411 532 1423 535
+rect 1457 532 1469 566
+rect 1507 564 1519 598
+rect 1553 564 1565 598
+rect 1507 552 1565 564
+rect 1411 520 1469 532
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 1795 485 1853 497
+rect 1795 482 1807 485
+rect 115 439 173 451
+rect 754 454 1807 482
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 212 317 215
+rect 547 215 605 227
+rect 547 212 559 215
+rect 305 184 559 212
+rect 305 181 317 184
+rect 259 169 317 181
+rect 547 181 559 184
+rect 593 181 605 215
+rect 754 212 782 454
+rect 1138 414 1166 454
+rect 1795 451 1807 454
+rect 1841 451 1853 485
+rect 1795 439 1853 451
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 399 893 402
+rect 1123 402 1181 414
+rect 881 371 1070 399
+rect 881 368 893 371
+rect 835 356 893 368
+rect 835 215 893 227
+rect 835 212 847 215
+rect 754 184 847 212
+rect 547 169 605 181
+rect 835 181 847 184
+rect 881 181 893 215
+rect 1042 212 1070 371
+rect 1123 368 1135 402
+rect 1169 368 1181 402
+rect 1123 356 1181 368
+rect 1699 402 1757 414
+rect 1699 368 1711 402
+rect 1745 368 1757 402
+rect 1699 356 1757 368
+rect 1714 227 1742 356
+rect 1123 215 1181 227
+rect 1123 212 1135 215
+rect 1042 184 1135 212
+rect 835 169 893 181
+rect 1123 181 1135 184
+rect 1169 212 1181 215
+rect 1699 215 1757 227
+rect 1699 212 1711 215
+rect 1169 184 1711 212
+rect 1169 181 1181 184
+rect 1123 169 1181 181
+rect 1699 181 1711 184
+rect 1745 181 1757 215
+rect 1699 169 1757 181
+rect 1810 146 1838 439
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 931 134 989 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 1795 134 1853 146
+rect 931 88 989 100
+rect 1507 102 1565 114
+rect 355 48 413 68
+rect 1507 68 1519 102
+rect 1553 68 1565 102
+rect 1795 100 1807 134
+rect 1841 100 1853 134
+rect 1795 88 1853 100
+rect 1507 48 1565 68
+rect 0 17 2016 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2016 17
+rect 0 -48 2016 -17
+<< labels >>
+rlabel metal1 0 618 2016 714 0 VPWR
+port 3 se
+rlabel metal1 0 618 2016 714 0 VPWR
+port 3 se
+rlabel metal1 0 -48 2016 48 0 VGND
+port 2 se
+rlabel metal1 0 -48 2016 48 0 VGND
+port 2 se
+rlabel metal1 931 88 989 146 0 Y
+port 4 se
+rlabel metal1 259 169 317 184 0 B
+port 1 se
+rlabel metal1 547 169 605 184 0 B
+port 1 se
+rlabel metal1 259 184 605 212 0 B
+port 1 se
+rlabel metal1 259 212 317 227 0 B
+port 1 se
+rlabel metal1 547 212 605 227 0 B
+port 1 se
+rlabel metal1 274 227 302 356 0 B
+port 1 se
+rlabel metal1 562 227 590 356 0 B
+port 1 se
+rlabel metal1 259 356 317 414 0 B
+port 1 se
+rlabel metal1 547 356 605 414 0 B
+port 1 se
+rlabel metal1 1123 169 1181 184 0 A
+port 0 se
+rlabel metal1 1699 169 1757 184 0 A
+port 0 se
+rlabel metal1 1042 184 1757 212 0 A
+port 0 se
+rlabel metal1 1123 212 1181 227 0 A
+port 0 se
+rlabel metal1 1699 212 1757 227 0 A
+port 0 se
+rlabel metal1 1714 227 1742 356 0 A
+port 0 se
+rlabel metal1 835 356 893 371 0 A
+port 0 se
+rlabel metal1 1042 212 1070 371 0 A
+port 0 se
+rlabel metal1 835 371 1070 399 0 A
+port 0 se
+rlabel metal1 835 399 893 414 0 A
+port 0 se
+rlabel metal1 1699 356 1757 414 0 A
+port 0 se
+rlabel locali 0 -17 2016 17 4 VGND
+port 2 se ground default abutment
+rlabel locali 31 17 1985 48 4 VGND
+port 2 se ground default abutment
+rlabel locali 0 649 2016 683 4 VPWR
+port 3 se power default abutment
+rlabel locali 31 618 1985 649 4 VGND
+port 2 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 2016 666
+<< end >>
diff --git a/cells/mag/XNOR2X1.mag.beforemagic b/cells/mag/XNOR2X1.mag.beforemagic
new file mode 100644
index 0000000..f5441af
--- /dev/null
+++ b/cells/mag/XNOR2X1.mag.beforemagic
@@ -0,0 +1,582 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624753981
+<< nwell >>
+rect 0 358 2016 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+rect 1425 48 1455 132
+rect 1713 48 1743 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+rect 1425 450 1455 618
+rect 1713 450 1743 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 48 849 132
+rect 879 100 943 132
+rect 977 132 989 134
+rect 1795 134 1853 146
+rect 1795 132 1807 134
+rect 977 100 1137 132
+rect 879 48 1137 100
+rect 1167 48 1425 132
+rect 1455 102 1713 132
+rect 1455 68 1519 102
+rect 1553 68 1713 102
+rect 1455 48 1713 68
+rect 1743 100 1807 132
+rect 1841 132 1853 134
+rect 1841 100 1901 132
+rect 1743 48 1901 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 450 849 618
+rect 879 485 1137 618
+rect 879 451 943 485
+rect 977 451 1137 485
+rect 879 450 1137 451
+rect 1167 450 1425 618
+rect 1455 598 1713 618
+rect 1455 564 1519 598
+rect 1553 564 1713 598
+rect 1455 450 1713 564
+rect 1743 485 1901 618
+rect 1743 451 1807 485
+rect 1841 451 1901 485
+rect 1743 450 1901 451
+rect 115 439 173 450
+rect 931 439 989 450
+rect 1795 439 1853 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 943 100 977 134
+rect 1519 68 1553 102
+rect 1807 100 1841 134
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 943 451 977 485
+rect 1519 564 1553 598
+rect 1807 451 1841 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 1425 618 1455 644
+rect 1713 618 1743 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 1425 418 1455 450
+rect 1713 418 1743 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 1695 402 1761 418
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 1695 215 1761 231
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 1425 132 1455 165
+rect 1713 132 1743 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+rect 1425 22 1455 48
+rect 1713 22 1743 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 1711 368 1745 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 1711 181 1745 215
+<< locali >>
+rect 0 683 2016 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2016 683
+rect 0 618 2016 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 1503 598 1569 618
+rect 351 548 417 564
+rect 1503 564 1519 598
+rect 1553 564 1569 598
+rect 1503 548 1569 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 927 485 993 501
+rect 927 452 943 485
+rect 111 435 177 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 931 435 993 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 184 897 215
+rect 881 181 893 184
+rect 831 165 893 181
+rect 943 150 977 435
+rect 1423 418 1457 532
+rect 1791 485 1857 501
+rect 1791 452 1807 485
+rect 1795 451 1807 452
+rect 1841 451 1857 485
+rect 1795 435 1857 451
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 1695 402 1761 418
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 1423 231 1457 352
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 1695 215 1761 231
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 927 134 993 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 927 100 943 134
+rect 977 100 993 134
+rect 1795 134 1857 150
+rect 1795 131 1807 134
+rect 927 84 993 100
+rect 1503 102 1569 118
+rect 351 48 417 68
+rect 1503 68 1519 102
+rect 1553 68 1569 102
+rect 1791 100 1807 131
+rect 1841 100 1857 134
+rect 1791 84 1857 100
+rect 1503 48 1569 68
+rect 0 17 2016 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2016 17
+rect 0 -48 2016 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 1423 532 1457 566
+rect 1519 564 1553 598
+rect 127 451 161 485
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1807 451 1841 485
+rect 1135 368 1169 402
+rect 1711 368 1745 402
+rect 1135 181 1169 215
+rect 1711 181 1745 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 943 100 977 134
+rect 1519 68 1553 102
+rect 1807 100 1841 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+<< metal1 >>
+rect 0 683 2016 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2016 683
+rect 0 618 2016 649
+rect 1507 598 1565 618
+rect 1411 566 1469 578
+rect 1411 563 1423 566
+rect 130 535 1423 563
+rect 130 497 158 535
+rect 1411 532 1423 535
+rect 1457 532 1469 566
+rect 1507 564 1519 598
+rect 1553 564 1565 598
+rect 1507 552 1565 564
+rect 1411 520 1469 532
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 451 173 485
+rect 1795 485 1853 497
+rect 1795 482 1807 485
+rect 115 439 173 451
+rect 754 454 1807 482
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 368 317 402
+rect 259 356 317 368
+rect 547 402 605 414
+rect 547 368 559 402
+rect 593 368 605 402
+rect 547 356 605 368
+rect 274 227 302 356
+rect 562 227 590 356
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 212 317 215
+rect 547 215 605 227
+rect 547 212 559 215
+rect 305 184 559 212
+rect 305 181 317 184
+rect 259 169 317 181
+rect 547 181 559 184
+rect 593 181 605 215
+rect 754 212 782 454
+rect 1138 414 1166 454
+rect 1795 451 1807 454
+rect 1841 451 1853 485
+rect 1795 439 1853 451
+rect 835 402 893 414
+rect 835 368 847 402
+rect 881 399 893 402
+rect 1123 402 1181 414
+rect 881 371 1070 399
+rect 881 368 893 371
+rect 835 356 893 368
+rect 835 215 893 227
+rect 835 212 847 215
+rect 754 184 847 212
+rect 547 169 605 181
+rect 835 181 847 184
+rect 881 181 893 215
+rect 1042 212 1070 371
+rect 1123 368 1135 402
+rect 1169 368 1181 402
+rect 1123 356 1181 368
+rect 1699 402 1757 414
+rect 1699 368 1711 402
+rect 1745 368 1757 402
+rect 1699 356 1757 368
+rect 1714 227 1742 356
+rect 1123 215 1181 227
+rect 1123 212 1135 215
+rect 1042 184 1135 212
+rect 835 169 893 181
+rect 1123 181 1135 184
+rect 1169 212 1181 215
+rect 1699 215 1757 227
+rect 1699 212 1711 215
+rect 1169 184 1711 212
+rect 1169 181 1181 184
+rect 1123 169 1181 181
+rect 1699 181 1711 184
+rect 1745 181 1757 215
+rect 1699 169 1757 181
+rect 1810 146 1838 439
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 931 134 989 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 1795 134 1853 146
+rect 931 88 989 100
+rect 1507 102 1565 114
+rect 355 48 413 68
+rect 1507 68 1519 102
+rect 1553 68 1565 102
+rect 1795 100 1807 134
+rect 1841 100 1853 134
+rect 1795 88 1853 100
+rect 1507 48 1565 68
+rect 0 17 2016 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2016 17
+rect 0 -48 2016 -17
+<< labels >>
+rlabel locali 0 618 2016 714 0 VDD
+port 1 se
+rlabel metal1 0 618 2016 714 0 VDD
+port 2 se
+rlabel locali 0 -48 2016 48 0 GND
+port 3 se
+rlabel metal1 0 -48 2016 48 0 GND
+port 4 se
+rlabel metal1 931 88 989 146 0 Y
+port 5 se
+rlabel metal1 259 169 317 184 0 B
+port 6 se
+rlabel metal1 547 169 605 184 0 B
+port 7 se
+rlabel metal1 259 184 605 212 0 B
+port 8 se
+rlabel metal1 259 212 317 227 0 B
+port 9 se
+rlabel metal1 547 212 605 227 0 B
+port 10 se
+rlabel metal1 274 227 302 356 0 B
+port 11 se
+rlabel metal1 562 227 590 356 0 B
+port 12 se
+rlabel metal1 259 356 317 414 0 B
+port 13 se
+rlabel metal1 547 356 605 414 0 B
+port 14 se
+rlabel metal1 1123 169 1181 184 0 A
+port 15 se
+rlabel metal1 1699 169 1757 184 0 A
+port 16 se
+rlabel metal1 1042 184 1757 212 0 A
+port 17 se
+rlabel metal1 1123 212 1181 227 0 A
+port 18 se
+rlabel metal1 1699 212 1757 227 0 A
+port 19 se
+rlabel metal1 1714 227 1742 356 0 A
+port 20 se
+rlabel metal1 835 356 893 371 0 A
+port 21 se
+rlabel metal1 1042 212 1070 371 0 A
+port 22 se
+rlabel metal1 835 371 1070 399 0 A
+port 23 se
+rlabel metal1 835 399 893 414 0 A
+port 24 se
+rlabel metal1 1699 356 1757 414 0 A
+port 25 se
+<< properties >>
+string FIXED_BBOX 0 0 2016 666
+<< end >>
diff --git a/cells/mag/XOR2X1.lef b/cells/mag/XOR2X1.lef
new file mode 100644
index 0000000..3293112
--- /dev/null
+++ b/cells/mag/XOR2X1.lef
@@ -0,0 +1,214 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO XOR2X1
+  CLASS CORE ;
+  FOREIGN XOR2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 10.080 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.995 1.585 2.070 ;
+        RECT 5.615 1.995 5.905 2.070 ;
+        RECT 1.295 1.855 5.905 1.995 ;
+        RECT 1.295 1.780 1.585 1.855 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 4.250 1.135 4.390 1.855 ;
+        RECT 5.615 1.780 5.905 1.855 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+        RECT 4.175 0.845 4.465 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.155 0.825 4.465 0.920 ;
+      LAYER mcon ;
+        RECT 4.235 0.905 4.405 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.595 1.760 5.925 2.090 ;
+      LAYER mcon ;
+        RECT 5.675 1.840 5.845 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 2.815 3.025 2.890 ;
+        RECT 2.735 2.675 8.710 2.815 ;
+        RECT 2.735 2.600 3.025 2.675 ;
+        RECT 8.570 2.070 8.710 2.675 ;
+        RECT 8.495 1.780 8.785 2.070 ;
+        RECT 2.735 1.385 3.025 1.675 ;
+        RECT 2.810 1.135 2.950 1.385 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.795 2.090 2.965 2.830 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+        RECT 2.795 1.445 2.965 1.760 ;
+      LAYER mcon ;
+        RECT 2.795 2.660 2.965 2.830 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 7.535 0.240 7.825 0.570 ;
+        RECT 0.000 -0.240 10.080 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 7.515 0.240 7.845 0.590 ;
+        RECT 0.155 0.085 9.925 0.240 ;
+        RECT 0.000 -0.085 10.080 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 7.595 0.340 7.765 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 10.080 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 2.167200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 10.080 3.415 ;
+        RECT 0.155 3.090 9.925 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 7.515 2.740 7.845 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.661650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 10.080 3.330 ;
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+        RECT 4.235 2.090 4.405 2.425 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 8.955 2.260 9.285 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+        RECT 8.975 2.175 9.285 2.260 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.715 0.750 4.885 2.175 ;
+        RECT 7.035 1.760 7.365 2.090 ;
+        RECT 8.475 1.760 8.805 2.090 ;
+        RECT 8.555 1.155 8.725 1.760 ;
+        RECT 5.595 0.825 5.925 1.155 ;
+        RECT 7.035 0.825 7.365 1.155 ;
+        RECT 8.475 0.825 8.805 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+        RECT 4.635 0.420 4.965 0.750 ;
+        RECT 8.975 0.655 9.285 0.750 ;
+        RECT 8.955 0.420 9.285 0.655 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+        RECT 4.235 2.255 4.405 2.425 ;
+        RECT 9.035 2.255 9.205 2.425 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 7.115 1.840 7.285 2.010 ;
+        RECT 8.555 1.840 8.725 2.010 ;
+        RECT 5.675 0.905 5.845 1.075 ;
+        RECT 7.115 0.905 7.285 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+        RECT 9.035 0.500 9.205 0.670 ;
+      LAYER met1 ;
+        RECT 0.575 2.410 0.865 2.485 ;
+        RECT 4.175 2.410 4.465 2.485 ;
+        RECT 0.575 2.270 6.310 2.410 ;
+        RECT 0.575 2.195 0.865 2.270 ;
+        RECT 4.175 2.195 4.465 2.270 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 5.615 1.060 5.905 1.135 ;
+        RECT 6.170 1.060 6.310 2.270 ;
+        RECT 8.975 2.195 9.265 2.485 ;
+        RECT 7.055 1.780 7.345 2.070 ;
+        RECT 7.130 1.135 7.270 1.780 ;
+        RECT 5.615 0.920 6.310 1.060 ;
+        RECT 7.055 1.060 7.345 1.135 ;
+        RECT 9.050 1.060 9.190 2.195 ;
+        RECT 7.055 0.920 9.190 1.060 ;
+        RECT 5.615 0.845 5.905 0.920 ;
+        RECT 7.055 0.845 7.345 0.920 ;
+        RECT 9.050 0.730 9.190 0.920 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+        RECT 8.975 0.440 9.265 0.730 ;
+  END
+END XOR2X1
+END LIBRARY
+
diff --git a/cells/mag/XOR2X1.mag b/cells/mag/XOR2X1.mag
new file mode 100644
index 0000000..f99cd61
--- /dev/null
+++ b/cells/mag/XOR2X1.mag
@@ -0,0 +1,577 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624953873
+<< checkpaint >>
+rect -1260 -1374 11260 2038
+<< nwell >>
+rect 0 358 2016 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+rect 1425 48 1455 132
+rect 1713 48 1743 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+rect 1425 450 1455 618
+rect 1713 450 1743 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 48 849 132
+rect 879 100 943 132
+rect 977 132 989 134
+rect 1795 134 1853 146
+rect 1795 132 1807 134
+rect 977 100 1137 132
+rect 879 48 1137 100
+rect 1167 48 1425 132
+rect 1455 102 1713 132
+rect 1455 68 1519 102
+rect 1553 68 1713 102
+rect 1455 48 1713 68
+rect 1743 100 1807 132
+rect 1841 132 1853 134
+rect 1841 100 1901 132
+rect 1743 48 1901 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 450 849 618
+rect 879 485 1137 618
+rect 879 451 943 485
+rect 977 451 1137 485
+rect 879 450 1137 451
+rect 1167 450 1425 618
+rect 1455 598 1713 618
+rect 1455 564 1519 598
+rect 1553 564 1713 598
+rect 1455 450 1713 564
+rect 1743 485 1901 618
+rect 1743 451 1807 485
+rect 1841 451 1901 485
+rect 1743 450 1901 451
+rect 115 439 173 450
+rect 931 439 989 450
+rect 1795 439 1853 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 943 100 977 134
+rect 1519 68 1553 102
+rect 1807 100 1841 134
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 943 451 977 485
+rect 1519 564 1553 598
+rect 1807 451 1841 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 1425 618 1455 644
+rect 1713 618 1743 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 1425 418 1455 450
+rect 1713 418 1743 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 1695 402 1761 418
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 1695 215 1761 231
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 1425 132 1455 165
+rect 1713 132 1743 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+rect 1425 22 1455 48
+rect 1713 22 1743 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 1711 368 1745 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 1711 181 1745 215
+<< locali >>
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2016 683
+rect 31 618 1985 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 1503 598 1569 618
+rect 351 548 417 564
+rect 1503 564 1519 598
+rect 1553 564 1569 598
+rect 1503 548 1569 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 559 418 593 532
+rect 927 485 993 501
+rect 927 452 943 485
+rect 847 418 881 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 1791 485 1857 501
+rect 1791 452 1807 485
+rect 931 435 993 451
+rect 1795 451 1807 452
+rect 1841 451 1857 485
+rect 1795 435 1857 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 559 323 593 352
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 184 897 215
+rect 881 181 893 184
+rect 831 165 893 181
+rect 943 150 977 435
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 1695 402 1761 418
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 1711 231 1745 352
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 1695 215 1761 231
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 927 134 993 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 927 100 943 134
+rect 977 100 993 134
+rect 1795 134 1857 150
+rect 1795 131 1807 134
+rect 927 84 993 100
+rect 1503 102 1569 118
+rect 351 48 417 68
+rect 1503 68 1519 102
+rect 1553 68 1569 102
+rect 1791 100 1807 131
+rect 1841 100 1857 134
+rect 1791 84 1857 100
+rect 1503 48 1569 68
+rect 31 17 1985 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2016 17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 367 564 401 598
+rect 559 532 593 566
+rect 127 451 161 485
+rect 847 451 881 485
+rect 1807 451 1841 485
+rect 271 368 305 402
+rect 559 289 593 323
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 1711 368 1745 402
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 943 100 977 134
+rect 1519 68 1553 102
+rect 1807 100 1841 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+<< metal1 >>
+rect 0 683 2016 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2016 683
+rect 0 618 2016 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 547 566 605 578
+rect 547 532 559 566
+rect 593 563 605 566
+rect 593 535 1742 563
+rect 593 532 605 535
+rect 547 520 605 532
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 482 173 485
+rect 835 485 893 497
+rect 835 482 847 485
+rect 161 454 847 482
+rect 161 451 173 454
+rect 115 439 173 451
+rect 835 451 847 454
+rect 881 482 893 485
+rect 881 454 1262 482
+rect 881 451 893 454
+rect 835 439 893 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 399 317 402
+rect 1123 402 1181 414
+rect 1123 399 1135 402
+rect 305 371 1135 399
+rect 305 368 317 371
+rect 259 356 317 368
+rect 274 227 302 356
+rect 547 323 605 335
+rect 547 289 559 323
+rect 593 289 605 323
+rect 547 277 605 289
+rect 562 227 590 277
+rect 850 227 878 371
+rect 1123 368 1135 371
+rect 1169 368 1181 402
+rect 1123 356 1181 368
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 835 215 893 227
+rect 835 181 847 215
+rect 881 181 893 215
+rect 835 169 893 181
+rect 1123 215 1181 227
+rect 1123 181 1135 215
+rect 1169 212 1181 215
+rect 1234 212 1262 454
+rect 1714 414 1742 535
+rect 1795 485 1853 497
+rect 1795 451 1807 485
+rect 1841 451 1853 485
+rect 1795 439 1853 451
+rect 1411 402 1469 414
+rect 1411 368 1423 402
+rect 1457 368 1469 402
+rect 1411 356 1469 368
+rect 1699 402 1757 414
+rect 1699 368 1711 402
+rect 1745 368 1757 402
+rect 1699 356 1757 368
+rect 1426 227 1454 356
+rect 1169 184 1262 212
+rect 1411 215 1469 227
+rect 1169 181 1181 184
+rect 1123 169 1181 181
+rect 1411 181 1423 215
+rect 1457 212 1469 215
+rect 1810 212 1838 439
+rect 1457 184 1838 212
+rect 1457 181 1469 184
+rect 1411 169 1469 181
+rect 1810 146 1838 184
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 931 134 989 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 1795 134 1853 146
+rect 931 88 989 100
+rect 1507 102 1565 114
+rect 355 48 413 68
+rect 1507 68 1519 102
+rect 1553 68 1565 102
+rect 1795 100 1807 134
+rect 1841 100 1853 134
+rect 1795 88 1853 100
+rect 1507 48 1565 68
+rect 0 17 2016 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2016 17
+rect 0 -48 2016 -17
+<< labels >>
+rlabel metal1 0 618 2016 714 0 VPWR
+port 3 se
+rlabel metal1 0 618 2016 714 0 VPWR
+port 3 se
+rlabel metal1 0 -48 2016 48 0 VGND
+port 2 se
+rlabel metal1 931 88 989 146 0 Y
+port 4 se
+rlabel metal1 259 169 317 227 0 A
+port 0 se
+rlabel metal1 835 169 893 227 0 A
+port 0 se
+rlabel metal1 274 227 302 356 0 A
+port 0 se
+rlabel metal1 259 356 317 371 0 A
+port 0 se
+rlabel metal1 850 227 878 371 0 A
+port 0 se
+rlabel metal1 1123 356 1181 371 0 A
+port 0 se
+rlabel metal1 259 371 1181 399 0 A
+port 0 se
+rlabel metal1 259 399 317 414 0 A
+port 0 se
+rlabel metal1 1123 399 1181 414 0 A
+port 0 se
+rlabel metal1 547 169 605 227 0 B
+port 1 se
+rlabel metal1 562 227 590 277 0 B
+port 1 se
+rlabel metal1 547 277 605 335 0 B
+port 1 se
+rlabel locali 0 -17 2016 17 4 VGND
+port 2 se ground default abutment
+rlabel locali 31 17 1985 48 4 VGND
+port 2 se ground default abutment
+rlabel locali 0 649 2016 683 4 VPWR
+port 3 se power default abutment
+rlabel locali 31 618 1985 649 4 VGND
+port 2 se power default abutment
+<< properties >>
+string FIXED_BBOX 0 0 2016 666
+<< end >>
diff --git a/cells/mag/XOR2X1.mag.beforemagic b/cells/mag/XOR2X1.mag.beforemagic
new file mode 100644
index 0000000..5a5a4f8
--- /dev/null
+++ b/cells/mag/XOR2X1.mag.beforemagic
@@ -0,0 +1,571 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624754072
+<< nwell >>
+rect 0 358 2016 666
+<< nmos >>
+rect 273 48 303 132
+rect 561 48 591 132
+rect 849 48 879 132
+rect 1137 48 1167 132
+rect 1425 48 1455 132
+rect 1713 48 1743 132
+<< pmos >>
+rect 273 450 303 618
+rect 561 450 591 618
+rect 849 450 879 618
+rect 1137 450 1167 618
+rect 1425 450 1455 618
+rect 1713 450 1743 618
+<< ndiff >>
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 132 173 134
+rect 931 134 989 146
+rect 931 132 943 134
+rect 161 100 273 132
+rect 115 48 273 100
+rect 303 102 561 132
+rect 303 68 367 102
+rect 401 68 561 102
+rect 303 48 561 68
+rect 591 48 849 132
+rect 879 100 943 132
+rect 977 132 989 134
+rect 1795 134 1853 146
+rect 1795 132 1807 134
+rect 977 100 1137 132
+rect 879 48 1137 100
+rect 1167 48 1425 132
+rect 1455 102 1713 132
+rect 1455 68 1519 102
+rect 1553 68 1713 102
+rect 1455 48 1713 68
+rect 1743 100 1807 132
+rect 1841 132 1853 134
+rect 1841 100 1901 132
+rect 1743 48 1901 100
+<< pdiff >>
+rect 115 485 273 618
+rect 115 451 127 485
+rect 161 451 273 485
+rect 115 450 273 451
+rect 303 598 561 618
+rect 303 564 367 598
+rect 401 564 561 598
+rect 303 450 561 564
+rect 591 450 849 618
+rect 879 485 1137 618
+rect 879 451 943 485
+rect 977 451 1137 485
+rect 879 450 1137 451
+rect 1167 450 1425 618
+rect 1455 598 1713 618
+rect 1455 564 1519 598
+rect 1553 564 1713 598
+rect 1455 450 1713 564
+rect 1743 485 1901 618
+rect 1743 451 1807 485
+rect 1841 451 1901 485
+rect 1743 450 1901 451
+rect 115 439 173 450
+rect 931 439 989 450
+rect 1795 439 1853 450
+<< ndiffc >>
+rect 127 100 161 134
+rect 367 68 401 102
+rect 943 100 977 134
+rect 1519 68 1553 102
+rect 1807 100 1841 134
+<< pdiffc >>
+rect 127 451 161 485
+rect 367 564 401 598
+rect 943 451 977 485
+rect 1519 564 1553 598
+rect 1807 451 1841 485
+<< poly >>
+rect 273 618 303 644
+rect 561 618 591 644
+rect 849 618 879 644
+rect 1137 618 1167 644
+rect 1425 618 1455 644
+rect 1713 618 1743 644
+rect 273 418 303 450
+rect 561 418 591 450
+rect 849 418 879 450
+rect 1137 418 1167 450
+rect 1425 418 1455 450
+rect 1713 418 1743 450
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 1695 402 1761 418
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 181 897 215
+rect 831 165 897 181
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 1695 215 1761 231
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 273 132 303 165
+rect 561 132 591 165
+rect 849 132 879 165
+rect 1137 132 1167 165
+rect 1425 132 1455 165
+rect 1713 132 1743 165
+rect 273 22 303 48
+rect 561 22 591 48
+rect 849 22 879 48
+rect 1137 22 1167 48
+rect 1425 22 1455 48
+rect 1713 22 1743 48
+<< polycont >>
+rect 271 368 305 402
+rect 559 368 593 402
+rect 847 368 881 402
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 1711 368 1745 402
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 1711 181 1745 215
+<< locali >>
+rect 0 683 2016 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2016 683
+rect 0 618 2016 649
+rect 351 598 417 618
+rect 351 564 367 598
+rect 401 564 417 598
+rect 1503 598 1569 618
+rect 351 548 417 564
+rect 1503 564 1519 598
+rect 1553 564 1569 598
+rect 1503 548 1569 564
+rect 111 485 177 501
+rect 111 451 127 485
+rect 161 451 177 485
+rect 111 435 177 451
+rect 559 418 593 532
+rect 927 485 993 501
+rect 927 452 943 485
+rect 847 418 881 451
+rect 931 451 943 452
+rect 977 451 993 485
+rect 1791 485 1857 501
+rect 1791 452 1807 485
+rect 931 435 993 451
+rect 1795 451 1807 452
+rect 1841 451 1857 485
+rect 1795 435 1857 451
+rect 255 402 321 418
+rect 255 368 271 402
+rect 305 368 321 402
+rect 255 352 321 368
+rect 543 402 609 418
+rect 543 368 559 402
+rect 593 368 609 402
+rect 543 352 609 368
+rect 831 402 897 418
+rect 831 368 847 402
+rect 881 368 897 402
+rect 831 352 897 368
+rect 559 323 593 352
+rect 255 215 321 231
+rect 255 181 271 215
+rect 305 181 321 215
+rect 255 165 321 181
+rect 543 215 609 231
+rect 543 181 559 215
+rect 593 181 609 215
+rect 543 165 609 181
+rect 831 215 897 231
+rect 831 181 847 215
+rect 881 184 897 215
+rect 881 181 893 184
+rect 831 165 893 181
+rect 943 150 977 435
+rect 1119 402 1185 418
+rect 1119 368 1135 402
+rect 1169 368 1185 402
+rect 1119 352 1185 368
+rect 1407 402 1473 418
+rect 1407 368 1423 402
+rect 1457 368 1473 402
+rect 1407 352 1473 368
+rect 1695 402 1761 418
+rect 1695 368 1711 402
+rect 1745 368 1761 402
+rect 1695 352 1761 368
+rect 1711 231 1745 352
+rect 1119 215 1185 231
+rect 1119 181 1135 215
+rect 1169 181 1185 215
+rect 1119 165 1185 181
+rect 1407 215 1473 231
+rect 1407 181 1423 215
+rect 1457 181 1473 215
+rect 1407 165 1473 181
+rect 1695 215 1761 231
+rect 1695 181 1711 215
+rect 1745 181 1761 215
+rect 1695 165 1761 181
+rect 111 134 177 150
+rect 111 100 127 134
+rect 161 100 177 134
+rect 927 134 993 150
+rect 111 84 177 100
+rect 351 102 417 118
+rect 351 68 367 102
+rect 401 68 417 102
+rect 927 100 943 134
+rect 977 100 993 134
+rect 1795 134 1857 150
+rect 1795 131 1807 134
+rect 927 84 993 100
+rect 1503 102 1569 118
+rect 351 48 417 68
+rect 1503 68 1519 102
+rect 1553 68 1569 102
+rect 1791 100 1807 131
+rect 1841 100 1857 134
+rect 1791 84 1857 100
+rect 1503 48 1569 68
+rect 0 17 2016 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2016 17
+rect 0 -48 2016 -17
+<< viali >>
+rect 31 649 65 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 367 564 401 598
+rect 559 532 593 566
+rect 127 451 161 485
+rect 847 451 881 485
+rect 1807 451 1841 485
+rect 271 368 305 402
+rect 559 289 593 323
+rect 271 181 305 215
+rect 559 181 593 215
+rect 847 181 881 215
+rect 1135 368 1169 402
+rect 1423 368 1457 402
+rect 1711 368 1745 402
+rect 1135 181 1169 215
+rect 1423 181 1457 215
+rect 127 100 161 134
+rect 367 68 401 102
+rect 943 100 977 134
+rect 1519 68 1553 102
+rect 1807 100 1841 134
+rect 31 -17 65 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+<< metal1 >>
+rect 0 683 2016 714
+rect 0 649 31 683
+rect 65 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2016 683
+rect 0 618 2016 649
+rect 355 598 413 618
+rect 355 564 367 598
+rect 401 564 413 598
+rect 355 552 413 564
+rect 547 566 605 578
+rect 547 532 559 566
+rect 593 563 605 566
+rect 593 535 1742 563
+rect 593 532 605 535
+rect 547 520 605 532
+rect 115 485 173 497
+rect 115 451 127 485
+rect 161 482 173 485
+rect 835 485 893 497
+rect 835 482 847 485
+rect 161 454 847 482
+rect 161 451 173 454
+rect 115 439 173 451
+rect 835 451 847 454
+rect 881 482 893 485
+rect 881 454 1262 482
+rect 881 451 893 454
+rect 835 439 893 451
+rect 130 146 158 439
+rect 259 402 317 414
+rect 259 368 271 402
+rect 305 399 317 402
+rect 1123 402 1181 414
+rect 1123 399 1135 402
+rect 305 371 1135 399
+rect 305 368 317 371
+rect 259 356 317 368
+rect 274 227 302 356
+rect 547 323 605 335
+rect 547 289 559 323
+rect 593 289 605 323
+rect 547 277 605 289
+rect 562 227 590 277
+rect 850 227 878 371
+rect 1123 368 1135 371
+rect 1169 368 1181 402
+rect 1123 356 1181 368
+rect 259 215 317 227
+rect 259 181 271 215
+rect 305 181 317 215
+rect 259 169 317 181
+rect 547 215 605 227
+rect 547 181 559 215
+rect 593 181 605 215
+rect 547 169 605 181
+rect 835 215 893 227
+rect 835 181 847 215
+rect 881 181 893 215
+rect 835 169 893 181
+rect 1123 215 1181 227
+rect 1123 181 1135 215
+rect 1169 212 1181 215
+rect 1234 212 1262 454
+rect 1714 414 1742 535
+rect 1795 485 1853 497
+rect 1795 451 1807 485
+rect 1841 451 1853 485
+rect 1795 439 1853 451
+rect 1411 402 1469 414
+rect 1411 368 1423 402
+rect 1457 368 1469 402
+rect 1411 356 1469 368
+rect 1699 402 1757 414
+rect 1699 368 1711 402
+rect 1745 368 1757 402
+rect 1699 356 1757 368
+rect 1426 227 1454 356
+rect 1169 184 1262 212
+rect 1411 215 1469 227
+rect 1169 181 1181 184
+rect 1123 169 1181 181
+rect 1411 181 1423 215
+rect 1457 212 1469 215
+rect 1810 212 1838 439
+rect 1457 184 1838 212
+rect 1457 181 1469 184
+rect 1411 169 1469 181
+rect 1810 146 1838 184
+rect 115 134 173 146
+rect 115 100 127 134
+rect 161 100 173 134
+rect 931 134 989 146
+rect 115 88 173 100
+rect 355 102 413 114
+rect 355 68 367 102
+rect 401 68 413 102
+rect 931 100 943 134
+rect 977 100 989 134
+rect 1795 134 1853 146
+rect 931 88 989 100
+rect 1507 102 1565 114
+rect 355 48 413 68
+rect 1507 68 1519 102
+rect 1553 68 1565 102
+rect 1795 100 1807 134
+rect 1841 100 1853 134
+rect 1795 88 1853 100
+rect 1507 48 1565 68
+rect 0 17 2016 48
+rect 0 -17 31 17
+rect 65 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2016 17
+rect 0 -48 2016 -17
+<< labels >>
+rlabel locali 0 618 2016 714 0 VDD
+port 1 se
+rlabel metal1 0 618 2016 714 0 VDD
+port 2 se
+rlabel locali 0 -17 2016 17 0 GND
+port 3 se
+rlabel metal1 0 -48 2016 48 0 GND
+port 4 se
+rlabel metal1 931 88 989 146 0 Y
+port 5 se
+rlabel metal1 259 169 317 227 0 A
+port 6 se
+rlabel metal1 835 169 893 227 0 A
+port 7 se
+rlabel metal1 274 227 302 356 0 A
+port 8 se
+rlabel metal1 259 356 317 371 0 A
+port 9 se
+rlabel metal1 850 227 878 371 0 A
+port 10 se
+rlabel metal1 1123 356 1181 371 0 A
+port 11 se
+rlabel metal1 259 371 1181 399 0 A
+port 12 se
+rlabel metal1 259 399 317 414 0 A
+port 13 se
+rlabel metal1 1123 399 1181 414 0 A
+port 14 se
+rlabel metal1 547 169 605 227 0 B
+port 15 se
+rlabel metal1 562 227 590 277 0 B
+port 16 se
+rlabel metal1 547 277 605 335 0 B
+port 17 se
+<< properties >>
+string FIXED_BBOX 0 0 2016 666
+<< end >>
diff --git a/cells/mag/fixup.pl b/cells/mag/fixup.pl
new file mode 100755
index 0000000..503061a
--- /dev/null
+++ b/cells/mag/fixup.pl
@@ -0,0 +1,85 @@
+#!/usr/bin/perl -w
+use strict;
+
+foreach my $mag (<*.mag>)
+{
+  my $name=$mag; $name=~s/\.mag$//;
+  system "cp $mag $mag.beforemagic";
+
+  my $width=150;
+  my $min=100;
+  my $max=200;
+
+  open IN,"<$mag.beforemagic";
+  open OUT,">$mag";
+  while(<IN>)
+  {
+    if(m/string FIXED_BBOX 0 0 (\d+) (\d+)/)
+    {
+      $width=$1; $min=$1-31; $max=$min+31;
+      print "min: $min max: $max\nmagic $mag\nbox $min 17 $max 649\n";
+    }
+    s/\bVDD\b/VPWR/g;
+    s/\bGND\b/VGND/g;
+    print OUT $_;
+  }
+  close IN;
+  close OUT;
+
+my $cmd=<<EOF
+snap internal
+
+box 0 683 10000 778
+erase locali
+
+box 0 -114 10000 -17
+erase locali
+
+box 0 17 31 649
+erase locali
+
+box $min 17 $max 649
+erase locali
+
+box 0 -17 $width 17
+label VGND se locali
+port make
+port use ground
+port shape abutment
+
+box 31 17 $min 48
+label VGND se locali
+port make
+port use ground
+port shape abutment
+
+box 0 649 $width 683
+label VPWR se locali
+port make
+port use power
+port shape abutment
+
+box 31 618 $min 649
+label VGND se locali
+port make
+port use power
+port shape abutment
+
+port renumber
+save
+gds
+property LEFsite unit
+property LEFsymmetry "X Y"
+property LEFclass CORE
+lef write -toplayer
+quit
+EOF
+;
+  #print $cmd;
+  open MAGIC,"|magic -dnull -noconsole -T sky130A $mag";
+  print MAGIC $cmd;
+  close MAGIC;
+  system "mv $name.gds ../gds/$name.gds";
+  #system "mv $name.lef ../lef/$name.lef";
+}
+
diff --git a/cells/sp/AND2X1.sp b/cells/sp/AND2X1.sp
new file mode 100644
index 0000000..b8b4676
--- /dev/null
+++ b/cells/sp/AND2X1.sp
@@ -0,0 +1,11 @@
+*** Spice netlist generated by cell2spice.pl from cell file AND2X1.cell ***
+
+.subckt AND2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A 1 VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
+.ends AND2X1
+
diff --git a/cells/sp/AND2X2.sp b/cells/sp/AND2X2.sp
new file mode 100644
index 0000000..52e491f
--- /dev/null
+++ b/cells/sp/AND2X2.sp
@@ -0,0 +1,11 @@
+*** Spice netlist generated by cell2spice.pl from cell file AND2X2.cell ***
+
+.subckt AND2X2 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A 1 VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
+.ends AND2X2
+
diff --git a/cells/sp/AOI21X1.sp b/cells/sp/AOI21X1.sp
new file mode 100644
index 0000000..d89d52c
--- /dev/null
+++ b/cells/sp/AOI21X1.sp
@@ -0,0 +1,11 @@
+*** Spice netlist generated by cell2spice.pl from cell file AOI21X1.cell ***
+
+.subckt AOI21X1 VPWR VGND C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y C 1 VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 Y B 2 VGND nmos w=0.420u l=0.150u
+M5 Y C VGND VGND nmos w=0.420u l=0.150u
+.ends AOI21X1
+
diff --git a/cells/sp/AOI22X1.sp b/cells/sp/AOI22X1.sp
new file mode 100644
index 0000000..fa17705
--- /dev/null
+++ b/cells/sp/AOI22X1.sp
@@ -0,0 +1,13 @@
+*** Spice netlist generated by cell2spice.pl from cell file AOI22X1.cell ***
+
+.subckt AOI22X1 VPWR VGND D C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y D 1 VPWR pmos w=0.840u l=0.150u
+M3 1 C Y VPWR pmos w=0.840u l=0.150u
+M4 2 A VGND VGND nmos w=0.420u l=0.150u
+M5 Y B 2 VGND nmos w=0.420u l=0.150u
+M6 3 D Y VGND nmos w=0.420u l=0.150u
+M7 3 C VGND VGND nmos w=0.420u l=0.150u
+.ends AOI22X1
+
diff --git a/cells/sp/BUFX2.sp b/cells/sp/BUFX2.sp
new file mode 100644
index 0000000..ceda5b0
--- /dev/null
+++ b/cells/sp/BUFX2.sp
@@ -0,0 +1,9 @@
+*** Spice netlist generated by cell2spice.pl from cell file BUFX2.cell ***
+
+.subckt BUFX2 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M2 1 A VGND VGND nmos w=0.420u l=0.150u
+M3 Y 1 VGND VGND nmos w=0.420u l=0.150u
+.ends BUFX2
+
diff --git a/cells/sp/BUFX4.sp b/cells/sp/BUFX4.sp
new file mode 100644
index 0000000..8833460
--- /dev/null
+++ b/cells/sp/BUFX4.sp
@@ -0,0 +1,11 @@
+*** Spice netlist generated by cell2spice.pl from cell file BUFX4.cell ***
+
+.subckt BUFX4 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 1 A VGND VGND nmos w=0.420u l=0.150u
+M4 Y 1 VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
+.ends BUFX4
+
diff --git a/cells/sp/CLKBUF1.sp b/cells/sp/CLKBUF1.sp
new file mode 100644
index 0000000..4a5dd4f
--- /dev/null
+++ b/cells/sp/CLKBUF1.sp
@@ -0,0 +1,21 @@
+*** Spice netlist generated by cell2spice.pl from cell file CLKBUF1.cell ***
+
+.subckt CLKBUF1 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M5 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M6 Y 3 VPWR VPWR pmos w=0.840u l=0.150u
+M7 Y 3 VPWR VPWR pmos w=0.840u l=0.150u
+M8 1 A VGND VGND nmos w=0.420u l=0.150u
+M9 1 A VGND VGND nmos w=0.420u l=0.150u
+M10 2 1 VGND VGND nmos w=0.420u l=0.150u
+M11 2 1 VGND VGND nmos w=0.420u l=0.150u
+M12 3 2 VGND VGND nmos w=0.420u l=0.150u
+M13 3 2 VGND VGND nmos w=0.420u l=0.150u
+M14 Y 3 VGND VGND nmos w=0.420u l=0.150u
+M15 Y 3 VGND VGND nmos w=0.420u l=0.150u
+.ends CLKBUF1
+
diff --git a/cells/sp/INV.sp b/cells/sp/INV.sp
new file mode 100644
index 0000000..4e1b147
--- /dev/null
+++ b/cells/sp/INV.sp
@@ -0,0 +1,7 @@
+*** Spice netlist generated by cell2spice.pl from cell file INV.cell ***
+
+.subckt INV VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
+.ends INV
+
diff --git a/cells/sp/INVX1.sp b/cells/sp/INVX1.sp
new file mode 100644
index 0000000..ba02db5
--- /dev/null
+++ b/cells/sp/INVX1.sp
@@ -0,0 +1,7 @@
+*** Spice netlist generated by cell2spice.pl from cell file INVX1.cell ***
+
+.subckt INVX1 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
+.ends INVX1
+
diff --git a/cells/sp/INVX2.sp b/cells/sp/INVX2.sp
new file mode 100644
index 0000000..34f6635
--- /dev/null
+++ b/cells/sp/INVX2.sp
@@ -0,0 +1,7 @@
+*** Spice netlist generated by cell2spice.pl from cell file INVX2.cell ***
+
+.subckt INVX2 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
+.ends INVX2
+
diff --git a/cells/sp/INVX4.sp b/cells/sp/INVX4.sp
new file mode 100644
index 0000000..e14c489
--- /dev/null
+++ b/cells/sp/INVX4.sp
@@ -0,0 +1,9 @@
+*** Spice netlist generated by cell2spice.pl from cell file INVX4.cell ***
+
+.subckt INVX4 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A VGND VGND nmos w=0.420u l=0.150u
+M3 Y A VGND VGND nmos w=0.420u l=0.150u
+.ends INVX4
+
diff --git a/cells/sp/INVX8.sp b/cells/sp/INVX8.sp
new file mode 100644
index 0000000..25df2f9
--- /dev/null
+++ b/cells/sp/INVX8.sp
@@ -0,0 +1,13 @@
+*** Spice netlist generated by cell2spice.pl from cell file INVX8.cell ***
+
+.subckt INVX8 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M3 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M4 Y A VGND VGND nmos w=0.420u l=0.150u
+M5 Y A VGND VGND nmos w=0.420u l=0.150u
+M6 Y A VGND VGND nmos w=0.420u l=0.150u
+M7 Y A VGND VGND nmos w=0.420u l=0.150u
+.ends INVX8
+
diff --git a/cells/sp/MUX2X1.sp b/cells/sp/MUX2X1.sp
new file mode 100644
index 0000000..9108e11
--- /dev/null
+++ b/cells/sp/MUX2X1.sp
@@ -0,0 +1,15 @@
+*** Spice netlist generated by cell2spice.pl from cell file MUX2X1.cell ***
+
+.subckt MUX2X1 VPWR VGND S B A Y
+M0 1 S VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y S 2 VPWR pmos w=0.840u l=0.150u
+M3 3 1 Y VPWR pmos w=0.840u l=0.150u
+M4 3 A VPWR VPWR pmos w=0.840u l=0.150u
+M5 1 S VGND VGND nmos w=0.420u l=0.150u
+M6 4 B VGND VGND nmos w=0.420u l=0.150u
+M7 Y 1 4 VGND nmos w=0.420u l=0.150u
+M8 5 S Y VGND nmos w=0.420u l=0.150u
+M9 5 A VGND VGND nmos w=0.420u l=0.150u
+.ends MUX2X1
+
diff --git a/cells/sp/NAND2X1.sp b/cells/sp/NAND2X1.sp
new file mode 100644
index 0000000..ff3aae1
--- /dev/null
+++ b/cells/sp/NAND2X1.sp
@@ -0,0 +1,9 @@
+*** Spice netlist generated by cell2spice.pl from cell file NAND2X1.cell ***
+
+.subckt NAND2X1 VPWR VGND B A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B VPWR VPWR pmos w=0.840u l=0.150u
+M2 1 A VGND VGND nmos w=0.420u l=0.150u
+M3 Y B 1 VGND nmos w=0.420u l=0.150u
+.ends NAND2X1
+
diff --git a/cells/sp/NAND3X1.sp b/cells/sp/NAND3X1.sp
new file mode 100644
index 0000000..007528c
--- /dev/null
+++ b/cells/sp/NAND3X1.sp
@@ -0,0 +1,11 @@
+*** Spice netlist generated by cell2spice.pl from cell file NAND3X1.cell ***
+
+.subckt NAND3X1 VPWR VGND C B A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y C VPWR VPWR pmos w=0.840u l=0.150u
+M3 1 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B 1 VGND nmos w=0.420u l=0.150u
+M5 Y C 2 VGND nmos w=0.420u l=0.150u
+.ends NAND3X1
+
diff --git a/cells/sp/OR2X1.sp b/cells/sp/OR2X1.sp
new file mode 100644
index 0000000..dca4905
--- /dev/null
+++ b/cells/sp/OR2X1.sp
@@ -0,0 +1,11 @@
+*** Spice netlist generated by cell2spice.pl from cell file OR2X1.cell ***
+
+.subckt OR2X1 VPWR VGND B A Y
+M0 1 A 2 VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 2 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 2 VGND VGND nmos w=0.420u l=0.150u
+.ends OR2X1
+
diff --git a/cells/sp/OR2X2.sp b/cells/sp/OR2X2.sp
new file mode 100644
index 0000000..4b733a9
--- /dev/null
+++ b/cells/sp/OR2X2.sp
@@ -0,0 +1,11 @@
+*** Spice netlist generated by cell2spice.pl from cell file OR2X2.cell ***
+
+.subckt OR2X2 VPWR VGND B A Y
+M0 1 A 2 VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 2 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 2 VGND VGND nmos w=0.420u l=0.150u
+.ends OR2X2
+
diff --git a/cells/sp/XNOR2X1.sp b/cells/sp/XNOR2X1.sp
new file mode 100644
index 0000000..1f04d15
--- /dev/null
+++ b/cells/sp/XNOR2X1.sp
@@ -0,0 +1,17 @@
+*** Spice netlist generated by cell2spice.pl from cell file XNOR2X1.cell ***
+
+.subckt XNOR2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 3 VPWR pmos w=0.840u l=0.150u
+M3 4 A Y VPWR pmos w=0.840u l=0.150u
+M4 4 B VPWR VPWR pmos w=0.840u l=0.150u
+M5 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M6 1 A VGND VGND nmos w=0.420u l=0.150u
+M7 5 2 VGND VGND nmos w=0.420u l=0.150u
+M8 Y A 5 VGND nmos w=0.420u l=0.150u
+M9 6 1 Y VGND nmos w=0.420u l=0.150u
+M10 6 B VGND VGND nmos w=0.420u l=0.150u
+M11 2 B VGND VGND nmos w=0.420u l=0.150u
+.ends XNOR2X1
+
diff --git a/cells/sp/XOR2X1.sp b/cells/sp/XOR2X1.sp
new file mode 100644
index 0000000..4099227
--- /dev/null
+++ b/cells/sp/XOR2X1.sp
@@ -0,0 +1,17 @@
+*** Spice netlist generated by cell2spice.pl from cell file XOR2X1.cell ***
+
+.subckt XOR2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A 3 VPWR pmos w=0.840u l=0.150u
+M3 4 1 Y VPWR pmos w=0.840u l=0.150u
+M4 4 B VPWR VPWR pmos w=0.840u l=0.150u
+M5 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M6 1 A VGND VGND nmos w=0.420u l=0.150u
+M7 5 2 VGND VGND nmos w=0.420u l=0.150u
+M8 Y 1 5 VGND nmos w=0.420u l=0.150u
+M9 6 A Y VGND nmos w=0.420u l=0.150u
+M10 6 B VGND VGND nmos w=0.420u l=0.150u
+M11 2 B VGND VGND nmos w=0.420u l=0.150u
+.ends XOR2X1
+
diff --git a/cells/sp/fixup.pl b/cells/sp/fixup.pl
new file mode 100644
index 0000000..df2af34
--- /dev/null
+++ b/cells/sp/fixup.pl
@@ -0,0 +1,26 @@
+#!/usr/bin/perl -w
+use strict;
+
+sub readfile($)
+{
+  if(open MYRIN,"<$_[0]")
+  {
+    my $old=$/;
+    undef $/;
+    my $content=<MYRIN>;
+    close MYRIN;
+    $/=$old;
+    return $content;
+  }
+  return undef;
+}
+
+foreach my $sp (<*.sp>)
+{
+  my $content=readfile($sp);
+  $content=~s/\bvdd\b/VPWR/g;
+  $content=~s/\bgnd\b/VGND/g;
+  open OUT,">$sp";
+  print OUT $content;
+  close OUT;
+}
diff --git a/cells/sp/libresilicon.sp b/cells/sp/libresilicon.sp
new file mode 100644
index 0000000..1254c34
--- /dev/null
+++ b/cells/sp/libresilicon.sp
@@ -0,0 +1,777 @@
+*** Spice netlist generated by cell2spice.pl ***
+
+.subckt AND2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A 1 VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
+.ends AND2X1
+
+.subckt AND2X2 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A 1 VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
+.ends AND2X2
+
+.subckt AOI21X1 VPWR VGND C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y C 1 VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 Y B 2 VGND nmos w=0.420u l=0.150u
+M5 Y C VGND VGND nmos w=0.420u l=0.150u
+.ends AOI21X1
+
+.subckt AOI22X1 VPWR VGND D C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y D 1 VPWR pmos w=0.840u l=0.150u
+M3 1 C Y VPWR pmos w=0.840u l=0.150u
+M4 2 A VGND VGND nmos w=0.420u l=0.150u
+M5 Y B 2 VGND nmos w=0.420u l=0.150u
+M6 3 D Y VGND nmos w=0.420u l=0.150u
+M7 3 C VGND VGND nmos w=0.420u l=0.150u
+.ends AOI22X1
+
+.subckt BUFX2 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M2 1 A VGND VGND nmos w=0.420u l=0.150u
+M3 Y 1 VGND VGND nmos w=0.420u l=0.150u
+.ends BUFX2
+
+.subckt BUFX4 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 1 A VGND VGND nmos w=0.420u l=0.150u
+M4 Y 1 VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
+.ends BUFX4
+
+.subckt CLKBUF1 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M5 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M6 Y 3 VPWR VPWR pmos w=0.840u l=0.150u
+M7 Y 3 VPWR VPWR pmos w=0.840u l=0.150u
+M8 1 A VGND VGND nmos w=0.420u l=0.150u
+M9 1 A VGND VGND nmos w=0.420u l=0.150u
+M10 2 1 VGND VGND nmos w=0.420u l=0.150u
+M11 2 1 VGND VGND nmos w=0.420u l=0.150u
+M12 3 2 VGND VGND nmos w=0.420u l=0.150u
+M13 3 2 VGND VGND nmos w=0.420u l=0.150u
+M14 Y 3 VGND VGND nmos w=0.420u l=0.150u
+M15 Y 3 VGND VGND nmos w=0.420u l=0.150u
+.ends CLKBUF1
+
+.subckt CLKBUF2 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M5 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M6 4 3 VPWR VPWR pmos w=0.840u l=0.150u
+M7 4 3 VPWR VPWR pmos w=0.840u l=0.150u
+M8 5 4 VPWR VPWR pmos w=0.840u l=0.150u
+M9 5 4 VPWR VPWR pmos w=0.840u l=0.150u
+M10 Y 5 VPWR VPWR pmos w=0.840u l=0.150u
+M11 Y 5 VPWR VPWR pmos w=0.840u l=0.150u
+M12 1 A VGND VGND nmos w=0.420u l=0.150u
+M13 1 A VGND VGND nmos w=0.420u l=0.150u
+M14 2 1 VGND VGND nmos w=0.420u l=0.150u
+M15 2 1 VGND VGND nmos w=0.420u l=0.150u
+M16 3 2 VGND VGND nmos w=0.420u l=0.150u
+M17 3 2 VGND VGND nmos w=0.420u l=0.150u
+M18 4 3 VGND VGND nmos w=0.420u l=0.150u
+M19 4 3 VGND VGND nmos w=0.420u l=0.150u
+M20 5 4 VGND VGND nmos w=0.420u l=0.150u
+M21 5 4 VGND VGND nmos w=0.420u l=0.150u
+M22 Y 5 VGND VGND nmos w=0.420u l=0.150u
+M23 Y 5 VGND VGND nmos w=0.420u l=0.150u
+.ends CLKBUF2
+
+.subckt CLKBUF3 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M5 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M6 4 3 VPWR VPWR pmos w=0.840u l=0.150u
+M7 4 3 VPWR VPWR pmos w=0.840u l=0.150u
+M8 5 4 VPWR VPWR pmos w=0.840u l=0.150u
+M9 5 4 VPWR VPWR pmos w=0.840u l=0.150u
+M10 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M11 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M12 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M13 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M14 Y 7 VPWR VPWR pmos w=0.840u l=0.150u
+M15 Y 7 VPWR VPWR pmos w=0.840u l=0.150u
+M16 1 A VGND VGND nmos w=0.420u l=0.150u
+M17 1 A VGND VGND nmos w=0.420u l=0.150u
+M18 2 1 VGND VGND nmos w=0.420u l=0.150u
+M19 2 1 VGND VGND nmos w=0.420u l=0.150u
+M20 3 2 VGND VGND nmos w=0.420u l=0.150u
+M21 3 2 VGND VGND nmos w=0.420u l=0.150u
+M22 4 3 VGND VGND nmos w=0.420u l=0.150u
+M23 4 3 VGND VGND nmos w=0.420u l=0.150u
+M24 5 4 VGND VGND nmos w=0.420u l=0.150u
+M25 5 4 VGND VGND nmos w=0.420u l=0.150u
+M26 6 5 VGND VGND nmos w=0.420u l=0.150u
+M27 6 5 VGND VGND nmos w=0.420u l=0.150u
+M28 7 6 VGND VGND nmos w=0.420u l=0.150u
+M29 7 6 VGND VGND nmos w=0.420u l=0.150u
+M30 Y 7 VGND VGND nmos w=0.420u l=0.150u
+M31 Y 7 VGND VGND nmos w=0.420u l=0.150u
+.ends CLKBUF3
+
+.subckt DFFNEGX1 VPWR VGND D CLK Q
+M0 1 CLK VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 D VPWR VPWR pmos w=0.840u l=0.150u
+M2 3 1 2 VPWR pmos w=0.840u l=0.150u
+M3 4 CLK 3 VPWR pmos w=0.840u l=0.150u
+M4 4 5 VPWR VPWR pmos w=0.840u l=0.150u
+M5 5 3 VPWR VPWR pmos w=0.840u l=0.150u
+M6 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M7 7 CLK 6 VPWR pmos w=0.840u l=0.150u
+M8 8 1 7 VPWR pmos w=0.840u l=0.150u
+M9 8 Q VPWR VPWR pmos w=0.840u l=0.150u
+M10 1 CLK VGND VGND nmos w=0.420u l=0.150u
+M11 Q 7 VPWR VPWR pmos w=0.840u l=0.150u
+M12 9 D VGND VGND nmos w=0.420u l=0.150u
+M13 3 CLK 9 VGND nmos w=0.420u l=0.150u
+M14 10 1 3 VGND nmos w=0.420u l=0.150u
+M15 10 5 VGND VGND nmos w=0.420u l=0.150u
+M16 5 3 VGND VGND nmos w=0.420u l=0.150u
+M17 11 5 VGND VGND nmos w=0.420u l=0.150u
+M18 7 1 11 VGND nmos w=0.420u l=0.150u
+M19 12 CLK 7 VGND nmos w=0.420u l=0.150u
+M20 12 Q VGND VGND nmos w=0.420u l=0.150u
+M21 Q 7 VGND VGND nmos w=0.420u l=0.150u
+.ends DFFNEGX1
+
+.subckt DFFPOSX1 VPWR VGND D CLK Q
+M0 1 CLK VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 D VPWR VPWR pmos w=0.840u l=0.150u
+M2 3 CLK 2 VPWR pmos w=0.840u l=0.150u
+M3 4 1 3 VPWR pmos w=0.840u l=0.150u
+M4 4 5 VPWR VPWR pmos w=0.840u l=0.150u
+M5 5 3 VPWR VPWR pmos w=0.840u l=0.150u
+M6 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M7 7 1 6 VPWR pmos w=0.840u l=0.150u
+M8 8 CLK 7 VPWR pmos w=0.840u l=0.150u
+M9 8 Q VPWR VPWR pmos w=0.840u l=0.150u
+M10 1 CLK VGND VGND nmos w=0.420u l=0.150u
+M11 Q 7 VPWR VPWR pmos w=0.840u l=0.150u
+M12 9 D VGND VGND nmos w=0.420u l=0.150u
+M13 3 1 9 VGND nmos w=0.420u l=0.150u
+M14 10 CLK 3 VGND nmos w=0.420u l=0.150u
+M15 10 5 VGND VGND nmos w=0.420u l=0.150u
+M16 5 3 VGND VGND nmos w=0.420u l=0.150u
+M17 11 5 VGND VGND nmos w=0.420u l=0.150u
+M18 7 CLK 11 VGND nmos w=0.420u l=0.150u
+M19 12 1 7 VGND nmos w=0.420u l=0.150u
+M20 12 Q VGND VGND nmos w=0.420u l=0.150u
+M21 Q 7 VGND VGND nmos w=0.420u l=0.150u
+.ends DFFPOSX1
+
+.subckt DFFSR VPWR VGND S R D CLK Q
+M0 1 R VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 2 VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 3 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 S VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 4 1 VPWR pmos w=0.840u l=0.150u
+M5 6 5 3 VPWR pmos w=0.840u l=0.150u
+M6 6 D VPWR VPWR pmos w=0.840u l=0.150u
+M7 5 4 VPWR VPWR pmos w=0.840u l=0.150u
+M8 4 CLK VPWR VPWR pmos w=0.840u l=0.150u
+M9 7 4 2 VPWR pmos w=0.840u l=0.150u
+M10 8 5 7 VPWR pmos w=0.840u l=0.150u
+M11 9 7 VPWR VPWR pmos w=0.840u l=0.150u
+M12 9 R VPWR VPWR pmos w=0.840u l=0.150u
+M13 8 9 VPWR VPWR pmos w=0.840u l=0.150u
+M14 8 S VPWR VPWR pmos w=0.840u l=0.150u
+M15 Q 9 VPWR VPWR pmos w=0.840u l=0.150u
+M16 10 R 1 VGND nmos w=0.420u l=0.150u
+M17 10 2 VGND VGND nmos w=0.420u l=0.150u
+M18 11 3 VGND VGND nmos w=0.420u l=0.150u
+M19 2 S 11 VGND nmos w=0.420u l=0.150u
+M20 3 5 1 VGND nmos w=0.420u l=0.150u
+M21 6 4 3 VGND nmos w=0.420u l=0.150u
+M22 6 D VGND VGND nmos w=0.420u l=0.150u
+M23 5 4 VGND VGND nmos w=0.420u l=0.150u
+M24 4 CLK VGND VGND nmos w=0.420u l=0.150u
+M25 7 5 2 VGND nmos w=0.420u l=0.150u
+M26 8 4 7 VGND nmos w=0.420u l=0.150u
+M27 12 7 9 VGND nmos w=0.420u l=0.150u
+M28 12 R VGND VGND nmos w=0.420u l=0.150u
+M29 13 9 VGND VGND nmos w=0.420u l=0.150u
+M30 8 S 13 VGND nmos w=0.420u l=0.150u
+M31 Q 9 VGND VGND nmos w=0.420u l=0.150u
+.ends DFFSR
+
+.subckt FAX1 VPWR VGND C B A YS YC
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 C 1 VPWR pmos w=0.840u l=0.150u
+M3 3 B 2 VPWR pmos w=0.840u l=0.150u
+M4 3 A VPWR VPWR pmos w=0.840u l=0.150u
+M5 4 A VPWR VPWR pmos w=0.840u l=0.150u
+M6 4 B VPWR VPWR pmos w=0.840u l=0.150u
+M7 4 C VPWR VPWR pmos w=0.840u l=0.150u
+M8 5 2 4 VPWR pmos w=0.840u l=0.150u
+M9 6 C 5 VPWR pmos w=0.840u l=0.150u
+M10 7 B 6 VPWR pmos w=0.840u l=0.150u
+M11 7 A VPWR VPWR pmos w=0.840u l=0.150u
+M12 YS 5 VPWR VPWR pmos w=0.840u l=0.150u
+M13 YC 2 VPWR VPWR pmos w=0.840u l=0.150u
+M14 8 A VGND VGND nmos w=0.420u l=0.150u
+M15 8 B VGND VGND nmos w=0.420u l=0.150u
+M16 2 C 8 VGND nmos w=0.420u l=0.150u
+M17 9 B 2 VGND nmos w=0.420u l=0.150u
+M18 9 A VGND VGND nmos w=0.420u l=0.150u
+M19 10 A VGND VGND nmos w=0.420u l=0.150u
+M20 10 B VGND VGND nmos w=0.420u l=0.150u
+M21 10 C VGND VGND nmos w=0.420u l=0.150u
+M22 5 2 10 VGND nmos w=0.420u l=0.150u
+M23 11 C 5 VGND nmos w=0.420u l=0.150u
+M24 12 B 11 VGND nmos w=0.420u l=0.150u
+M25 12 A VGND VGND nmos w=0.420u l=0.150u
+M26 YS 5 VGND VGND nmos w=0.420u l=0.150u
+M27 YC 2 VGND VGND nmos w=0.420u l=0.150u
+.ends FAX1
+
+.subckt HAX1 VPWR VGND B A YS YC
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 YC 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 B 2 VPWR pmos w=0.840u l=0.150u
+M5 3 A VPWR VPWR pmos w=0.840u l=0.150u
+M6 YS 2 VPWR VPWR pmos w=0.840u l=0.150u
+M7 4 A VGND VGND nmos w=0.420u l=0.150u
+M8 1 B 4 VGND nmos w=0.420u l=0.150u
+M9 YC 1 VGND VGND nmos w=0.420u l=0.150u
+M10 5 1 VGND VGND nmos w=0.420u l=0.150u
+M11 2 B 5 VGND nmos w=0.420u l=0.150u
+M12 5 A 2 VGND nmos w=0.420u l=0.150u
+M13 YS 2 VGND VGND nmos w=0.420u l=0.150u
+.ends HAX1
+
+.subckt INV VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
+.ends INV
+
+.subckt INVX1 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
+.ends INVX1
+
+.subckt INVX2 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
+.ends INVX2
+
+.subckt INVX4 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A VGND VGND nmos w=0.420u l=0.150u
+M3 Y A VGND VGND nmos w=0.420u l=0.150u
+.ends INVX4
+
+.subckt INVX8 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M3 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M4 Y A VGND VGND nmos w=0.420u l=0.150u
+M5 Y A VGND VGND nmos w=0.420u l=0.150u
+M6 Y A VGND VGND nmos w=0.420u l=0.150u
+M7 Y A VGND VGND nmos w=0.420u l=0.150u
+.ends INVX8
+
+.subckt LATCH VPWR VGND D CLK Q
+M0 1 CLK VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 D VPWR VPWR pmos w=0.840u l=0.150u
+M2 3 1 2 VPWR pmos w=0.840u l=0.150u
+M3 4 CLK 3 VPWR pmos w=0.840u l=0.150u
+M4 4 Q VPWR VPWR pmos w=0.840u l=0.150u
+M5 1 CLK VGND VGND nmos w=0.420u l=0.150u
+M6 Q 3 VPWR VPWR pmos w=0.840u l=0.150u
+M7 5 D VGND VGND nmos w=0.420u l=0.150u
+M8 3 CLK 5 VGND nmos w=0.420u l=0.150u
+M9 6 1 3 VGND nmos w=0.420u l=0.150u
+M10 6 Q VGND VGND nmos w=0.420u l=0.150u
+M11 Q 3 VGND VGND nmos w=0.420u l=0.150u
+.ends LATCH
+
+.subckt LOFTY VPWR VGND asel_p asel_n bsel_p bsel_n muxsel_p muxsel_n usexor_p usexor_n usemux_p usemux_n q
+M0 q usemux_p N0 VGND nmos w=0.420u l=0.150u
+M1 q usemux_n N1 VGND nmos w=0.420u l=0.150u
+M2 N0 asel_p N00 VGND nmos w=0.420u l=0.150u
+M3 N0 asel_n N01 VGND nmos w=0.420u l=0.150u
+M4 N00 usexor_p VGND VGND nmos w=0.420u l=0.150u
+M5 N00 usexor_n N001 VGND nmos w=0.420u l=0.150u
+M6 N001 bsel_p VGND VGND nmos w=0.420u l=0.150u
+M7 N011 bsel_n VGND VGND nmos w=0.420u l=0.150u
+M8 N01 usexor_p N001 VGND nmos w=0.420u l=0.150u
+M9 N01 usexor_n N011 VGND nmos w=0.420u l=0.150u
+M10 N1 muxsel_n N001 VGND nmos w=0.420u l=0.150u
+M11 N1 muxsel_p N10 VGND nmos w=0.420u l=0.150u
+M12 N10 asel_p VGND VGND nmos w=0.420u l=0.150u
+M13 P0 usemux_n q VPWR pmos w=0.840u l=0.150u
+M14 P1 usemux_p q VPWR pmos w=0.840u l=0.150u
+M15 P00 asel_n P0 VPWR pmos w=0.840u l=0.150u
+M16 P01 asel_p P0 VPWR pmos w=0.840u l=0.150u
+M17 P001 usexor_p P00 VPWR pmos w=0.840u l=0.150u
+M18 vcc bsel_p P001 VPWR pmos w=0.840u l=0.150u
+M19 P001 usexor_n P01 VPWR pmos w=0.840u l=0.150u
+M20 P011 usexor_p P01 VPWR pmos w=0.840u l=0.150u
+M21 vcc bsel_n P011 VPWR pmos w=0.840u l=0.150u
+M22 P001 muxsel_p P1 VPWR pmos w=0.840u l=0.150u
+M23 P10 muxsel_n P1 VPWR pmos w=0.840u l=0.150u
+M24 vcc asel_p P10 VPWR pmos w=0.840u l=0.150u
+.ends LOFTY
+
+.subckt LOFTY2 VPWR VGND usemux_p muxsel_p asel_p usexor_p bsel_p usemux_n muxsel_n asel_n usexor_n bsel_n q
+M0 usemux_n usemux_p VPWR VPWR pmos w=0.840u l=0.150u
+M1 usemux_n usemux_p VGND VGND nmos w=0.420u l=0.150u
+M2 muxsel_n muxsel_p VPWR VPWR pmos w=0.840u l=0.150u
+M3 muxsel_n muxsel_p VGND VGND nmos w=0.420u l=0.150u
+M4 asel_n asel_p VPWR VPWR pmos w=0.840u l=0.150u
+M5 asel_n asel_p VGND VGND nmos w=0.420u l=0.150u
+M6 usexor_n usexor_p VPWR VPWR pmos w=0.840u l=0.150u
+M7 usexor_n usexor_p VGND VGND nmos w=0.420u l=0.150u
+M8 bsel_n bsel_p VPWR VPWR pmos w=0.840u l=0.150u
+M9 bsel_n bsel_p VGND VGND nmos w=0.420u l=0.150u
+M10 q usemux_n usemux0n VGND nmos w=0.420u l=0.150u
+M11 usemux0p usemux_p q VPWR pmos w=0.840u l=0.150u
+M12 q usemux_p usemux1n VGND nmos w=0.420u l=0.150u
+M13 usemux1p usemux_n q VPWR pmos w=0.840u l=0.150u
+M14 usemux1n muxsel_p usemux1muxsel1n VGND nmos w=0.420u l=0.150u
+M15 usemux1muxsel1p muxsel_n usemux1p VPWR pmos w=0.840u l=0.150u
+M16 usemux1n muxsel_n usemux1muxsel0n VGND nmos w=0.420u l=0.150u
+M17 usemux1muxsel0p muxsel_p usemux1p VPWR pmos w=0.840u l=0.150u
+M18 usemux1muxsel0n asel_n VGND VGND nmos w=0.420u l=0.150u
+M19 VPWR asel_n usemux1muxsel0p VPWR pmos w=0.840u l=0.150u
+M20 usemux1muxsel1n bsel_n VGND VGND nmos w=0.420u l=0.150u
+M21 VPWR bsel_n usemux1muxsel1p VPWR pmos w=0.840u l=0.150u
+M22 usemux0n asel_n usemux0asel0n VGND nmos w=0.420u l=0.150u
+M23 usemux0asel0p asel_p usemux0p VPWR pmos w=0.840u l=0.150u
+M24 usemux0n asel_p usemux0asel1n VGND nmos w=0.420u l=0.150u
+M25 usemux0asel1p asel_n usemux0p VPWR pmos w=0.840u l=0.150u
+M26 usemux0asel1n usexor_n usemux1muxsel1n VGND nmos w=0.420u l=0.150u
+M27 usemux1muxsel1p usexor_p usemux0asel1p VPWR pmos w=0.840u l=0.150u
+M28 usemux0asel1n usexor_p usemux1muxsel1usexor1n VGND nmos w=0.420u l=0.150u
+M29 usemux1muxsel1usexor1p usexor_n usemux0asel1p VPWR pmos w=0.840u l=0.150u
+M30 usemux1muxsel1usexor1n bsel_p VGND VGND nmos w=0.420u l=0.150u
+M31 VPWR bsel_p usemux1muxsel1usexor1p VPWR pmos w=0.840u l=0.150u
+M32 usemux0asel0n usexor_n VGND VGND nmos w=0.420u l=0.150u
+M33 usemux0asel0n usexor_p usemux1muxsel1n VGND nmos w=0.420u l=0.150u
+M34 usemux1muxsel1p usexor_n usemux0asel0p VPWR pmos w=0.840u l=0.150u
+.ends LOFTY2
+
+.subckt MUX2X1 VPWR VGND S B A Y
+M0 1 S VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y S 2 VPWR pmos w=0.840u l=0.150u
+M3 3 1 Y VPWR pmos w=0.840u l=0.150u
+M4 3 A VPWR VPWR pmos w=0.840u l=0.150u
+M5 1 S VGND VGND nmos w=0.420u l=0.150u
+M6 4 B VGND VGND nmos w=0.420u l=0.150u
+M7 Y 1 4 VGND nmos w=0.420u l=0.150u
+M8 5 S Y VGND nmos w=0.420u l=0.150u
+M9 5 A VGND VGND nmos w=0.420u l=0.150u
+.ends MUX2X1
+
+.subckt NAND2X1 VPWR VGND B A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B VPWR VPWR pmos w=0.840u l=0.150u
+M2 1 A VGND VGND nmos w=0.420u l=0.150u
+M3 Y B 1 VGND nmos w=0.420u l=0.150u
+.ends NAND2X1
+
+.subckt NAND3X1 VPWR VGND C B A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y C VPWR VPWR pmos w=0.840u l=0.150u
+M3 1 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B 1 VGND nmos w=0.420u l=0.150u
+M5 Y C 2 VGND nmos w=0.420u l=0.150u
+.ends NAND3X1
+
+.subckt NOR2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B 1 VPWR pmos w=0.840u l=0.150u
+M2 Y A VGND VGND nmos w=0.420u l=0.150u
+M3 Y B VGND VGND nmos w=0.420u l=0.150u
+.ends NOR2X1
+
+.subckt NOR3X1 VPWR VGND C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 B 1 VPWR pmos w=0.840u l=0.150u
+M3 1 B 2 VPWR pmos w=0.840u l=0.150u
+M4 Y C 2 VPWR pmos w=0.840u l=0.150u
+M5 2 C Y VPWR pmos w=0.840u l=0.150u
+M6 Y A VGND VGND nmos w=0.420u l=0.150u
+M7 Y B VGND VGND nmos w=0.420u l=0.150u
+M8 Y C VGND VGND nmos w=0.420u l=0.150u
+.ends NOR3X1
+
+.subckt OAI21X1 VPWR VGND C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B 1 VPWR pmos w=0.840u l=0.150u
+M2 Y C VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y C 2 VGND nmos w=0.420u l=0.150u
+.ends OAI21X1
+
+.subckt OAI22X1 VPWR VGND D C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B 1 VPWR pmos w=0.840u l=0.150u
+M2 2 D Y VPWR pmos w=0.840u l=0.150u
+M3 2 C VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 A VGND VGND nmos w=0.420u l=0.150u
+M5 3 B VGND VGND nmos w=0.420u l=0.150u
+M6 Y D 3 VGND nmos w=0.420u l=0.150u
+M7 3 C Y VGND nmos w=0.420u l=0.150u
+.ends OAI22X1
+
+.subckt OR2X1 VPWR VGND B A Y
+M0 1 A 2 VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 2 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 2 VGND VGND nmos w=0.420u l=0.150u
+.ends OR2X1
+
+.subckt OR2X2 VPWR VGND B A Y
+M0 1 A 2 VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 2 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 2 VGND VGND nmos w=0.420u l=0.150u
+.ends OR2X2
+
+.subckt PADINC VPWR VGND DI YPAD
+M0 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M1 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M2 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M3 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M4 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M5 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M6 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M7 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M8 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M9 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M10 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M11 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M12 2 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M13 3 2 VGND VGND nmos w=0.420u l=0.150u
+M14 4 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M15 4 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M16 4 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M17 4 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M18 4 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M19 4 2 VGND VGND nmos w=0.420u l=0.150u
+M20 4 2 VGND VGND nmos w=0.420u l=0.150u
+M21 4 2 VGND VGND nmos w=0.420u l=0.150u
+M22 4 2 VGND VGND nmos w=0.420u l=0.150u
+M23 4 2 VGND VGND nmos w=0.420u l=0.150u
+M24 1 3 4 VGND nmos w=0.420u l=0.150u
+M25 4 3 1 VGND nmos w=0.420u l=0.150u
+M26 1 3 4 VGND nmos w=0.420u l=0.150u
+M27 4 3 1 VGND nmos w=0.420u l=0.150u
+M28 6 5 VGND VGND nmos w=0.420u l=0.150u
+M29 6 5 VGND VGND nmos w=0.420u l=0.150u
+M30 6 5 VGND VGND nmos w=0.420u l=0.150u
+M31 6 5 VGND VGND nmos w=0.420u l=0.150u
+M32 6 5 VGND VGND nmos w=0.420u l=0.150u
+M33 6 5 VGND VGND nmos w=0.420u l=0.150u
+M34 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M35 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M36 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M37 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M38 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M39 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M40 2 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M41 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M42 1 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M43 1 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M44 1 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M45 1 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M46 1 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M47 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M48 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M49 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M50 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M51 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M52 4 2 1 VPWR pmos w=0.840u l=0.150u
+M53 1 2 4 VPWR pmos w=0.840u l=0.150u
+M54 4 2 1 VPWR pmos w=0.840u l=0.150u
+M55 1 2 4 VPWR pmos w=0.840u l=0.150u
+M56 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M57 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M58 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M59 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M60 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M61 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M62 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M63 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M64 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M65 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M66 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M67 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M68 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M69 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M70 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M71 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M72 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M73 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M74 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M75 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M76 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M77 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M78 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M79 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+R80 YPAD 5 100
+R81 5 YPAD 100
+.ends PADINC
+
+.subckt PADINOUT VPWR VGND OEN DI YPAD DO
+M0 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M1 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M2 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M3 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M4 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M5 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M6 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M7 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M8 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M9 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M10 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M11 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M12 2 OEN VGND VGND nmos w=0.420u l=0.150u
+M13 3 2 VGND VGND nmos w=0.420u l=0.150u
+M14 4 DO VGND VGND nmos w=0.420u l=0.150u
+M15 4 DO VGND VGND nmos w=0.420u l=0.150u
+M16 4 DO VGND VGND nmos w=0.420u l=0.150u
+M17 4 DO VGND VGND nmos w=0.420u l=0.150u
+M18 4 DO VGND VGND nmos w=0.420u l=0.150u
+M19 4 2 VGND VGND nmos w=0.420u l=0.150u
+M20 4 2 VGND VGND nmos w=0.420u l=0.150u
+M21 4 2 VGND VGND nmos w=0.420u l=0.150u
+M22 4 2 VGND VGND nmos w=0.420u l=0.150u
+M23 4 2 VGND VGND nmos w=0.420u l=0.150u
+M24 1 3 4 VGND nmos w=0.420u l=0.150u
+M25 4 3 1 VGND nmos w=0.420u l=0.150u
+M26 1 3 4 VGND nmos w=0.420u l=0.150u
+M27 4 3 1 VGND nmos w=0.420u l=0.150u
+M28 6 5 VGND VGND nmos w=0.420u l=0.150u
+M29 6 5 VGND VGND nmos w=0.420u l=0.150u
+M30 6 5 VGND VGND nmos w=0.420u l=0.150u
+M31 6 5 VGND VGND nmos w=0.420u l=0.150u
+M32 6 5 VGND VGND nmos w=0.420u l=0.150u
+M33 6 5 VGND VGND nmos w=0.420u l=0.150u
+M34 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M35 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M36 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M37 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M38 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M39 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M40 2 OEN VPWR VPWR pmos w=0.840u l=0.150u
+M41 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M42 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M43 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M44 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M45 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M46 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M47 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M48 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M49 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M50 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M51 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M52 4 2 1 VPWR pmos w=0.840u l=0.150u
+M53 1 2 4 VPWR pmos w=0.840u l=0.150u
+M54 4 2 1 VPWR pmos w=0.840u l=0.150u
+M55 1 2 4 VPWR pmos w=0.840u l=0.150u
+M56 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M57 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M58 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M59 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M60 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M61 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M62 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M63 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M64 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M65 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M66 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M67 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M68 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M69 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M70 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M71 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M72 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M73 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M74 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M75 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M76 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M77 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M78 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M79 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+R80 YPAD 5 100
+R81 5 YPAD 100
+.ends PADINOUT
+
+.subckt PADOUT VPWR VGND YPAD DO
+M0 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M1 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M2 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M3 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M4 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M5 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M6 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M7 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M8 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M9 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M10 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M11 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M12 2 VPWR VGND VGND nmos w=0.420u l=0.150u
+M13 3 2 VGND VGND nmos w=0.420u l=0.150u
+M14 4 DO VGND VGND nmos w=0.420u l=0.150u
+M15 4 DO VGND VGND nmos w=0.420u l=0.150u
+M16 4 DO VGND VGND nmos w=0.420u l=0.150u
+M17 4 DO VGND VGND nmos w=0.420u l=0.150u
+M18 4 DO VGND VGND nmos w=0.420u l=0.150u
+M19 4 2 VGND VGND nmos w=0.420u l=0.150u
+M20 4 2 VGND VGND nmos w=0.420u l=0.150u
+M21 4 2 VGND VGND nmos w=0.420u l=0.150u
+M22 4 2 VGND VGND nmos w=0.420u l=0.150u
+M23 4 2 VGND VGND nmos w=0.420u l=0.150u
+M24 1 3 4 VGND nmos w=0.420u l=0.150u
+M25 4 3 1 VGND nmos w=0.420u l=0.150u
+M26 1 3 4 VGND nmos w=0.420u l=0.150u
+M27 4 3 1 VGND nmos w=0.420u l=0.150u
+M28 6 5 VGND VGND nmos w=0.420u l=0.150u
+M29 6 5 VGND VGND nmos w=0.420u l=0.150u
+M30 6 5 VGND VGND nmos w=0.420u l=0.150u
+M31 6 5 VGND VGND nmos w=0.420u l=0.150u
+M32 6 5 VGND VGND nmos w=0.420u l=0.150u
+M33 6 5 VGND VGND nmos w=0.420u l=0.150u
+M34 7 6 VGND VGND nmos w=0.420u l=0.150u
+M35 7 6 VGND VGND nmos w=0.420u l=0.150u
+M36 7 6 VGND VGND nmos w=0.420u l=0.150u
+M37 7 6 VGND VGND nmos w=0.420u l=0.150u
+M38 7 6 VGND VGND nmos w=0.420u l=0.150u
+M39 7 6 VGND VGND nmos w=0.420u l=0.150u
+M40 2 VPWR VPWR VPWR pmos w=0.840u l=0.150u
+M41 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M42 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M43 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M44 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M45 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M46 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M47 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M48 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M49 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M50 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M51 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M52 4 2 1 VPWR pmos w=0.840u l=0.150u
+M53 1 2 4 VPWR pmos w=0.840u l=0.150u
+M54 4 2 1 VPWR pmos w=0.840u l=0.150u
+M55 1 2 4 VPWR pmos w=0.840u l=0.150u
+M56 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M57 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M58 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M59 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M60 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M61 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M62 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M63 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M64 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M65 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M66 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M67 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M68 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M69 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M70 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M71 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M72 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M73 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M74 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M75 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M76 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M77 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M78 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M79 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+R80 YPAD 5 100
+R81 5 YPAD 100
+.ends PADOUT
+
+.subckt TBUFX1 VPWR VGND EN A Y
+M0 1 EN VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 1 Y VPWR pmos w=0.840u l=0.150u
+M2 2 A VPWR VPWR pmos w=0.840u l=0.150u
+M3 1 EN VGND VGND nmos w=0.420u l=0.150u
+M4 3 EN Y VGND nmos w=0.420u l=0.150u
+M5 3 A VGND VGND nmos w=0.420u l=0.150u
+.ends TBUFX1
+
+.subckt TBUFX2 VPWR VGND EN A Y
+M0 1 EN VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y 1 2 VPWR pmos w=0.840u l=0.150u
+M2 2 1 Y VPWR pmos w=0.840u l=0.150u
+M3 2 A VPWR VPWR pmos w=0.840u l=0.150u
+M4 2 A VPWR VPWR pmos w=0.840u l=0.150u
+M5 1 EN VGND VGND nmos w=0.420u l=0.150u
+M6 Y EN 3 VGND nmos w=0.420u l=0.150u
+M7 3 EN Y VGND nmos w=0.420u l=0.150u
+M8 3 A VGND VGND nmos w=0.420u l=0.150u
+M9 3 A VGND VGND nmos w=0.420u l=0.150u
+.ends TBUFX2
+
+.subckt XNOR2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 3 VPWR pmos w=0.840u l=0.150u
+M3 4 A Y VPWR pmos w=0.840u l=0.150u
+M4 4 B VPWR VPWR pmos w=0.840u l=0.150u
+M5 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M6 1 A VGND VGND nmos w=0.420u l=0.150u
+M7 5 2 VGND VGND nmos w=0.420u l=0.150u
+M8 Y A 5 VGND nmos w=0.420u l=0.150u
+M9 6 1 Y VGND nmos w=0.420u l=0.150u
+M10 6 B VGND VGND nmos w=0.420u l=0.150u
+M11 2 B VGND VGND nmos w=0.420u l=0.150u
+.ends XNOR2X1
+
+.subckt XOR2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A 3 VPWR pmos w=0.840u l=0.150u
+M3 4 1 Y VPWR pmos w=0.840u l=0.150u
+M4 4 B VPWR VPWR pmos w=0.840u l=0.150u
+M5 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M6 1 A VGND VGND nmos w=0.420u l=0.150u
+M7 5 2 VGND VGND nmos w=0.420u l=0.150u
+M8 Y 1 5 VGND nmos w=0.420u l=0.150u
+M9 6 A Y VGND nmos w=0.420u l=0.150u
+M10 6 B VGND VGND nmos w=0.420u l=0.150u
+M11 2 B VGND VGND nmos w=0.420u l=0.150u
+.ends XOR2X1
+
diff --git a/cells/xschem/ASYNC1.cell b/cells/xschem/ASYNC1.cell
new file mode 100644
index 0000000..67bb09b
--- /dev/null
+++ b/cells/xschem/ASYNC1.cell
@@ -0,0 +1,16 @@
+.AUTOGENERATED by spice2cell script from ASYNC1.spice
+.inputs A B
+.outputs C CN
+.ORDER "MOSFET Gate Drain Source"
+nmos B CN net3
+pmos A net4 VDD
+pmos B CN net4
+pmos A net1 VDD
+pmos B net1 VDD
+pmos C CN net1
+pmos CN C VDD
+nmos C CN net2
+nmos A net3 GND
+nmos B net2 GND
+nmos A net2 GND
+nmos CN C GND
diff --git a/cells/xschem/ASYNC1.sch b/cells/xschem/ASYNC1.sch
new file mode 100644
index 0000000..f3924ab
--- /dev/null
+++ b/cells/xschem/ASYNC1.sch
@@ -0,0 +1,55 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 290 180 400 180 {lab=VDD}
+N 400 180 520 180 {lab=VDD}
+N 430 150 430 180 {lab=VDD}
+N 290 300 290 340 {lab=CN}
+N 290 340 290 370 {lab=CN}
+N 290 340 430 340 {lab=CN}
+N 430 340 430 370 {lab=CN}
+N 400 240 520 240 {lab=#net1}
+N 430 240 430 280 {lab=#net1}
+N 620 180 620 240 {lab=VDD}
+N 520 180 620 180 {lab=VDD}
+N 430 340 580 340 {lab=CN}
+N 580 270 580 340 {lab=CN}
+N 580 340 580 410 {lab=CN}
+N 390 310 390 400 {lab=C}
+N 390 360 620 360 {lab=C}
+N 620 300 620 380 {lab=C}
+N 490 490 620 490 {lab=GND}
+N 400 490 490 490 {lab=GND}
+N 290 490 400 490 {lab=GND}
+N 400 430 430 430 {lab=#net2}
+N 430 430 490 430 {lab=#net2}
+N 620 440 620 490 {lab=GND}
+N 420 490 420 500 {lab=GND}
+N 250 340 290 340 {lab=CN}
+C {devices/nmos.sym} 270 400 0 0 {name=m1 model=enbsim3 m=1 w=1u l=0.18u}
+C {devices/pmos.sym} 270 210 0 0 {name=x1 model=epbsim3 m=1 w=2u l=0.18u nfing=1 srcefirst=1 del=10}
+C {devices/pmos.sym} 270 270 0 0 {name=x0 model=epbsim3 m=1 w=2u l=0.18u nfing=1 srcefirst=1 del=10}
+C {devices/pmos.sym} 380 210 0 0 {name=x2 model=epbsim3 m=1 w=2u l=0.18u nfing=1 srcefirst=1 del=10}
+C {devices/pmos.sym} 500 210 0 0 {name=x3 model=epbsim3 m=1 w=2u l=0.18u nfing=1 srcefirst=1 del=10}
+C {devices/pmos.sym} 410 310 0 0 {name=x4 model=epbsim3 m=1 w=2u l=0.18u nfing=1 srcefirst=1 del=10}
+C {devices/pmos.sym} 600 270 0 0 {name=x5 model=epbsim3 m=1 w=2u l=0.18u nfing=1 srcefirst=1 del=10}
+C {devices/nmos.sym} 410 400 0 0 {name=m0 model=enbsim3 m=1 w=1u l=0.18u}
+C {devices/nmos.sym} 270 460 0 0 {name=m2 model=enbsim3 m=1 w=1u l=0.18u}
+C {devices/nmos.sym} 380 460 0 0 {name=m3 model=enbsim3 m=1 w=1u l=0.18u}
+C {devices/nmos.sym} 470 460 0 0 {name=m4 model=enbsim3 m=1 w=1u l=0.18u}
+C {devices/nmos.sym} 600 410 0 0 {name=m5 model=enbsim3 m=1 w=1u l=0.18u}
+C {devices/gnd.sym} 420 500 0 0 {name=l1 lab=GND}
+C {devices/vdd.sym} 430 150 0 0 {name=l0 lab=VDD}
+C {devices/ipin.sym} 250 210 0 0 {name=p2 sig_type=std_logic lab=A}
+C {devices/ipin.sym} 250 270 0 0 {name=p3 sig_type=std_logic lab=B}
+C {devices/ipin.sym} 360 210 0 0 {name=p4 sig_type=std_logic lab=A}
+C {devices/ipin.sym} 480 210 0 0 {name=p5 sig_type=std_logic lab=B}
+C {devices/opin.sym} 620 330 0 0 {name=p6 sig_type=std_logic lab=C}
+C {devices/opin.sym} 250 340 0 1 {name=p7 sig_type=std_logic lab=CN}
+C {devices/ipin.sym} 250 400 0 0 {name=p8 sig_type=std_logic lab=B}
+C {devices/ipin.sym} 250 460 0 0 {name=p9 sig_type=std_logic lab=A}
+C {devices/ipin.sym} 360 460 0 0 {name=p10 sig_type=std_logic lab=B}
+C {devices/ipin.sym} 450 460 0 0 {name=p11 sig_type=std_logic lab=A}
diff --git a/cells/xschem/ASYNC1.spice b/cells/xschem/ASYNC1.spice
new file mode 100644
index 0000000..9a75418
--- /dev/null
+++ b/cells/xschem/ASYNC1.spice
@@ -0,0 +1,28 @@
+**.subckt ASYNC1 A B A B C CN B A B A
+*.ipin A
+*.ipin B
+*.ipin A
+*.ipin B
+*.opin C
+*.opin CN
+*.ipin B
+*.ipin A
+*.ipin B
+*.ipin A
+m1 CN B net3 enbsim3 m=1
+x1 net4 A VDD epbsim3 m=1
+x0 CN B net4 epbsim3 m=1
+x2 net1 A VDD epbsim3 m=1
+x3 net1 B VDD epbsim3 m=1
+x4 CN C net1 epbsim3 m=1
+x5 C CN VDD epbsim3 m=1
+m0 CN C net2 enbsim3 m=1
+m2 net3 A GND enbsim3 m=1
+m3 net2 B GND enbsim3 m=1
+m4 net2 A GND enbsim3 m=1
+m5 C CN GND enbsim3 m=1
+**.ends
+.GLOBAL GND
+.GLOBAL VDD
+** flattened .save nodes
+.end
diff --git a/cells/xschem/ASYNC2.cell b/cells/xschem/ASYNC2.cell
new file mode 100644
index 0000000..b604db8
--- /dev/null
+++ b/cells/xschem/ASYNC2.cell
@@ -0,0 +1,12 @@
+.AUTOGENERATED by spice2cell script from ASYNC2.spice
+.inputs A B
+.outputs C CN
+.ORDER "MOSFET Gate Drain Source"
+nmos C CN GND
+pmos A net1 VDD
+pmos B CN net1
+pmos CN C VDD
+pmos C CN VDD
+nmos B CN net2
+nmos A net2 GND
+nmos CN C GND
diff --git a/cells/xschem/ASYNC2.sch b/cells/xschem/ASYNC2.sch
new file mode 100644
index 0000000..b6b07ae
--- /dev/null
+++ b/cells/xschem/ASYNC2.sch
@@ -0,0 +1,154 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 320 120 320 140 { lab=VDD}
+N 230 310 230 320 { lab=CN}
+N 190 310 230 310 { lab=CN}
+N 370 350 370 410 { lab=CN}
+N 330 440 330 460 { lab=GND}
+N 410 380 450 380 { lab=C}
+N 450 290 450 380 { lab=C}
+N 230 260 230 310 { lab=CN}
+N 340 200 340 310 { lab=CN}
+N 340 200 370 200 { lab=CN}
+N 320 140 370 140 { lab=VDD}
+N 410 170 410 230 { lab=C}
+N 410 230 450 230 { lab=C}
+N 450 230 450 290 { lab=C}
+N 450 290 500 290 { lab=C}
+N 340 350 370 350 { lab=CN}
+N 340 310 340 350 { lab=CN}
+N 230 140 320 140 { lab=VDD}
+N 230 310 340 310 { lab=CN}
+N 230 440 330 440 { lab=GND}
+N 330 440 410 440 { lab=GND}
+C {devices/vdd.sym} 320 120 0 0 {name=l1 lab=VDD}
+C {devices/gnd.sym} 330 460 0 0 {name=l2 lab=GND}
+C {devices/gnd.sym} 370 260 0 0 {name=l3 lab=GND}
+C {devices/vdd.sym} 410 320 0 0 {name=l4 lab=VDD}
+C {devices/ipin.sym} 190 170 0 0 {name=p1 lab=A}
+C {devices/ipin.sym} 190 230 0 0 {name=p2 lab=B}
+C {devices/ipin.sym} 190 350 0 0 {name=p3 lab=B}
+C {devices/ipin.sym} 190 410 0 0 {name=p4 lab=A}
+C {devices/opin.sym} 190 310 0 1 {name=p5 lab=CN}
+C {devices/opin.sym} 500 290 0 0 {name=p6 lab=C}
+C {sky130_fd_pr/nfet3_01v8.sym} 390 230 0 1 {name=M5
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet3_01v8.sym} 210 170 0 0 {name=M1
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet3_01v8.sym} 210 230 0 0 {name=M6
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet3_01v8.sym} 390 350 0 0 {name=M7
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet3_01v8.sym} 390 170 0 1 {name=M8
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8.sym} 210 350 0 0 {name=M2
+L=0.15
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8.sym} 210 410 0 0 {name=M3
+L=0.15
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8.sym} 390 410 0 0 {name=M4
+L=0.15
+W=1
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
diff --git a/cells/xschem/ASYNC2.spice b/cells/xschem/ASYNC2.spice
new file mode 100644
index 0000000..5d401b8
--- /dev/null
+++ b/cells/xschem/ASYNC2.spice
@@ -0,0 +1,36 @@
+**.subckt ASYNC2 A B B A CN C
+*.ipin A
+*.ipin B
+*.ipin B
+*.ipin A
+*.opin CN
+*.opin C
+XM5 CN C GND GND sky130_fd_pr__nfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM1 net1 A VDD VDD sky130_fd_pr__pfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM6 CN B net1 VDD sky130_fd_pr__pfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM7 C CN VDD VDD sky130_fd_pr__pfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM8 CN C VDD VDD sky130_fd_pr__pfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM2 CN B net2 GND sky130_fd_pr__nfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM3 net2 A GND GND sky130_fd_pr__nfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM4 C CN GND GND sky130_fd_pr__nfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+**.ends
+.GLOBAL VDD
+.GLOBAL GND
+** flattened .save nodes
+.end
diff --git a/cells/xschem/ASYNC3.cell b/cells/xschem/ASYNC3.cell
new file mode 100644
index 0000000..c4ec28a
--- /dev/null
+++ b/cells/xschem/ASYNC3.cell
@@ -0,0 +1,16 @@
+.AUTOGENERATED by spice2cell script from ASYNC3.spice
+.inputs A B
+.outputs C CN
+.ORDER "MOSFET Gate Drain Source"
+nmos B CN net2
+nmos A net2 GND
+nmos A CN net1
+nmos CN C GND
+nmos B net1 GND
+nmos C net2 net1
+pmos B CN net3
+pmos A net3 VDD
+pmos B net4 VDD
+pmos A CN net4
+pmos CN C VDD
+pmos C net4 net3
diff --git a/cells/xschem/ASYNC3.sch b/cells/xschem/ASYNC3.sch
new file mode 100644
index 0000000..b37f80f
--- /dev/null
+++ b/cells/xschem/ASYNC3.sch
@@ -0,0 +1,221 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 300 390 360 390 { lab=#net1}
+N 170 390 240 390 { lab=#net2}
+N 170 330 360 330 { lab=CN}
+N 360 330 450 330 { lab=CN}
+N 450 330 450 370 { lab=CN}
+N 170 450 170 470 { lab=GND}
+N 170 470 360 470 { lab=GND}
+N 360 450 360 470 { lab=GND}
+N 360 470 490 470 { lab=GND}
+N 490 400 490 470 { lab=GND}
+N 450 310 450 330 { lab=CN}
+N 170 270 230 270 { lab=#net3}
+N 290 270 360 270 { lab=#net4}
+N 170 190 360 190 { lab=VDD}
+N 360 190 490 190 { lab=VDD}
+N 490 210 490 280 { lab=VDD}
+N 100 330 170 330 { lab=CN}
+N 490 340 570 340 { lab=C}
+N 170 190 170 210 { lab=VDD}
+N 490 190 490 210 { lab=VDD}
+N 360 190 360 210 { lab=VDD}
+C {sky130_fd_pr/nfet3_01v8.sym} 150 360 0 0 {name=M1
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8.sym} 150 420 0 0 {name=M2
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8.sym} 380 360 0 1 {name=M3
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8.sym} 470 370 0 0 {name=M4
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8.sym} 380 420 0 1 {name=M5
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8.sym} 270 410 3 0 {name=M6
+L=0.15
+W=1
+body=GND
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet3_01v8.sym} 150 300 0 0 {name=M7
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet3_01v8.sym} 150 240 0 0 {name=M8
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet3_01v8.sym} 380 240 0 1 {name=M9
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet3_01v8.sym} 380 300 0 1 {name=M10
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet3_01v8.sym} 470 310 0 0 {name=M11
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet3_01v8.sym} 260 250 3 1 {name=M12
+L=0.15
+W=1
+body=VDD
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/vdd.sym} 260 190 0 0 {name=l1 lab=VDD}
+C {devices/gnd.sym} 260 470 0 0 {name=l2 lab=GND}
+C {devices/ipin.sym} 130 240 0 0 {name=p1 lab=A}
+C {devices/ipin.sym} 130 300 0 0 {name=p2 lab=B}
+C {devices/ipin.sym} 130 360 0 0 {name=p3 lab=B}
+C {devices/ipin.sym} 130 420 0 0 {name=p4 lab=A}
+C {devices/ipin.sym} 400 240 2 0 {name=p5 lab=B}
+C {devices/ipin.sym} 400 300 2 0 {name=p6 lab=A}
+C {devices/ipin.sym} 400 360 2 0 {name=p7 lab=A}
+C {devices/ipin.sym} 400 420 2 0 {name=p8 lab=B}
+C {devices/opin.sym} 260 230 3 0 {name=p9 lab=C}
+C {devices/opin.sym} 270 430 1 0 {name=p10 lab=C}
+C {devices/opin.sym} 100 330 2 0 {name=p11 lab=CN}
+C {devices/opin.sym} 570 340 0 0 {name=p12 lab=C}
diff --git a/cells/xschem/ASYNC3.spice b/cells/xschem/ASYNC3.spice
new file mode 100644
index 0000000..9127929
--- /dev/null
+++ b/cells/xschem/ASYNC3.spice
@@ -0,0 +1,54 @@
+**.subckt ASYNC3 A B B A B A A B C C CN C
+*.ipin A
+*.ipin B
+*.ipin B
+*.ipin A
+*.ipin B
+*.ipin A
+*.ipin A
+*.ipin B
+*.opin C
+*.opin C
+*.opin CN
+*.opin C
+XM1 CN B net2 GND sky130_fd_pr__nfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM2 net2 A GND GND sky130_fd_pr__nfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM3 CN A net1 GND sky130_fd_pr__nfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM4 C CN GND GND sky130_fd_pr__nfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM5 net1 B GND GND sky130_fd_pr__nfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM6 net2 C net1 GND sky130_fd_pr__nfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM7 CN B net3 VDD sky130_fd_pr__pfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM8 net3 A VDD VDD sky130_fd_pr__pfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM9 net4 B VDD VDD sky130_fd_pr__pfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM10 CN A net4 VDD sky130_fd_pr__pfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM11 C CN VDD VDD sky130_fd_pr__pfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM12 net4 C net3 VDD sky130_fd_pr__pfet_01v8 L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+**.ends
+.GLOBAL VDD
+.GLOBAL GND
+** flattened .save nodes
+.end
diff --git a/def/user_proj_example.def b/def/user_proj_example.def
index 95e0546..badfd03 100644
--- a/def/user_proj_example.def
+++ b/def/user_proj_example.def
@@ -3,6 +3,21068 @@
 BUSBITCHARS "[]" ;
 DESIGN user_proj_example ;
 UNITS DISTANCE MICRONS 1000 ;
+<<<<<<< HEAD
+
+DIEAREA ( 0 0 ) ( 300000 300000 ) ;
+
+ROW ROW_0 unit 5760 13320 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_1 unit 5760 16650 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_2 unit 5760 19980 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_3 unit 5760 23310 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_4 unit 5760 26640 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_5 unit 5760 29970 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_6 unit 5760 33300 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_7 unit 5760 36630 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_8 unit 5760 39960 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_9 unit 5760 43290 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_10 unit 5760 46620 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_11 unit 5760 49950 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_12 unit 5760 53280 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_13 unit 5760 56610 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_14 unit 5760 59940 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_15 unit 5760 63270 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_16 unit 5760 66600 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_17 unit 5760 69930 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_18 unit 5760 73260 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_19 unit 5760 76590 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_20 unit 5760 79920 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_21 unit 5760 83250 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_22 unit 5760 86580 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_23 unit 5760 89910 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_24 unit 5760 93240 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_25 unit 5760 96570 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_26 unit 5760 99900 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_27 unit 5760 103230 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_28 unit 5760 106560 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_29 unit 5760 109890 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_30 unit 5760 113220 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_31 unit 5760 116550 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_32 unit 5760 119880 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_33 unit 5760 123210 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_34 unit 5760 126540 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_35 unit 5760 129870 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_36 unit 5760 133200 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_37 unit 5760 136530 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_38 unit 5760 139860 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_39 unit 5760 143190 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_40 unit 5760 146520 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_41 unit 5760 149850 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_42 unit 5760 153180 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_43 unit 5760 156510 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_44 unit 5760 159840 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_45 unit 5760 163170 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_46 unit 5760 166500 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_47 unit 5760 169830 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_48 unit 5760 173160 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_49 unit 5760 176490 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_50 unit 5760 179820 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_51 unit 5760 183150 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_52 unit 5760 186480 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_53 unit 5760 189810 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_54 unit 5760 193140 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_55 unit 5760 196470 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_56 unit 5760 199800 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_57 unit 5760 203130 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_58 unit 5760 206460 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_59 unit 5760 209790 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_60 unit 5760 213120 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_61 unit 5760 216450 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_62 unit 5760 219780 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_63 unit 5760 223110 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_64 unit 5760 226440 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_65 unit 5760 229770 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_66 unit 5760 233100 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_67 unit 5760 236430 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_68 unit 5760 239760 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_69 unit 5760 243090 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_70 unit 5760 246420 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_71 unit 5760 249750 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_72 unit 5760 253080 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_73 unit 5760 256410 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_74 unit 5760 259740 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_75 unit 5760 263070 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_76 unit 5760 266400 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_77 unit 5760 269730 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_78 unit 5760 273060 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_79 unit 5760 276390 N DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_80 unit 5760 279720 FS DO 601 BY 1 STEP 480 0
+ ;
+ROW ROW_81 unit 5760 283050 N DO 601 BY 1 STEP 480 0
+ ;
+TRACKS X 240 DO 625 STEP 480 LAYER li1 ;
+TRACKS Y 240 DO 625 STEP 480 LAYER li1 ;
+TRACKS X 185 DO 811 STEP 370 LAYER met1 ;
+TRACKS Y 185 DO 811 STEP 370 LAYER met1 ;
+TRACKS X 240 DO 625 STEP 480 LAYER met2 ;
+TRACKS Y 240 DO 625 STEP 480 LAYER met2 ;
+TRACKS X 370 DO 405 STEP 740 LAYER met3 ;
+TRACKS Y 370 DO 405 STEP 740 LAYER met3 ;
+TRACKS X 480 DO 313 STEP 960 LAYER met4 ;
+TRACKS Y 480 DO 313 STEP 960 LAYER met4 ;
+TRACKS X 1665 DO 90 STEP 3330 LAYER met5 ;
+TRACKS Y 1665 DO 90 STEP 3330 LAYER met5 ;
+
+VIAS 5 ;
+- via2_FR 
++ RECT met2 ( -140 -185 ) ( 140 185 ) 
++ RECT via2 ( -100 -100 ) ( 100 100 ) 
++ RECT met3 ( -165 -165 ) ( 165 165 ) 
+ 
+ ;
+- via4_FR 
++ RECT met4 ( -590 -590 ) ( 590 590 ) 
++ RECT via4 ( -400 -400 ) ( 400 400 ) 
++ RECT met5 ( -710 -710 ) ( 710 710 ) 
+ 
+ ;
+- via_1600x480 
+ 
++ VIARULE M1M2_PR
+  + CUTSIZE 150 150
+  + LAYERS met1 via met2
+  + CUTSPACING 170 170
+  + ENCLOSURE 245 165 55 165
+  + ROWCOL 1 4
+ ;
+- via2_1600x480 
+ 
++ VIARULE M2M3_PR
+  + CUTSIZE 200 200
+  + LAYERS met2 via2 met3
+  + CUTSPACING 200 200
+  + ENCLOSURE 40 140 100 65
+  + ROWCOL 1 4
+ ;
+- via3_1600x480 
+ 
++ VIARULE M3M4_PR
+  + CUTSIZE 200 200
+  + LAYERS met3 via3 met4
+  + CUTSPACING 200 200
+  + ENCLOSURE 100 60 100 140
+  + ROWCOL 1 4
+ ;
+END VIAS
+
+COMPONENTS 9360 ;
+- AND2X1 AND2X1 + PLACED ( 38400 23310 ) N ;
+- AND2X2 AND2X2 + PLACED ( 38400 29970 ) N ;
+- AOI21X1 AOI21X1 + PLACED ( 38400 36630 ) N ;
+- AOI22X1 AOI22X1 + PLACED ( 38400 43290 ) N ;
+- BUFX2 BUFX2 + PLACED ( 38400 49950 ) N ;
+- BUFX4 BUFX4 + PLACED ( 38400 56610 ) N ;
+- CLKBUF1 CLKBUF1 + PLACED ( 38400 63270 ) N ;
+- INV INV + PLACED ( 38400 69930 ) N ;
+- INVX1 INVX1 + PLACED ( 38400 76590 ) N ;
+- INVX2 INVX2 + PLACED ( 38400 83250 ) N ;
+- INVX4 INVX4 + PLACED ( 38400 89910 ) N ;
+- INVX8 INVX8 + PLACED ( 38400 96570 ) N ;
+- MUX2X1 MUX2X1 + PLACED ( 38400 103230 ) N ;
+- NAND2X1 NAND2X1 + PLACED ( 38400 109890 ) N ;
+- NAND3X1 NAND3X1 + FIXED ( 38400 116550 ) N ;
+- OR2X1 OR2X1 + FIXED ( 38400 123210 ) N ;
+- OR2X2 OR2X2 + FIXED ( 38400 129870 ) N ;
+- XNOR2X1 XNOR2X1 + FIXED ( 38400 136530 ) N ;
+- XOR2X1 XOR2X1 + FIXED ( 38400 143190 ) N ;
+- _000_ sky130_fd_sc_ls__conb_1 + PLACED ( 247680 93240 ) FS ;
+- _001_ sky130_fd_sc_ls__conb_1 + PLACED ( 251520 199800 ) FS ;
+- _002_ sky130_fd_sc_ls__conb_1 + PLACED ( 268800 199800 ) FS ;
+- _003_ sky130_fd_sc_ls__conb_1 + PLACED ( 112800 19980 ) S ;
+- _004_ sky130_fd_sc_ls__conb_1 + PLACED ( 129120 89910 ) FN ;
+- _005_ sky130_fd_sc_ls__conb_1 + PLACED ( 34560 36630 ) FN ;
+- _006_ sky130_fd_sc_ls__conb_1 + PLACED ( 58560 266400 ) FS ;
+- _007_ sky130_fd_sc_ls__conb_1 + PLACED ( 222240 269730 ) N ;
+- _008_ sky130_fd_sc_ls__conb_1 + PLACED ( 78720 236430 ) N ;
+- _009_ sky130_fd_sc_ls__conb_1 + PLACED ( 24000 159840 ) FS ;
+- _010_ sky130_fd_sc_ls__conb_1 + PLACED ( 263520 253080 ) FS ;
+- _011_ sky130_fd_sc_ls__conb_1 + PLACED ( 134880 186480 ) FS ;
+- _012_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 119880 ) S ;
+- _013_ sky130_fd_sc_ls__conb_1 + PLACED ( 218880 59940 ) S ;
+- _014_ sky130_fd_sc_ls__conb_1 + PLACED ( 47520 49950 ) FN ;
+- _015_ sky130_fd_sc_ls__conb_1 + PLACED ( 257280 69930 ) N ;
+- _016_ sky130_fd_sc_ls__conb_1 + PLACED ( 92160 36630 ) N ;
+- _017_ sky130_fd_sc_ls__conb_1 + PLACED ( 94080 156510 ) N ;
+- _018_ sky130_fd_sc_ls__conb_1 + PLACED ( 272160 276390 ) N ;
+- _019_ sky130_fd_sc_ls__conb_1 + PLACED ( 228480 249750 ) N ;
+- _020_ sky130_fd_sc_ls__conb_1 + PLACED ( 141120 139860 ) FS ;
+- _021_ sky130_fd_sc_ls__conb_1 + PLACED ( 135360 259740 ) FS ;
+- _022_ sky130_fd_sc_ls__conb_1 + PLACED ( 266400 49950 ) N ;
+- _023_ sky130_fd_sc_ls__conb_1 + PLACED ( 244800 73260 ) FS ;
+- _024_ sky130_fd_sc_ls__conb_1 + PLACED ( 263520 233100 ) FS ;
+- _025_ sky130_fd_sc_ls__conb_1 + PLACED ( 255840 256410 ) N ;
+- _026_ sky130_fd_sc_ls__conb_1 + PLACED ( 215520 179820 ) FS ;
+- _027_ sky130_fd_sc_ls__conb_1 + PLACED ( 187200 216450 ) N ;
+- _028_ sky130_fd_sc_ls__conb_1 + PLACED ( 219360 226440 ) FS ;
+- _029_ sky130_fd_sc_ls__conb_1 + PLACED ( 19200 136530 ) N ;
+- _030_ sky130_fd_sc_ls__conb_1 + PLACED ( 146400 246420 ) FS ;
+- _031_ sky130_fd_sc_ls__conb_1 + PLACED ( 181920 59940 ) FS ;
+- _032_ sky130_fd_sc_ls__conb_1 + PLACED ( 87360 83250 ) N ;
+- _033_ sky130_fd_sc_ls__conb_1 + PLACED ( 144960 66600 ) FS ;
+- _034_ sky130_fd_sc_ls__conb_1 + PLACED ( 129120 169830 ) N ;
+- _035_ sky130_fd_sc_ls__conb_1 + PLACED ( 103200 186480 ) FS ;
+- _036_ sky130_fd_sc_ls__conb_1 + PLACED ( 264480 196470 ) N ;
+- _037_ sky130_fd_sc_ls__conb_1 + PLACED ( 281280 269730 ) N ;
+- _038_ sky130_fd_sc_ls__conb_1 + PLACED ( 55200 236430 ) N ;
+- _039_ sky130_fd_sc_ls__conb_1 + PLACED ( 192480 46620 ) S ;
+- _040_ sky130_fd_sc_ls__conb_1 + PLACED ( 201120 203130 ) N ;
+- _041_ sky130_fd_sc_ls__conb_1 + PLACED ( 33120 273060 ) FS ;
+- _042_ sky130_fd_sc_ls__conb_1 + PLACED ( 199200 206460 ) FS ;
+- _043_ sky130_fd_sc_ls__conb_1 + PLACED ( 149280 89910 ) FN ;
+- _044_ sky130_fd_sc_ls__conb_1 + PLACED ( 58560 133200 ) FS ;
+- _045_ sky130_fd_sc_ls__conb_1 + PLACED ( 94080 79920 ) FS ;
+- _046_ sky130_fd_sc_ls__conb_1 + PLACED ( 52320 153180 ) FS ;
+- _047_ sky130_fd_sc_ls__conb_1 + PLACED ( 245760 129870 ) FN ;
+- _048_ sky130_fd_sc_ls__conb_1 + PLACED ( 95520 183150 ) N ;
+- _049_ sky130_fd_sc_ls__conb_1 + PLACED ( 208320 59940 ) S ;
+- _050_ sky130_fd_sc_ls__conb_1 + PLACED ( 284640 189810 ) N ;
+- _051_ sky130_fd_sc_ls__conb_1 + PLACED ( 75840 83250 ) N ;
+- _052_ sky130_fd_sc_ls__conb_1 + PLACED ( 124320 103230 ) N ;
+- _053_ sky130_fd_sc_ls__conb_1 + PLACED ( 248160 73260 ) S ;
+- _054_ sky130_fd_sc_ls__conb_1 + PLACED ( 225600 269730 ) N ;
+- _055_ sky130_fd_sc_ls__conb_1 + PLACED ( 48000 73260 ) FS ;
+- _056_ sky130_fd_sc_ls__conb_1 + PLACED ( 166560 263070 ) N ;
+- _057_ sky130_fd_sc_ls__conb_1 + PLACED ( 159840 156510 ) N ;
+- _058_ sky130_fd_sc_ls__conb_1 + PLACED ( 272160 189810 ) N ;
+- _059_ sky130_fd_sc_ls__conb_1 + PLACED ( 94560 66600 ) FS ;
+- _060_ sky130_fd_sc_ls__conb_1 + PLACED ( 229440 89910 ) N ;
+- _061_ sky130_fd_sc_ls__conb_1 + PLACED ( 154080 233100 ) FS ;
+- _062_ sky130_fd_sc_ls__conb_1 + PLACED ( 274560 19980 ) FS ;
+- _063_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 179820 ) FS ;
+- _064_ sky130_fd_sc_ls__conb_1 + PLACED ( 150240 13320 ) FS ;
+- _065_ sky130_fd_sc_ls__conb_1 + PLACED ( 7680 63270 ) N ;
+- _066_ sky130_fd_sc_ls__conb_1 + PLACED ( 147840 99900 ) FS ;
+- _067_ sky130_fd_sc_ls__conb_1 + PLACED ( 228000 143190 ) N ;
+- _068_ sky130_fd_sc_ls__conb_1 + PLACED ( 177600 103230 ) N ;
+- _069_ sky130_fd_sc_ls__conb_1 + PLACED ( 273120 249750 ) FN ;
+- _070_ sky130_fd_sc_ls__conb_1 + PLACED ( 243360 59940 ) FS ;
+- _071_ sky130_fd_sc_ls__conb_1 + PLACED ( 83520 266400 ) FS ;
+- _072_ sky130_fd_sc_ls__conb_1 + PLACED ( 262080 269730 ) FN ;
+- _073_ sky130_fd_sc_ls__conb_1 + PLACED ( 202080 156510 ) N ;
+- _074_ sky130_fd_sc_ls__conb_1 + PLACED ( 233760 73260 ) FS ;
+- _075_ sky130_fd_sc_ls__conb_1 + PLACED ( 195360 119880 ) FS ;
+- _076_ sky130_fd_sc_ls__conb_1 + PLACED ( 207840 86580 ) FS ;
+- _077_ sky130_fd_sc_ls__conb_1 + PLACED ( 206400 39960 ) FS ;
+- _078_ sky130_fd_sc_ls__conb_1 + PLACED ( 286080 176490 ) FN ;
+- _079_ sky130_fd_sc_ls__conb_1 + PLACED ( 88320 226440 ) FS ;
+- _080_ sky130_fd_sc_ls__conb_1 + PLACED ( 221760 236430 ) FN ;
+- _081_ sky130_fd_sc_ls__conb_1 + PLACED ( 103680 133200 ) FS ;
+- _082_ sky130_fd_sc_ls__conb_1 + PLACED ( 79200 83250 ) N ;
+- _083_ sky130_fd_sc_ls__conb_1 + PLACED ( 192480 59940 ) FS ;
+- _084_ sky130_fd_sc_ls__conb_1 + PLACED ( 208320 186480 ) S ;
+- _085_ sky130_fd_sc_ls__conb_1 + PLACED ( 199680 96570 ) N ;
+- _086_ sky130_fd_sc_ls__conb_1 + PLACED ( 129120 113220 ) FS ;
+- _087_ sky130_fd_sc_ls__conb_1 + PLACED ( 216000 206460 ) S ;
+- _088_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 173160 ) S ;
+- _089_ sky130_fd_sc_ls__conb_1 + PLACED ( 23520 239760 ) FS ;
+- _090_ sky130_fd_sc_ls__conb_1 + PLACED ( 87360 153180 ) FS ;
+- _091_ sky130_fd_sc_ls__conb_1 + PLACED ( 249600 89910 ) N ;
+- _092_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 253080 ) S ;
+- _093_ sky130_fd_sc_ls__conb_1 + PLACED ( 285600 106560 ) FS ;
+- _094_ sky130_fd_sc_ls__conb_1 + PLACED ( 59520 26640 ) FS ;
+- _095_ sky130_fd_sc_ls__conb_1 + PLACED ( 223200 113220 ) FS ;
+- _096_ sky130_fd_sc_ls__conb_1 + PLACED ( 235200 189810 ) FN ;
+- _097_ sky130_fd_sc_ls__conb_1 + PLACED ( 120960 183150 ) N ;
+- _098_ sky130_fd_sc_ls__conb_1 + PLACED ( 25440 39960 ) FS ;
+- _099_ sky130_fd_sc_ls__conb_1 + PLACED ( 178080 29970 ) N ;
+- _100_ sky130_fd_sc_ls__conb_1 + PLACED ( 157920 119880 ) FS ;
+- _101_ sky130_fd_sc_ls__conb_1 + PLACED ( 248640 103230 ) N ;
+- _102_ sky130_fd_sc_ls__conb_1 + PLACED ( 178560 123210 ) N ;
+- _103_ sky130_fd_sc_ls__conb_1 + PLACED ( 279840 126540 ) FS ;
+- _104_ sky130_fd_sc_ls__conb_1 + PLACED ( 281760 136530 ) N ;
+- _105_ sky130_fd_sc_ls__conb_1 + PLACED ( 127200 259740 ) FS ;
+- _106_ sky130_fd_sc_ls__conb_1 + PLACED ( 180480 163170 ) N ;
+- _107_ sky130_fd_sc_ls__conb_1 + PLACED ( 287040 229770 ) FN ;
+- _108_ sky130_fd_sc_ls__conb_1 + PLACED ( 206400 219780 ) S ;
+- _109_ sky130_fd_sc_ls__conb_1 + PLACED ( 61920 169830 ) N ;
+- _110_ sky130_fd_sc_ls__conb_1 + PLACED ( 121920 49950 ) N ;
+- _111_ sky130_fd_sc_ls__conb_1 + PLACED ( 23040 79920 ) FS ;
+- _112_ sky130_fd_sc_ls__conb_1 + PLACED ( 28800 39960 ) FS ;
+- _113_ sky130_fd_sc_ls__conb_1 + PLACED ( 86400 206460 ) FS ;
+- _114_ sky130_fd_sc_ls__conb_1 + PLACED ( 78720 89910 ) N ;
+- _115_ sky130_fd_sc_ls__conb_1 + PLACED ( 19680 223110 ) N ;
+- _116_ sky130_fd_sc_ls__conb_1 + PLACED ( 288960 23310 ) N ;
+- _117_ sky130_fd_sc_ls__conb_1 + PLACED ( 41760 113220 ) FS ;
+- _118_ sky130_fd_sc_ls__conb_1 + PLACED ( 112800 199800 ) FS ;
+- _119_ sky130_fd_sc_ls__conb_1 + PLACED ( 78720 136530 ) N ;
+- _120_ sky130_fd_sc_ls__conb_1 + PLACED ( 121440 73260 ) FS ;
+- _121_ sky130_fd_sc_ls__conb_1 + PLACED ( 116160 209790 ) N ;
+- _122_ sky130_fd_sc_ls__conb_1 + PLACED ( 78720 276390 ) N ;
+- _123_ sky130_fd_sc_ls__conb_1 + PLACED ( 213600 39960 ) FS ;
+- _124_ sky130_fd_sc_ls__conb_1 + PLACED ( 271680 59940 ) FS ;
+- _125_ sky130_fd_sc_ls__conb_1 + PLACED ( 277920 249750 ) FN ;
+- _126_ sky130_fd_sc_ls__conb_1 + PLACED ( 139200 106560 ) FS ;
+- _127_ sky130_fd_sc_ls__conb_1 + PLACED ( 12000 273060 ) FS ;
+- _128_ sky130_fd_sc_ls__conb_1 + PLACED ( 52800 143190 ) N ;
+- _129_ sky130_fd_sc_ls__conb_1 + PLACED ( 118080 233100 ) FS ;
+- _130_ sky130_fd_sc_ls__conb_1 + PLACED ( 60960 16650 ) N ;
+- _131_ sky130_fd_sc_ls__conb_1 + PLACED ( 42240 276390 ) N ;
+- _132_ sky130_fd_sc_ls__conb_1 + PLACED ( 154080 176490 ) N ;
+- _133_ sky130_fd_sc_ls__conb_1 + PLACED ( 286560 83250 ) N ;
+- _134_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 49950 ) N ;
+- _135_ sky130_fd_sc_ls__conb_1 + PLACED ( 99840 83250 ) N ;
+- _136_ sky130_fd_sc_ls__conb_1 + PLACED ( 158880 83250 ) N ;
+- _137_ sky130_fd_sc_ls__conb_1 + PLACED ( 42240 253080 ) FS ;
+- _138_ sky130_fd_sc_ls__conb_1 + PLACED ( 133440 189810 ) N ;
+- _139_ sky130_fd_sc_ls__conb_1 + PLACED ( 221280 19980 ) FS ;
+- _140_ sky130_fd_sc_ls__conb_1 + PLACED ( 288960 66600 ) FS ;
+- _141_ sky130_fd_sc_ls__conb_1 + PLACED ( 133440 99900 ) FS ;
+- _142_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 69930 ) N ;
+- _143_ sky130_fd_sc_ls__conb_1 + PLACED ( 277440 153180 ) FS ;
+- _144_ sky130_fd_sc_ls__conb_1 + PLACED ( 220800 66600 ) FS ;
+- _145_ sky130_fd_sc_ls__conb_1 + PLACED ( 61920 113220 ) FS ;
+- _146_ sky130_fd_sc_ls__conb_1 + PLACED ( 121920 256410 ) N ;
+- _147_ sky130_fd_sc_ls__conb_1 + PLACED ( 221760 249750 ) N ;
+- _148_ sky130_fd_sc_ls__conb_1 + PLACED ( 253440 226440 ) S ;
+- _149_ sky130_fd_sc_ls__conb_1 + PLACED ( 287040 166500 ) S ;
+- _150_ sky130_fd_sc_ls__conb_1 + PLACED ( 14880 43290 ) N ;
+- _151_ sky130_fd_sc_ls__conb_1 + PLACED ( 48960 226440 ) FS ;
+- _152_ sky130_fd_sc_ls__conb_1 + PLACED ( 48000 153180 ) FS ;
+- _153_ sky130_fd_sc_ls__conb_1 + PLACED ( 207840 276390 ) N ;
+- _154_ sky130_fd_sc_ls__conb_1 + PLACED ( 108000 86580 ) FS ;
+- _155_ sky130_fd_sc_ls__conb_1 + PLACED ( 133920 173160 ) FS ;
+- _156_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 269730 ) N ;
+- _157_ sky130_fd_sc_ls__conb_1 + PLACED ( 167040 69930 ) N ;
+- _158_ sky130_fd_sc_ls__conb_1 + PLACED ( 206400 63270 ) N ;
+- _159_ sky130_fd_sc_ls__conb_1 + PLACED ( 219360 276390 ) N ;
+- _160_ sky130_fd_sc_ls__conb_1 + PLACED ( 167040 96570 ) N ;
+- _161_ sky130_fd_sc_ls__conb_1 + PLACED ( 252960 66600 ) FS ;
+- _162_ sky130_fd_sc_ls__conb_1 + PLACED ( 60960 83250 ) N ;
+- _163_ sky130_fd_sc_ls__conb_1 + PLACED ( 141600 113220 ) FS ;
+- _164_ sky130_fd_sc_ls__conb_1 + PLACED ( 117600 239760 ) FS ;
+- _165_ sky130_fd_sc_ls__conb_1 + PLACED ( 246720 59940 ) FS ;
+- _166_ sky130_fd_sc_ls__conb_1 + PLACED ( 197280 66600 ) FS ;
+- _167_ sky130_fd_sc_ls__conb_1 + PLACED ( 116160 243090 ) N ;
+- _168_ sky130_fd_sc_ls__conb_1 + PLACED ( 150240 176490 ) N ;
+- _169_ sky130_fd_sc_ls__conb_1 + PLACED ( 59520 119880 ) FS ;
+- _170_ sky130_fd_sc_ls__conb_1 + PLACED ( 16320 243090 ) N ;
+- _171_ sky130_fd_sc_ls__conb_1 + PLACED ( 204000 13320 ) FS ;
+- _172_ sky130_fd_sc_ls__conb_1 + PLACED ( 77760 179820 ) FS ;
+- _173_ sky130_fd_sc_ls__conb_1 + PLACED ( 146400 183150 ) N ;
+- _174_ sky130_fd_sc_ls__conb_1 + PLACED ( 149280 109890 ) N ;
+- _175_ sky130_fd_sc_ls__conb_1 + PLACED ( 251520 39960 ) FS ;
+- _176_ sky130_fd_sc_ls__conb_1 + PLACED ( 235680 183150 ) N ;
+- _177_ sky130_fd_sc_ls__conb_1 + PLACED ( 99840 189810 ) N ;
+- _178_ sky130_fd_sc_ls__conb_1 + PLACED ( 247200 149850 ) N ;
+- _179_ sky130_fd_sc_ls__conb_1 + PLACED ( 60480 159840 ) FS ;
+- _180_ sky130_fd_sc_ls__conb_1 + PLACED ( 157440 233100 ) FS ;
+- _181_ sky130_fd_sc_ls__conb_1 + PLACED ( 12480 189810 ) N ;
+- _182_ sky130_fd_sc_ls__conb_1 + PLACED ( 88320 123210 ) N ;
+- _183_ sky130_fd_sc_ls__conb_1 + PLACED ( 139680 66600 ) FS ;
+- _184_ sky130_fd_sc_ls__conb_1 + PLACED ( 53760 133200 ) FS ;
+- _185_ sky130_fd_sc_ls__conb_1 + PLACED ( 28800 89910 ) N ;
+- _186_ sky130_fd_sc_ls__conb_1 + PLACED ( 156000 263070 ) FN ;
+- _187_ sky130_fd_sc_ls__conb_1 + PLACED ( 288000 189810 ) FN ;
+- _188_ sky130_fd_sc_ls__conb_1 + PLACED ( 188160 213120 ) S ;
+- _189_ sky130_fd_sc_ls__conb_1 + PLACED ( 206400 273060 ) S ;
+- _190_ sky130_fd_sc_ls__conb_1 + PLACED ( 52800 73260 ) FS ;
+- _191_ sky130_fd_sc_ls__conb_1 + PLACED ( 200640 209790 ) FN ;
+- _192_ sky130_fd_sc_ls__conb_1 + PLACED ( 49920 106560 ) FS ;
+- _193_ sky130_fd_sc_ls__conb_1 + PLACED ( 161760 153180 ) FS ;
+- _194_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 46620 ) FS ;
+- _195_ sky130_fd_sc_ls__conb_1 + PLACED ( 63840 223110 ) FN ;
+- _196_ sky130_fd_sc_ls__conb_1 + PLACED ( 219840 239760 ) S ;
+- _197_ sky130_fd_sc_ls__conb_1 + PLACED ( 114240 53280 ) FS ;
+- _198_ sky130_fd_sc_ls__conb_1 + PLACED ( 68160 179820 ) S ;
+- _199_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 186480 ) S ;
+- _200_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 153180 ) FS ;
+- _201_ sky130_fd_sc_ls__conb_1 + PLACED ( 240000 259740 ) S ;
+- _202_ sky130_fd_sc_ls__conb_1 + PLACED ( 236640 126540 ) S ;
+- _203_ sky130_fd_sc_ls__conb_1 + PLACED ( 220800 116550 ) N ;
+- _204_ sky130_fd_sc_ls__conb_1 + PLACED ( 58560 206460 ) S ;
+- _205_ sky130_fd_sc_ls__conb_1 + PLACED ( 251520 73260 ) FS ;
+- _206_ sky130_fd_sc_ls__conb_1 + PLACED ( 38880 269730 ) N ;
+- _207_ sky130_fd_sc_ls__conb_1 + PLACED ( 103200 189810 ) FN ;
+- _208_ sky130_fd_sc_ls__conb_1 + PLACED ( 125280 256410 ) FN ;
+- _209_ sky130_fd_sc_ls__conb_1 + PLACED ( 54720 43290 ) N ;
+- _210_ sky130_fd_sc_ls__conb_1 + PLACED ( 191520 19980 ) FS ;
+- _211_ sky130_fd_sc_ls__conb_1 + PLACED ( 138720 219780 ) S ;
+- _212_ sky130_fd_sc_ls__conb_1 + PLACED ( 104640 43290 ) N ;
+- _213_ sky130_fd_sc_ls__conb_1 + PLACED ( 48000 206460 ) FS ;
+- _214_ sky130_fd_sc_ls__conb_1 + PLACED ( 76320 256410 ) FN ;
+- _215_ sky130_fd_sc_ls__conb_1 + PLACED ( 263520 249750 ) FN ;
+- _216_ sky130_fd_sc_ls__conb_1 + PLACED ( 251520 86580 ) FS ;
+- _217_ sky130_fd_sc_ls__conb_1 + PLACED ( 148800 156510 ) N ;
+- _218_ sky130_fd_sc_ls__conb_1 + PLACED ( 70080 43290 ) FN ;
+- _219_ sky130_fd_sc_ls__conb_1 + PLACED ( 27840 143190 ) N ;
+- _220_ sky130_fd_sc_ls__conb_1 + PLACED ( 245280 126540 ) FS ;
+- PHY_0 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 13320 ) FS ;
+- PHY_1 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 13320 ) S ;
+- PHY_2 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 16650 ) N ;
+- PHY_3 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 16650 ) FN ;
+- PHY_4 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 19980 ) FS ;
+- PHY_5 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 19980 ) S ;
+- PHY_6 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 23310 ) N ;
+- PHY_7 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 23310 ) FN ;
+- PHY_8 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 26640 ) FS ;
+- PHY_9 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 26640 ) S ;
+- PHY_10 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 29970 ) N ;
+- PHY_11 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 29970 ) FN ;
+- PHY_12 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 33300 ) FS ;
+- PHY_13 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 33300 ) S ;
+- PHY_14 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 36630 ) N ;
+- PHY_15 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 36630 ) FN ;
+- PHY_16 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 39960 ) FS ;
+- PHY_17 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 39960 ) S ;
+- PHY_18 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 43290 ) N ;
+- PHY_19 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 43290 ) FN ;
+- PHY_20 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 46620 ) FS ;
+- PHY_21 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 46620 ) S ;
+- PHY_22 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 49950 ) N ;
+- PHY_23 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 49950 ) FN ;
+- PHY_24 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 53280 ) FS ;
+- PHY_25 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 53280 ) S ;
+- PHY_26 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 56610 ) N ;
+- PHY_27 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 56610 ) FN ;
+- PHY_28 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 59940 ) FS ;
+- PHY_29 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 59940 ) S ;
+- PHY_30 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 63270 ) N ;
+- PHY_31 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 63270 ) FN ;
+- PHY_32 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 66600 ) FS ;
+- PHY_33 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 66600 ) S ;
+- PHY_34 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 69930 ) N ;
+- PHY_35 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 69930 ) FN ;
+- PHY_36 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 73260 ) FS ;
+- PHY_37 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 73260 ) S ;
+- PHY_38 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 76590 ) N ;
+- PHY_39 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 76590 ) FN ;
+- PHY_40 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 79920 ) FS ;
+- PHY_41 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 79920 ) S ;
+- PHY_42 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 83250 ) N ;
+- PHY_43 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 83250 ) FN ;
+- PHY_44 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 86580 ) FS ;
+- PHY_45 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 86580 ) S ;
+- PHY_46 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 89910 ) N ;
+- PHY_47 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 89910 ) FN ;
+- PHY_48 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 93240 ) FS ;
+- PHY_49 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 93240 ) S ;
+- PHY_50 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 96570 ) N ;
+- PHY_51 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 96570 ) FN ;
+- PHY_52 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 99900 ) FS ;
+- PHY_53 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 99900 ) S ;
+- PHY_54 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 103230 ) N ;
+- PHY_55 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 103230 ) FN ;
+- PHY_56 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 106560 ) FS ;
+- PHY_57 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 106560 ) S ;
+- PHY_58 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 109890 ) N ;
+- PHY_59 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 109890 ) FN ;
+- PHY_60 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 113220 ) FS ;
+- PHY_61 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 113220 ) S ;
+- PHY_62 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 116550 ) N ;
+- PHY_63 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 116550 ) FN ;
+- PHY_64 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 119880 ) FS ;
+- PHY_65 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 119880 ) S ;
+- PHY_66 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 123210 ) N ;
+- PHY_67 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 123210 ) FN ;
+- PHY_68 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 126540 ) FS ;
+- PHY_69 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 126540 ) S ;
+- PHY_70 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 129870 ) N ;
+- PHY_71 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 129870 ) FN ;
+- PHY_72 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 133200 ) FS ;
+- PHY_73 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 133200 ) S ;
+- PHY_74 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 136530 ) N ;
+- PHY_75 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 136530 ) FN ;
+- PHY_76 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 139860 ) FS ;
+- PHY_77 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 139860 ) S ;
+- PHY_78 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 143190 ) N ;
+- PHY_79 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 143190 ) FN ;
+- PHY_80 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 146520 ) FS ;
+- PHY_81 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 146520 ) S ;
+- PHY_82 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 149850 ) N ;
+- PHY_83 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 149850 ) FN ;
+- PHY_84 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 153180 ) FS ;
+- PHY_85 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 153180 ) S ;
+- PHY_86 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 156510 ) N ;
+- PHY_87 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 156510 ) FN ;
+- PHY_88 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 159840 ) FS ;
+- PHY_89 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 159840 ) S ;
+- PHY_90 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 163170 ) N ;
+- PHY_91 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 163170 ) FN ;
+- PHY_92 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 166500 ) FS ;
+- PHY_93 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 166500 ) S ;
+- PHY_94 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 169830 ) N ;
+- PHY_95 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 169830 ) FN ;
+- PHY_96 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 173160 ) FS ;
+- PHY_97 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 173160 ) S ;
+- PHY_98 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 176490 ) N ;
+- PHY_99 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 176490 ) FN ;
+- PHY_100 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 179820 ) FS ;
+- PHY_101 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 179820 ) S ;
+- PHY_102 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 183150 ) N ;
+- PHY_103 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 183150 ) FN ;
+- PHY_104 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 186480 ) FS ;
+- PHY_105 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 186480 ) S ;
+- PHY_106 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 189810 ) N ;
+- PHY_107 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 189810 ) FN ;
+- PHY_108 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 193140 ) FS ;
+- PHY_109 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 193140 ) S ;
+- PHY_110 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 196470 ) N ;
+- PHY_111 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 196470 ) FN ;
+- PHY_112 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 199800 ) FS ;
+- PHY_113 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 199800 ) S ;
+- PHY_114 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 203130 ) N ;
+- PHY_115 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 203130 ) FN ;
+- PHY_116 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 206460 ) FS ;
+- PHY_117 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 206460 ) S ;
+- PHY_118 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 209790 ) N ;
+- PHY_119 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 209790 ) FN ;
+- PHY_120 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 213120 ) FS ;
+- PHY_121 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 213120 ) S ;
+- PHY_122 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 216450 ) N ;
+- PHY_123 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 216450 ) FN ;
+- PHY_124 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 219780 ) FS ;
+- PHY_125 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 219780 ) S ;
+- PHY_126 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 223110 ) N ;
+- PHY_127 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 223110 ) FN ;
+- PHY_128 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 226440 ) FS ;
+- PHY_129 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 226440 ) S ;
+- PHY_130 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 229770 ) N ;
+- PHY_131 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 229770 ) FN ;
+- PHY_132 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 233100 ) FS ;
+- PHY_133 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 233100 ) S ;
+- PHY_134 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 236430 ) N ;
+- PHY_135 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 236430 ) FN ;
+- PHY_136 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 239760 ) FS ;
+- PHY_137 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 239760 ) S ;
+- PHY_138 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 243090 ) N ;
+- PHY_139 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 243090 ) FN ;
+- PHY_140 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 246420 ) FS ;
+- PHY_141 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 246420 ) S ;
+- PHY_142 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 249750 ) N ;
+- PHY_143 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 249750 ) FN ;
+- PHY_144 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 253080 ) FS ;
+- PHY_145 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 253080 ) S ;
+- PHY_146 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 256410 ) N ;
+- PHY_147 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 256410 ) FN ;
+- PHY_148 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 259740 ) FS ;
+- PHY_149 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 259740 ) S ;
+- PHY_150 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 263070 ) N ;
+- PHY_151 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 263070 ) FN ;
+- PHY_152 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 266400 ) FS ;
+- PHY_153 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 266400 ) S ;
+- PHY_154 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 269730 ) N ;
+- PHY_155 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 269730 ) FN ;
+- PHY_156 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 273060 ) FS ;
+- PHY_157 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 273060 ) S ;
+- PHY_158 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 276390 ) N ;
+- PHY_159 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 276390 ) FN ;
+- PHY_160 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 279720 ) FS ;
+- PHY_161 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 279720 ) S ;
+- PHY_162 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 283050 ) N ;
+- PHY_163 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 283050 ) FN ;
+- PHY_164 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 13320 ) FS ;
+- PHY_165 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32640 13320 ) FS ;
+- PHY_166 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 46080 13320 ) FS ;
+- PHY_167 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 59520 13320 ) FS ;
+- PHY_168 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72960 13320 ) FS ;
+- PHY_169 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 86400 13320 ) FS ;
+- PHY_170 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 99840 13320 ) FS ;
+- PHY_171 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 113280 13320 ) FS ;
+- PHY_172 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 126720 13320 ) FS ;
+- PHY_173 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 140160 13320 ) FS ;
+- PHY_174 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 153600 13320 ) FS ;
+- PHY_175 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 167040 13320 ) FS ;
+- PHY_176 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 180480 13320 ) FS ;
+- PHY_177 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 193920 13320 ) FS ;
+- PHY_178 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 207360 13320 ) FS ;
+- PHY_179 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 220800 13320 ) FS ;
+- PHY_180 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 234240 13320 ) FS ;
+- PHY_181 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 247680 13320 ) FS ;
+- PHY_182 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 261120 13320 ) FS ;
+- PHY_183 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 274560 13320 ) FS ;
+- PHY_184 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 288000 13320 ) FS ;
+- PHY_185 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 16650 ) N ;
+- PHY_186 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 16650 ) N ;
+- PHY_187 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 16650 ) N ;
+- PHY_188 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 16650 ) N ;
+- PHY_189 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 16650 ) N ;
+- PHY_190 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 16650 ) N ;
+- PHY_191 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 16650 ) N ;
+- PHY_192 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 16650 ) N ;
+- PHY_193 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 16650 ) N ;
+- PHY_194 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 16650 ) N ;
+- PHY_195 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 19980 ) FS ;
+- PHY_196 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 19980 ) FS ;
+- PHY_197 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 19980 ) FS ;
+- PHY_198 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 19980 ) FS ;
+- PHY_199 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 19980 ) FS ;
+- PHY_200 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 19980 ) FS ;
+- PHY_201 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 19980 ) FS ;
+- PHY_202 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 19980 ) FS ;
+- PHY_203 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 19980 ) FS ;
+- PHY_204 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 19980 ) FS ;
+- PHY_205 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 19980 ) FS ;
+- PHY_206 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 23310 ) N ;
+- PHY_207 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 23310 ) N ;
+- PHY_208 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 23310 ) N ;
+- PHY_209 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 23310 ) N ;
+- PHY_210 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 23310 ) N ;
+- PHY_211 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 23310 ) N ;
+- PHY_212 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 23310 ) N ;
+- PHY_213 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 23310 ) N ;
+- PHY_214 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 23310 ) N ;
+- PHY_215 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 23310 ) N ;
+- PHY_216 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 26640 ) FS ;
+- PHY_217 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 26640 ) FS ;
+- PHY_218 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 26640 ) FS ;
+- PHY_219 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 26640 ) FS ;
+- PHY_220 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 26640 ) FS ;
+- PHY_221 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 26640 ) FS ;
+- PHY_222 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 26640 ) FS ;
+- PHY_223 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 26640 ) FS ;
+- PHY_224 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 26640 ) FS ;
+- PHY_225 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 26640 ) FS ;
+- PHY_226 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 26640 ) FS ;
+- PHY_227 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 29970 ) N ;
+- PHY_228 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 29970 ) N ;
+- PHY_229 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 29970 ) N ;
+- PHY_230 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 29970 ) N ;
+- PHY_231 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 29970 ) N ;
+- PHY_232 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 29970 ) N ;
+- PHY_233 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 29970 ) N ;
+- PHY_234 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 29970 ) N ;
+- PHY_235 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 29970 ) N ;
+- PHY_236 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 29970 ) N ;
+- PHY_237 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 33300 ) FS ;
+- PHY_238 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 33300 ) FS ;
+- PHY_239 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 33300 ) FS ;
+- PHY_240 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 33300 ) FS ;
+- PHY_241 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 33300 ) FS ;
+- PHY_242 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 33300 ) FS ;
+- PHY_243 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 33300 ) FS ;
+- PHY_244 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 33300 ) FS ;
+- PHY_245 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 33300 ) FS ;
+- PHY_246 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 33300 ) FS ;
+- PHY_247 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 33300 ) FS ;
+- PHY_248 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 36630 ) N ;
+- PHY_249 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 36630 ) N ;
+- PHY_250 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 36630 ) N ;
+- PHY_251 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 36630 ) N ;
+- PHY_252 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 36630 ) N ;
+- PHY_253 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 36630 ) N ;
+- PHY_254 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 36630 ) N ;
+- PHY_255 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 36630 ) N ;
+- PHY_256 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 36630 ) N ;
+- PHY_257 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 36630 ) N ;
+- PHY_258 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 39960 ) FS ;
+- PHY_259 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 39960 ) FS ;
+- PHY_260 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 39960 ) FS ;
+- PHY_261 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 39960 ) FS ;
+- PHY_262 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 39960 ) FS ;
+- PHY_263 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 39960 ) FS ;
+- PHY_264 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 39960 ) FS ;
+- PHY_265 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 39960 ) FS ;
+- PHY_266 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 39960 ) FS ;
+- PHY_267 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 39960 ) FS ;
+- PHY_268 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 39960 ) FS ;
+- PHY_269 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 43290 ) N ;
+- PHY_270 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 43290 ) N ;
+- PHY_271 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 43290 ) N ;
+- PHY_272 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 43290 ) N ;
+- PHY_273 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 43290 ) N ;
+- PHY_274 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 43290 ) N ;
+- PHY_275 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 43290 ) N ;
+- PHY_276 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 43290 ) N ;
+- PHY_277 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 43290 ) N ;
+- PHY_278 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 43290 ) N ;
+- PHY_279 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 46620 ) FS ;
+- PHY_280 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 46620 ) FS ;
+- PHY_281 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 46620 ) FS ;
+- PHY_282 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 46620 ) FS ;
+- PHY_283 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 46620 ) FS ;
+- PHY_284 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 46620 ) FS ;
+- PHY_285 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 46620 ) FS ;
+- PHY_286 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 46620 ) FS ;
+- PHY_287 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 46620 ) FS ;
+- PHY_288 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 46620 ) FS ;
+- PHY_289 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 46620 ) FS ;
+- PHY_290 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 49950 ) N ;
+- PHY_291 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 49950 ) N ;
+- PHY_292 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 49950 ) N ;
+- PHY_293 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 49950 ) N ;
+- PHY_294 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 49950 ) N ;
+- PHY_295 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 49950 ) N ;
+- PHY_296 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 49950 ) N ;
+- PHY_297 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 49950 ) N ;
+- PHY_298 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 49950 ) N ;
+- PHY_299 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 49950 ) N ;
+- PHY_300 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 53280 ) FS ;
+- PHY_301 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 53280 ) FS ;
+- PHY_302 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 53280 ) FS ;
+- PHY_303 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 53280 ) FS ;
+- PHY_304 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 53280 ) FS ;
+- PHY_305 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 53280 ) FS ;
+- PHY_306 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 53280 ) FS ;
+- PHY_307 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 53280 ) FS ;
+- PHY_308 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 53280 ) FS ;
+- PHY_309 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 53280 ) FS ;
+- PHY_310 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 53280 ) FS ;
+- PHY_311 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 56610 ) N ;
+- PHY_312 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 56610 ) N ;
+- PHY_313 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 56610 ) N ;
+- PHY_314 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 56610 ) N ;
+- PHY_315 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 56610 ) N ;
+- PHY_316 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 56610 ) N ;
+- PHY_317 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 56610 ) N ;
+- PHY_318 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 56610 ) N ;
+- PHY_319 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 56610 ) N ;
+- PHY_320 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 56610 ) N ;
+- PHY_321 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 59940 ) FS ;
+- PHY_322 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 59940 ) FS ;
+- PHY_323 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 59940 ) FS ;
+- PHY_324 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 59940 ) FS ;
+- PHY_325 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 59940 ) FS ;
+- PHY_326 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 59940 ) FS ;
+- PHY_327 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 59940 ) FS ;
+- PHY_328 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 59940 ) FS ;
+- PHY_329 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 59940 ) FS ;
+- PHY_330 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 59940 ) FS ;
+- PHY_331 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 59940 ) FS ;
+- PHY_332 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 63270 ) N ;
+- PHY_333 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 63270 ) N ;
+- PHY_334 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 63270 ) N ;
+- PHY_335 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 63270 ) N ;
+- PHY_336 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 63270 ) N ;
+- PHY_337 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 63270 ) N ;
+- PHY_338 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 63270 ) N ;
+- PHY_339 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 63270 ) N ;
+- PHY_340 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 63270 ) N ;
+- PHY_341 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 63270 ) N ;
+- PHY_342 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 66600 ) FS ;
+- PHY_343 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 66600 ) FS ;
+- PHY_344 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 66600 ) FS ;
+- PHY_345 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 66600 ) FS ;
+- PHY_346 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 66600 ) FS ;
+- PHY_347 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 66600 ) FS ;
+- PHY_348 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 66600 ) FS ;
+- PHY_349 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 66600 ) FS ;
+- PHY_350 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 66600 ) FS ;
+- PHY_351 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 66600 ) FS ;
+- PHY_352 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 66600 ) FS ;
+- PHY_353 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 69930 ) N ;
+- PHY_354 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 69930 ) N ;
+- PHY_355 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 69930 ) N ;
+- PHY_356 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 69930 ) N ;
+- PHY_357 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 69930 ) N ;
+- PHY_358 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 69930 ) N ;
+- PHY_359 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 69930 ) N ;
+- PHY_360 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 69930 ) N ;
+- PHY_361 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 69930 ) N ;
+- PHY_362 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 69930 ) N ;
+- PHY_363 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 73260 ) FS ;
+- PHY_364 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 73260 ) FS ;
+- PHY_365 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 73260 ) FS ;
+- PHY_366 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 73260 ) FS ;
+- PHY_367 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 73260 ) FS ;
+- PHY_368 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 73260 ) FS ;
+- PHY_369 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 73260 ) FS ;
+- PHY_370 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 73260 ) FS ;
+- PHY_371 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 73260 ) FS ;
+- PHY_372 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 73260 ) FS ;
+- PHY_373 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 73260 ) FS ;
+- PHY_374 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 76590 ) N ;
+- PHY_375 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 76590 ) N ;
+- PHY_376 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 76590 ) N ;
+- PHY_377 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 76590 ) N ;
+- PHY_378 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 76590 ) N ;
+- PHY_379 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 76590 ) N ;
+- PHY_380 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 76590 ) N ;
+- PHY_381 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 76590 ) N ;
+- PHY_382 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 76590 ) N ;
+- PHY_383 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 76590 ) N ;
+- PHY_384 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 79920 ) FS ;
+- PHY_385 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 79920 ) FS ;
+- PHY_386 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 79920 ) FS ;
+- PHY_387 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 79920 ) FS ;
+- PHY_388 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 79920 ) FS ;
+- PHY_389 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 79920 ) FS ;
+- PHY_390 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 79920 ) FS ;
+- PHY_391 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 79920 ) FS ;
+- PHY_392 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 79920 ) FS ;
+- PHY_393 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 79920 ) FS ;
+- PHY_394 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 79920 ) FS ;
+- PHY_395 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 83250 ) N ;
+- PHY_396 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 83250 ) N ;
+- PHY_397 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 83250 ) N ;
+- PHY_398 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 83250 ) N ;
+- PHY_399 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 83250 ) N ;
+- PHY_400 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 83250 ) N ;
+- PHY_401 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 83250 ) N ;
+- PHY_402 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 83250 ) N ;
+- PHY_403 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 83250 ) N ;
+- PHY_404 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 83250 ) N ;
+- PHY_405 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 86580 ) FS ;
+- PHY_406 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 86580 ) FS ;
+- PHY_407 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 86580 ) FS ;
+- PHY_408 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 86580 ) FS ;
+- PHY_409 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 86580 ) FS ;
+- PHY_410 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 86580 ) FS ;
+- PHY_411 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 86580 ) FS ;
+- PHY_412 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 86580 ) FS ;
+- PHY_413 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 86580 ) FS ;
+- PHY_414 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 86580 ) FS ;
+- PHY_415 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 86580 ) FS ;
+- PHY_416 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 89910 ) N ;
+- PHY_417 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 89910 ) N ;
+- PHY_418 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 89910 ) N ;
+- PHY_419 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 89910 ) N ;
+- PHY_420 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 89910 ) N ;
+- PHY_421 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 89910 ) N ;
+- PHY_422 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 89910 ) N ;
+- PHY_423 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 89910 ) N ;
+- PHY_424 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 89910 ) N ;
+- PHY_425 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 89910 ) N ;
+- PHY_426 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 93240 ) FS ;
+- PHY_427 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 93240 ) FS ;
+- PHY_428 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 93240 ) FS ;
+- PHY_429 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 93240 ) FS ;
+- PHY_430 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 93240 ) FS ;
+- PHY_431 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 93240 ) FS ;
+- PHY_432 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 93240 ) FS ;
+- PHY_433 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 93240 ) FS ;
+- PHY_434 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 93240 ) FS ;
+- PHY_435 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 93240 ) FS ;
+- PHY_436 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 93240 ) FS ;
+- PHY_437 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 96570 ) N ;
+- PHY_438 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 96570 ) N ;
+- PHY_439 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 96570 ) N ;
+- PHY_440 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 96570 ) N ;
+- PHY_441 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 96570 ) N ;
+- PHY_442 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 96570 ) N ;
+- PHY_443 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 96570 ) N ;
+- PHY_444 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 96570 ) N ;
+- PHY_445 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 96570 ) N ;
+- PHY_446 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 96570 ) N ;
+- PHY_447 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 99900 ) FS ;
+- PHY_448 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 99900 ) FS ;
+- PHY_449 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 99900 ) FS ;
+- PHY_450 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 99900 ) FS ;
+- PHY_451 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 99900 ) FS ;
+- PHY_452 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 99900 ) FS ;
+- PHY_453 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 99900 ) FS ;
+- PHY_454 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 99900 ) FS ;
+- PHY_455 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 99900 ) FS ;
+- PHY_456 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 99900 ) FS ;
+- PHY_457 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 99900 ) FS ;
+- PHY_458 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 103230 ) N ;
+- PHY_459 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 103230 ) N ;
+- PHY_460 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 103230 ) N ;
+- PHY_461 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 103230 ) N ;
+- PHY_462 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 103230 ) N ;
+- PHY_463 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 103230 ) N ;
+- PHY_464 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 103230 ) N ;
+- PHY_465 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 103230 ) N ;
+- PHY_466 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 103230 ) N ;
+- PHY_467 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 103230 ) N ;
+- PHY_468 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 106560 ) FS ;
+- PHY_469 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 106560 ) FS ;
+- PHY_470 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 106560 ) FS ;
+- PHY_471 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 106560 ) FS ;
+- PHY_472 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 106560 ) FS ;
+- PHY_473 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 106560 ) FS ;
+- PHY_474 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 106560 ) FS ;
+- PHY_475 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 106560 ) FS ;
+- PHY_476 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 106560 ) FS ;
+- PHY_477 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 106560 ) FS ;
+- PHY_478 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 106560 ) FS ;
+- PHY_479 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 109890 ) N ;
+- PHY_480 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 109890 ) N ;
+- PHY_481 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 109890 ) N ;
+- PHY_482 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 109890 ) N ;
+- PHY_483 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 109890 ) N ;
+- PHY_484 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 109890 ) N ;
+- PHY_485 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 109890 ) N ;
+- PHY_486 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 109890 ) N ;
+- PHY_487 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 109890 ) N ;
+- PHY_488 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 109890 ) N ;
+- PHY_489 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 113220 ) FS ;
+- PHY_490 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 113220 ) FS ;
+- PHY_491 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 113220 ) FS ;
+- PHY_492 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 113220 ) FS ;
+- PHY_493 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 113220 ) FS ;
+- PHY_494 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 113220 ) FS ;
+- PHY_495 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 113220 ) FS ;
+- PHY_496 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 113220 ) FS ;
+- PHY_497 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 113220 ) FS ;
+- PHY_498 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 113220 ) FS ;
+- PHY_499 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 113220 ) FS ;
+- PHY_500 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 116550 ) N ;
+- PHY_501 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 116550 ) N ;
+- PHY_502 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 116550 ) N ;
+- PHY_503 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 116550 ) N ;
+- PHY_504 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 116550 ) N ;
+- PHY_505 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 116550 ) N ;
+- PHY_506 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 116550 ) N ;
+- PHY_507 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 116550 ) N ;
+- PHY_508 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 116550 ) N ;
+- PHY_509 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 116550 ) N ;
+- PHY_510 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 119880 ) FS ;
+- PHY_511 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 119880 ) FS ;
+- PHY_512 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 119880 ) FS ;
+- PHY_513 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 119880 ) FS ;
+- PHY_514 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 119880 ) FS ;
+- PHY_515 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 119880 ) FS ;
+- PHY_516 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 119880 ) FS ;
+- PHY_517 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 119880 ) FS ;
+- PHY_518 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 119880 ) FS ;
+- PHY_519 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 119880 ) FS ;
+- PHY_520 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 119880 ) FS ;
+- PHY_521 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 123210 ) N ;
+- PHY_522 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 123210 ) N ;
+- PHY_523 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 123210 ) N ;
+- PHY_524 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 123210 ) N ;
+- PHY_525 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 123210 ) N ;
+- PHY_526 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 123210 ) N ;
+- PHY_527 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 123210 ) N ;
+- PHY_528 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 123210 ) N ;
+- PHY_529 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 123210 ) N ;
+- PHY_530 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 123210 ) N ;
+- PHY_531 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 126540 ) FS ;
+- PHY_532 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 126540 ) FS ;
+- PHY_533 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 126540 ) FS ;
+- PHY_534 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 126540 ) FS ;
+- PHY_535 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 126540 ) FS ;
+- PHY_536 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 126540 ) FS ;
+- PHY_537 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 126540 ) FS ;
+- PHY_538 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 126540 ) FS ;
+- PHY_539 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 126540 ) FS ;
+- PHY_540 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 126540 ) FS ;
+- PHY_541 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 126540 ) FS ;
+- PHY_542 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 129870 ) N ;
+- PHY_543 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 129870 ) N ;
+- PHY_544 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 129870 ) N ;
+- PHY_545 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 129870 ) N ;
+- PHY_546 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 129870 ) N ;
+- PHY_547 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 129870 ) N ;
+- PHY_548 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 129870 ) N ;
+- PHY_549 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 129870 ) N ;
+- PHY_550 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 129870 ) N ;
+- PHY_551 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 129870 ) N ;
+- PHY_552 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 133200 ) FS ;
+- PHY_553 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 133200 ) FS ;
+- PHY_554 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 133200 ) FS ;
+- PHY_555 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 133200 ) FS ;
+- PHY_556 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 133200 ) FS ;
+- PHY_557 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 133200 ) FS ;
+- PHY_558 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 133200 ) FS ;
+- PHY_559 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 133200 ) FS ;
+- PHY_560 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 133200 ) FS ;
+- PHY_561 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 133200 ) FS ;
+- PHY_562 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 133200 ) FS ;
+- PHY_563 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 136530 ) N ;
+- PHY_564 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 136530 ) N ;
+- PHY_565 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 136530 ) N ;
+- PHY_566 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 136530 ) N ;
+- PHY_567 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 136530 ) N ;
+- PHY_568 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 136530 ) N ;
+- PHY_569 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 136530 ) N ;
+- PHY_570 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 136530 ) N ;
+- PHY_571 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 136530 ) N ;
+- PHY_572 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 136530 ) N ;
+- PHY_573 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 139860 ) FS ;
+- PHY_574 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 139860 ) FS ;
+- PHY_575 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 139860 ) FS ;
+- PHY_576 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 139860 ) FS ;
+- PHY_577 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 139860 ) FS ;
+- PHY_578 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 139860 ) FS ;
+- PHY_579 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 139860 ) FS ;
+- PHY_580 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 139860 ) FS ;
+- PHY_581 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 139860 ) FS ;
+- PHY_582 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 139860 ) FS ;
+- PHY_583 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 139860 ) FS ;
+- PHY_584 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 143190 ) N ;
+- PHY_585 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 143190 ) N ;
+- PHY_586 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 143190 ) N ;
+- PHY_587 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 143190 ) N ;
+- PHY_588 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 143190 ) N ;
+- PHY_589 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 143190 ) N ;
+- PHY_590 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 143190 ) N ;
+- PHY_591 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 143190 ) N ;
+- PHY_592 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 143190 ) N ;
+- PHY_593 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 143190 ) N ;
+- PHY_594 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 146520 ) FS ;
+- PHY_595 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 146520 ) FS ;
+- PHY_596 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 146520 ) FS ;
+- PHY_597 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 146520 ) FS ;
+- PHY_598 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 146520 ) FS ;
+- PHY_599 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 146520 ) FS ;
+- PHY_600 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 146520 ) FS ;
+- PHY_601 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 146520 ) FS ;
+- PHY_602 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 146520 ) FS ;
+- PHY_603 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 146520 ) FS ;
+- PHY_604 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 146520 ) FS ;
+- PHY_605 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 149850 ) N ;
+- PHY_606 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 149850 ) N ;
+- PHY_607 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 149850 ) N ;
+- PHY_608 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 149850 ) N ;
+- PHY_609 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 149850 ) N ;
+- PHY_610 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 149850 ) N ;
+- PHY_611 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 149850 ) N ;
+- PHY_612 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 149850 ) N ;
+- PHY_613 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 149850 ) N ;
+- PHY_614 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 149850 ) N ;
+- PHY_615 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 153180 ) FS ;
+- PHY_616 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 153180 ) FS ;
+- PHY_617 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 153180 ) FS ;
+- PHY_618 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 153180 ) FS ;
+- PHY_619 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 153180 ) FS ;
+- PHY_620 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 153180 ) FS ;
+- PHY_621 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 153180 ) FS ;
+- PHY_622 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 153180 ) FS ;
+- PHY_623 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 153180 ) FS ;
+- PHY_624 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 153180 ) FS ;
+- PHY_625 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 153180 ) FS ;
+- PHY_626 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 156510 ) N ;
+- PHY_627 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 156510 ) N ;
+- PHY_628 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 156510 ) N ;
+- PHY_629 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 156510 ) N ;
+- PHY_630 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 156510 ) N ;
+- PHY_631 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 156510 ) N ;
+- PHY_632 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 156510 ) N ;
+- PHY_633 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 156510 ) N ;
+- PHY_634 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 156510 ) N ;
+- PHY_635 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 156510 ) N ;
+- PHY_636 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 159840 ) FS ;
+- PHY_637 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 159840 ) FS ;
+- PHY_638 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 159840 ) FS ;
+- PHY_639 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 159840 ) FS ;
+- PHY_640 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 159840 ) FS ;
+- PHY_641 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 159840 ) FS ;
+- PHY_642 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 159840 ) FS ;
+- PHY_643 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 159840 ) FS ;
+- PHY_644 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 159840 ) FS ;
+- PHY_645 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 159840 ) FS ;
+- PHY_646 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 159840 ) FS ;
+- PHY_647 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 163170 ) N ;
+- PHY_648 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 163170 ) N ;
+- PHY_649 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 163170 ) N ;
+- PHY_650 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 163170 ) N ;
+- PHY_651 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 163170 ) N ;
+- PHY_652 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 163170 ) N ;
+- PHY_653 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 163170 ) N ;
+- PHY_654 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 163170 ) N ;
+- PHY_655 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 163170 ) N ;
+- PHY_656 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 163170 ) N ;
+- PHY_657 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 166500 ) FS ;
+- PHY_658 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 166500 ) FS ;
+- PHY_659 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 166500 ) FS ;
+- PHY_660 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 166500 ) FS ;
+- PHY_661 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 166500 ) FS ;
+- PHY_662 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 166500 ) FS ;
+- PHY_663 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 166500 ) FS ;
+- PHY_664 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 166500 ) FS ;
+- PHY_665 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 166500 ) FS ;
+- PHY_666 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 166500 ) FS ;
+- PHY_667 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 166500 ) FS ;
+- PHY_668 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 169830 ) N ;
+- PHY_669 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 169830 ) N ;
+- PHY_670 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 169830 ) N ;
+- PHY_671 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 169830 ) N ;
+- PHY_672 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 169830 ) N ;
+- PHY_673 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 169830 ) N ;
+- PHY_674 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 169830 ) N ;
+- PHY_675 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 169830 ) N ;
+- PHY_676 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 169830 ) N ;
+- PHY_677 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 169830 ) N ;
+- PHY_678 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 173160 ) FS ;
+- PHY_679 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 173160 ) FS ;
+- PHY_680 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 173160 ) FS ;
+- PHY_681 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 173160 ) FS ;
+- PHY_682 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 173160 ) FS ;
+- PHY_683 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 173160 ) FS ;
+- PHY_684 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 173160 ) FS ;
+- PHY_685 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 173160 ) FS ;
+- PHY_686 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 173160 ) FS ;
+- PHY_687 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 173160 ) FS ;
+- PHY_688 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 173160 ) FS ;
+- PHY_689 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 176490 ) N ;
+- PHY_690 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 176490 ) N ;
+- PHY_691 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 176490 ) N ;
+- PHY_692 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 176490 ) N ;
+- PHY_693 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 176490 ) N ;
+- PHY_694 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 176490 ) N ;
+- PHY_695 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 176490 ) N ;
+- PHY_696 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 176490 ) N ;
+- PHY_697 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 176490 ) N ;
+- PHY_698 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 176490 ) N ;
+- PHY_699 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 179820 ) FS ;
+- PHY_700 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 179820 ) FS ;
+- PHY_701 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 179820 ) FS ;
+- PHY_702 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 179820 ) FS ;
+- PHY_703 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 179820 ) FS ;
+- PHY_704 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 179820 ) FS ;
+- PHY_705 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 179820 ) FS ;
+- PHY_706 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 179820 ) FS ;
+- PHY_707 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 179820 ) FS ;
+- PHY_708 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 179820 ) FS ;
+- PHY_709 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 179820 ) FS ;
+- PHY_710 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 183150 ) N ;
+- PHY_711 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 183150 ) N ;
+- PHY_712 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 183150 ) N ;
+- PHY_713 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 183150 ) N ;
+- PHY_714 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 183150 ) N ;
+- PHY_715 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 183150 ) N ;
+- PHY_716 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 183150 ) N ;
+- PHY_717 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 183150 ) N ;
+- PHY_718 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 183150 ) N ;
+- PHY_719 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 183150 ) N ;
+- PHY_720 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 186480 ) FS ;
+- PHY_721 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 186480 ) FS ;
+- PHY_722 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 186480 ) FS ;
+- PHY_723 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 186480 ) FS ;
+- PHY_724 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 186480 ) FS ;
+- PHY_725 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 186480 ) FS ;
+- PHY_726 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 186480 ) FS ;
+- PHY_727 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 186480 ) FS ;
+- PHY_728 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 186480 ) FS ;
+- PHY_729 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 186480 ) FS ;
+- PHY_730 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 186480 ) FS ;
+- PHY_731 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 189810 ) N ;
+- PHY_732 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 189810 ) N ;
+- PHY_733 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 189810 ) N ;
+- PHY_734 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 189810 ) N ;
+- PHY_735 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 189810 ) N ;
+- PHY_736 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 189810 ) N ;
+- PHY_737 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 189810 ) N ;
+- PHY_738 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 189810 ) N ;
+- PHY_739 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 189810 ) N ;
+- PHY_740 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 189810 ) N ;
+- PHY_741 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 193140 ) FS ;
+- PHY_742 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 193140 ) FS ;
+- PHY_743 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 193140 ) FS ;
+- PHY_744 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 193140 ) FS ;
+- PHY_745 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 193140 ) FS ;
+- PHY_746 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 193140 ) FS ;
+- PHY_747 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 193140 ) FS ;
+- PHY_748 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 193140 ) FS ;
+- PHY_749 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 193140 ) FS ;
+- PHY_750 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 193140 ) FS ;
+- PHY_751 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 193140 ) FS ;
+- PHY_752 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 196470 ) N ;
+- PHY_753 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 196470 ) N ;
+- PHY_754 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 196470 ) N ;
+- PHY_755 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 196470 ) N ;
+- PHY_756 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 196470 ) N ;
+- PHY_757 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 196470 ) N ;
+- PHY_758 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 196470 ) N ;
+- PHY_759 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 196470 ) N ;
+- PHY_760 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 196470 ) N ;
+- PHY_761 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 196470 ) N ;
+- PHY_762 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 199800 ) FS ;
+- PHY_763 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 199800 ) FS ;
+- PHY_764 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 199800 ) FS ;
+- PHY_765 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 199800 ) FS ;
+- PHY_766 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 199800 ) FS ;
+- PHY_767 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 199800 ) FS ;
+- PHY_768 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 199800 ) FS ;
+- PHY_769 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 199800 ) FS ;
+- PHY_770 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 199800 ) FS ;
+- PHY_771 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 199800 ) FS ;
+- PHY_772 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 199800 ) FS ;
+- PHY_773 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 203130 ) N ;
+- PHY_774 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 203130 ) N ;
+- PHY_775 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 203130 ) N ;
+- PHY_776 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 203130 ) N ;
+- PHY_777 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 203130 ) N ;
+- PHY_778 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 203130 ) N ;
+- PHY_779 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 203130 ) N ;
+- PHY_780 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 203130 ) N ;
+- PHY_781 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 203130 ) N ;
+- PHY_782 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 203130 ) N ;
+- PHY_783 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 206460 ) FS ;
+- PHY_784 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 206460 ) FS ;
+- PHY_785 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 206460 ) FS ;
+- PHY_786 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 206460 ) FS ;
+- PHY_787 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 206460 ) FS ;
+- PHY_788 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 206460 ) FS ;
+- PHY_789 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 206460 ) FS ;
+- PHY_790 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 206460 ) FS ;
+- PHY_791 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 206460 ) FS ;
+- PHY_792 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 206460 ) FS ;
+- PHY_793 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 206460 ) FS ;
+- PHY_794 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 209790 ) N ;
+- PHY_795 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 209790 ) N ;
+- PHY_796 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 209790 ) N ;
+- PHY_797 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 209790 ) N ;
+- PHY_798 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 209790 ) N ;
+- PHY_799 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 209790 ) N ;
+- PHY_800 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 209790 ) N ;
+- PHY_801 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 209790 ) N ;
+- PHY_802 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 209790 ) N ;
+- PHY_803 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 209790 ) N ;
+- PHY_804 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 213120 ) FS ;
+- PHY_805 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 213120 ) FS ;
+- PHY_806 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 213120 ) FS ;
+- PHY_807 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 213120 ) FS ;
+- PHY_808 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 213120 ) FS ;
+- PHY_809 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 213120 ) FS ;
+- PHY_810 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 213120 ) FS ;
+- PHY_811 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 213120 ) FS ;
+- PHY_812 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 213120 ) FS ;
+- PHY_813 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 213120 ) FS ;
+- PHY_814 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 213120 ) FS ;
+- PHY_815 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 216450 ) N ;
+- PHY_816 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 216450 ) N ;
+- PHY_817 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 216450 ) N ;
+- PHY_818 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 216450 ) N ;
+- PHY_819 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 216450 ) N ;
+- PHY_820 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 216450 ) N ;
+- PHY_821 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 216450 ) N ;
+- PHY_822 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 216450 ) N ;
+- PHY_823 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 216450 ) N ;
+- PHY_824 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 216450 ) N ;
+- PHY_825 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 219780 ) FS ;
+- PHY_826 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 219780 ) FS ;
+- PHY_827 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 219780 ) FS ;
+- PHY_828 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 219780 ) FS ;
+- PHY_829 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 219780 ) FS ;
+- PHY_830 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 219780 ) FS ;
+- PHY_831 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 219780 ) FS ;
+- PHY_832 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 219780 ) FS ;
+- PHY_833 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 219780 ) FS ;
+- PHY_834 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 219780 ) FS ;
+- PHY_835 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 219780 ) FS ;
+- PHY_836 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 223110 ) N ;
+- PHY_837 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 223110 ) N ;
+- PHY_838 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 223110 ) N ;
+- PHY_839 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 223110 ) N ;
+- PHY_840 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 223110 ) N ;
+- PHY_841 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 223110 ) N ;
+- PHY_842 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 223110 ) N ;
+- PHY_843 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 223110 ) N ;
+- PHY_844 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 223110 ) N ;
+- PHY_845 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 223110 ) N ;
+- PHY_846 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 226440 ) FS ;
+- PHY_847 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 226440 ) FS ;
+- PHY_848 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 226440 ) FS ;
+- PHY_849 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 226440 ) FS ;
+- PHY_850 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 226440 ) FS ;
+- PHY_851 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 226440 ) FS ;
+- PHY_852 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 226440 ) FS ;
+- PHY_853 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 226440 ) FS ;
+- PHY_854 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 226440 ) FS ;
+- PHY_855 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 226440 ) FS ;
+- PHY_856 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 226440 ) FS ;
+- PHY_857 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 229770 ) N ;
+- PHY_858 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 229770 ) N ;
+- PHY_859 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 229770 ) N ;
+- PHY_860 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 229770 ) N ;
+- PHY_861 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 229770 ) N ;
+- PHY_862 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 229770 ) N ;
+- PHY_863 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 229770 ) N ;
+- PHY_864 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 229770 ) N ;
+- PHY_865 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 229770 ) N ;
+- PHY_866 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 229770 ) N ;
+- PHY_867 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 233100 ) FS ;
+- PHY_868 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 233100 ) FS ;
+- PHY_869 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 233100 ) FS ;
+- PHY_870 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 233100 ) FS ;
+- PHY_871 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 233100 ) FS ;
+- PHY_872 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 233100 ) FS ;
+- PHY_873 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 233100 ) FS ;
+- PHY_874 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 233100 ) FS ;
+- PHY_875 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 233100 ) FS ;
+- PHY_876 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 233100 ) FS ;
+- PHY_877 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 233100 ) FS ;
+- PHY_878 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 236430 ) N ;
+- PHY_879 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 236430 ) N ;
+- PHY_880 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 236430 ) N ;
+- PHY_881 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 236430 ) N ;
+- PHY_882 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 236430 ) N ;
+- PHY_883 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 236430 ) N ;
+- PHY_884 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 236430 ) N ;
+- PHY_885 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 236430 ) N ;
+- PHY_886 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 236430 ) N ;
+- PHY_887 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 236430 ) N ;
+- PHY_888 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 239760 ) FS ;
+- PHY_889 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 239760 ) FS ;
+- PHY_890 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 239760 ) FS ;
+- PHY_891 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 239760 ) FS ;
+- PHY_892 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 239760 ) FS ;
+- PHY_893 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 239760 ) FS ;
+- PHY_894 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 239760 ) FS ;
+- PHY_895 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 239760 ) FS ;
+- PHY_896 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 239760 ) FS ;
+- PHY_897 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 239760 ) FS ;
+- PHY_898 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 239760 ) FS ;
+- PHY_899 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 243090 ) N ;
+- PHY_900 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 243090 ) N ;
+- PHY_901 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 243090 ) N ;
+- PHY_902 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 243090 ) N ;
+- PHY_903 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 243090 ) N ;
+- PHY_904 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 243090 ) N ;
+- PHY_905 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 243090 ) N ;
+- PHY_906 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 243090 ) N ;
+- PHY_907 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 243090 ) N ;
+- PHY_908 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 243090 ) N ;
+- PHY_909 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 246420 ) FS ;
+- PHY_910 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 246420 ) FS ;
+- PHY_911 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 246420 ) FS ;
+- PHY_912 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 246420 ) FS ;
+- PHY_913 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 246420 ) FS ;
+- PHY_914 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 246420 ) FS ;
+- PHY_915 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 246420 ) FS ;
+- PHY_916 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 246420 ) FS ;
+- PHY_917 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 246420 ) FS ;
+- PHY_918 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 246420 ) FS ;
+- PHY_919 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 246420 ) FS ;
+- PHY_920 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 249750 ) N ;
+- PHY_921 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 249750 ) N ;
+- PHY_922 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 249750 ) N ;
+- PHY_923 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 249750 ) N ;
+- PHY_924 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 249750 ) N ;
+- PHY_925 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 249750 ) N ;
+- PHY_926 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 249750 ) N ;
+- PHY_927 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 249750 ) N ;
+- PHY_928 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 249750 ) N ;
+- PHY_929 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 249750 ) N ;
+- PHY_930 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 253080 ) FS ;
+- PHY_931 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 253080 ) FS ;
+- PHY_932 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 253080 ) FS ;
+- PHY_933 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 253080 ) FS ;
+- PHY_934 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 253080 ) FS ;
+- PHY_935 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 253080 ) FS ;
+- PHY_936 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 253080 ) FS ;
+- PHY_937 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 253080 ) FS ;
+- PHY_938 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 253080 ) FS ;
+- PHY_939 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 253080 ) FS ;
+- PHY_940 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 253080 ) FS ;
+- PHY_941 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 256410 ) N ;
+- PHY_942 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 256410 ) N ;
+- PHY_943 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 256410 ) N ;
+- PHY_944 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 256410 ) N ;
+- PHY_945 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 256410 ) N ;
+- PHY_946 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 256410 ) N ;
+- PHY_947 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 256410 ) N ;
+- PHY_948 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 256410 ) N ;
+- PHY_949 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 256410 ) N ;
+- PHY_950 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 256410 ) N ;
+- PHY_951 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 259740 ) FS ;
+- PHY_952 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 259740 ) FS ;
+- PHY_953 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 259740 ) FS ;
+- PHY_954 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 259740 ) FS ;
+- PHY_955 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 259740 ) FS ;
+- PHY_956 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 259740 ) FS ;
+- PHY_957 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 259740 ) FS ;
+- PHY_958 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 259740 ) FS ;
+- PHY_959 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 259740 ) FS ;
+- PHY_960 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 259740 ) FS ;
+- PHY_961 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 259740 ) FS ;
+- PHY_962 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 263070 ) N ;
+- PHY_963 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 263070 ) N ;
+- PHY_964 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 263070 ) N ;
+- PHY_965 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 263070 ) N ;
+- PHY_966 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 263070 ) N ;
+- PHY_967 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 263070 ) N ;
+- PHY_968 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 263070 ) N ;
+- PHY_969 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 263070 ) N ;
+- PHY_970 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 263070 ) N ;
+- PHY_971 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 263070 ) N ;
+- PHY_972 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 266400 ) FS ;
+- PHY_973 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 266400 ) FS ;
+- PHY_974 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 266400 ) FS ;
+- PHY_975 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 266400 ) FS ;
+- PHY_976 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 266400 ) FS ;
+- PHY_977 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 266400 ) FS ;
+- PHY_978 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 266400 ) FS ;
+- PHY_979 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 266400 ) FS ;
+- PHY_980 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 266400 ) FS ;
+- PHY_981 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 266400 ) FS ;
+- PHY_982 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 266400 ) FS ;
+- PHY_983 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 269730 ) N ;
+- PHY_984 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 269730 ) N ;
+- PHY_985 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 269730 ) N ;
+- PHY_986 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 269730 ) N ;
+- PHY_987 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 269730 ) N ;
+- PHY_988 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 269730 ) N ;
+- PHY_989 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 269730 ) N ;
+- PHY_990 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 269730 ) N ;
+- PHY_991 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 269730 ) N ;
+- PHY_992 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 269730 ) N ;
+- PHY_993 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 273060 ) FS ;
+- PHY_994 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 273060 ) FS ;
+- PHY_995 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 273060 ) FS ;
+- PHY_996 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 273060 ) FS ;
+- PHY_997 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 273060 ) FS ;
+- PHY_998 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 273060 ) FS ;
+- PHY_999 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 273060 ) FS ;
+- PHY_1000 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 273060 ) FS ;
+- PHY_1001 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 273060 ) FS ;
+- PHY_1002 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 273060 ) FS ;
+- PHY_1003 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 273060 ) FS ;
+- PHY_1004 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 276390 ) N ;
+- PHY_1005 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 276390 ) N ;
+- PHY_1006 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 276390 ) N ;
+- PHY_1007 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 276390 ) N ;
+- PHY_1008 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 276390 ) N ;
+- PHY_1009 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 276390 ) N ;
+- PHY_1010 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 276390 ) N ;
+- PHY_1011 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 276390 ) N ;
+- PHY_1012 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 276390 ) N ;
+- PHY_1013 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 276390 ) N ;
+- PHY_1014 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 279720 ) FS ;
+- PHY_1015 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 279720 ) FS ;
+- PHY_1016 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 279720 ) FS ;
+- PHY_1017 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 279720 ) FS ;
+- PHY_1018 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 279720 ) FS ;
+- PHY_1019 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 279720 ) FS ;
+- PHY_1020 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 279720 ) FS ;
+- PHY_1021 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 279720 ) FS ;
+- PHY_1022 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 279720 ) FS ;
+- PHY_1023 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 279720 ) FS ;
+- PHY_1024 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 279720 ) FS ;
+- PHY_1025 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 283050 ) N ;
+- PHY_1026 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32640 283050 ) N ;
+- PHY_1027 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 46080 283050 ) N ;
+- PHY_1028 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 59520 283050 ) N ;
+- PHY_1029 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72960 283050 ) N ;
+- PHY_1030 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 86400 283050 ) N ;
+- PHY_1031 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 99840 283050 ) N ;
+- PHY_1032 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 113280 283050 ) N ;
+- PHY_1033 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 126720 283050 ) N ;
+- PHY_1034 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 140160 283050 ) N ;
+- PHY_1035 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 153600 283050 ) N ;
+- PHY_1036 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 167040 283050 ) N ;
+- PHY_1037 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 180480 283050 ) N ;
+- PHY_1038 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 193920 283050 ) N ;
+- PHY_1039 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 207360 283050 ) N ;
+- PHY_1040 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 220800 283050 ) N ;
+- PHY_1041 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 234240 283050 ) N ;
+- PHY_1042 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 247680 283050 ) N ;
+- PHY_1043 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 261120 283050 ) N ;
+- PHY_1044 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 274560 283050 ) N ;
+- PHY_1045 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 288000 283050 ) N ;
+- input1 sky130_fd_sc_ls__buf_2 + PLACED ( 7680 283050 ) N ;
+- input2 sky130_fd_sc_ls__buf_2 + PLACED ( 79680 283050 ) N ;
+- input3 sky130_fd_sc_ls__buf_2 + PLACED ( 88800 283050 ) N ;
+- input4 sky130_fd_sc_ls__buf_2 + PLACED ( 95520 283050 ) N ;
+- input5 sky130_fd_sc_ls__buf_2 + PLACED ( 103200 283050 ) N ;
+- input6 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 109440 283050 ) N ;
+- input7 sky130_fd_sc_ls__buf_2 + PLACED ( 119040 283050 ) N ;
+- input8 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 129120 283050 ) N ;
+- input9 sky130_fd_sc_ls__buf_2 + PLACED ( 134880 283050 ) N ;
+- input10 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 143040 283050 ) N ;
+- input11 sky130_fd_sc_ls__buf_2 + PLACED ( 149280 283050 ) N ;
+- input12 sky130_fd_sc_ls__buf_2 + PLACED ( 12000 283050 ) N ;
+- input13 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 158400 283050 ) N ;
+- input14 sky130_fd_sc_ls__buf_2 + PLACED ( 169440 283050 ) N ;
+- input15 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 174240 283050 ) N ;
+- input16 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 182880 283050 ) N ;
+- input17 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 190080 283050 ) N ;
+- input18 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 198240 283050 ) N ;
+- input19 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 209760 283050 ) N ;
+- input20 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 214080 283050 ) N ;
+- input21 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 223200 283050 ) N ;
+- input22 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 229440 283050 ) N ;
+- input23 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 28800 283050 ) N ;
+- input24 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 237600 283050 ) N ;
+- input25 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 242880 283050 ) N ;
+- input26 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 253440 283050 ) N ;
+- input27 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 263520 283050 ) N ;
+- input28 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 269280 283050 ) N ;
+- input29 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 276960 283050 ) N ;
+- input30 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 283200 283050 ) N ;
+- input31 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 288480 279720 ) FS ;
+- input32 sky130_fd_sc_ls__buf_2 + PLACED ( 24480 283050 ) N ;
+- input33 sky130_fd_sc_ls__buf_2 + PLACED ( 35040 283050 ) N ;
+- input34 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 40320 283050 ) N ;
+- input35 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 48480 283050 ) N ;
+- input36 sky130_fd_sc_ls__buf_2 + PLACED ( 55200 283050 ) N ;
+- input37 sky130_fd_sc_ls__buf_2 + PLACED ( 63840 283050 ) N ;
+- input38 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 75360 283050 ) N ;
+- input39 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 64800 13320 ) FS ;
+- input40 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 250080 13320 ) FS ;
+- input41 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 253920 13320 ) FS ;
+- input42 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 252000 16650 ) N ;
+- input43 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 255840 16650 ) N ;
+- input44 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 259680 16650 ) N ;
+- input45 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 263520 13320 ) FS ;
+- input46 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 263520 16650 ) N ;
+- input47 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 267360 13320 ) FS ;
+- input48 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 263040 19980 ) FS ;
+- input49 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 266880 19980 ) FS ;
+- input50 sky130_fd_sc_ls__buf_1 + PLACED ( 82560 13320 ) FS ;
+- input51 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 272160 16650 ) N ;
+- input52 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 276960 13320 ) FS ;
+- input53 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 270720 19980 ) FS ;
+- input54 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 276000 16650 ) N ;
+- input55 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 280800 13320 ) FS ;
+- input56 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 279840 16650 ) N ;
+- input57 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 277920 19980 ) FS ;
+- input58 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 283680 16650 ) N ;
+- input59 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 287520 16650 ) N ;
+- input60 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 285600 19980 ) FS ;
+- input61 sky130_fd_sc_ls__buf_1 + PLACED ( 88800 13320 ) FS ;
+- input62 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 285120 23310 ) N ;
+- input63 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 287040 26640 ) FS ;
+- input64 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 281280 23310 ) N ;
+- input65 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 288480 29970 ) N ;
+- input66 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 288480 33300 ) FS ;
+- input67 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 284640 29970 ) N ;
+- input68 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 277440 23310 ) N ;
+- input69 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 279360 26640 ) FS ;
+- input70 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 87360 16650 ) N ;
+- input71 sky130_fd_sc_ls__buf_1 + PLACED ( 92640 13320 ) FS ;
+- input72 sky130_fd_sc_ls__buf_1 + PLACED ( 91200 16650 ) N ;
+- input73 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 95040 16650 ) N ;
+- input74 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 102240 13320 ) FS ;
+- input75 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 98880 16650 ) N ;
+- input76 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 106080 13320 ) FS ;
+- input77 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 102720 16650 ) N ;
+- input78 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 68640 13320 ) FS ;
+- input79 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 101280 19980 ) FS ;
+- input80 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 106560 16650 ) N ;
+- input81 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 105120 19980 ) FS ;
+- input82 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 115680 13320 ) FS ;
+- input83 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 108960 19980 ) FS ;
+- input84 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 113760 16650 ) N ;
+- input85 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 119520 13320 ) FS ;
+- input86 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 117600 16650 ) N ;
+- input87 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 116160 19980 ) FS ;
+- input88 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 121440 16650 ) N ;
+- input89 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 68160 16650 ) N ;
+- input90 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 129120 13320 ) FS ;
+- input91 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 125280 16650 ) N ;
+- input92 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 129120 16650 ) N ;
+- input93 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 132960 13320 ) FS ;
+- input94 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 127200 19980 ) FS ;
+- input95 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 132960 16650 ) N ;
+- input96 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 131040 19980 ) FS ;
+- input97 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 134880 19980 ) FS ;
+- input98 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 142560 13320 ) FS ;
+- input99 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 140160 16650 ) N ;
+- input100 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 72000 16650 ) N ;
+- input101 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 146400 13320 ) FS ;
+- input102 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 144000 16650 ) N ;
+- input103 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 141600 19980 ) FS ;
+- input104 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 147840 16650 ) N ;
+- input105 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 145440 19980 ) FS ;
+- input106 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 151680 16650 ) N ;
+- input107 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 156000 13320 ) FS ;
+- input108 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 155520 16650 ) N ;
+- input109 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 159840 13320 ) FS ;
+- input110 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 154560 19980 ) FS ;
+- input111 sky130_fd_sc_ls__buf_1 + PLACED ( 75360 13320 ) FS ;
+- input112 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 159360 16650 ) N ;
+- input113 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 158400 19980 ) FS ;
+- input114 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 169440 13320 ) FS ;
+- input115 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 166560 16650 ) N ;
+- input116 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 163680 19980 ) FS ;
+- input117 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 173280 13320 ) FS ;
+- input118 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 170400 16650 ) N ;
+- input119 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 169440 19980 ) FS ;
+- input120 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 174240 16650 ) N ;
+- input121 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 173280 19980 ) FS ;
+- input122 sky130_fd_sc_ls__buf_1 + PLACED ( 75840 16650 ) N ;
+- input123 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 178080 16650 ) N ;
+- input124 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 182880 13320 ) FS ;
+- input125 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 181920 16650 ) N ;
+- input126 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 186720 13320 ) FS ;
+- input127 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 185760 16650 ) N ;
+- input128 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 183840 19980 ) FS ;
+- input129 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 187680 19980 ) FS ;
+- input130 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 196320 13320 ) FS ;
+- input131 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 192960 16650 ) N ;
+- input132 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 200160 13320 ) FS ;
+- input133 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 79680 16650 ) N ;
+- input134 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 196800 16650 ) N ;
+- input135 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 194880 19980 ) FS ;
+- input136 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 200640 16650 ) N ;
+- input137 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 198720 19980 ) FS ;
+- input138 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 204480 16650 ) N ;
+- input139 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 209760 13320 ) FS ;
+- input140 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 208320 16650 ) N ;
+- input141 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 213600 13320 ) FS ;
+- input142 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 212160 16650 ) N ;
+- input143 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 209760 19980 ) FS ;
+- input144 sky130_fd_sc_ls__buf_1 + PLACED ( 77280 19980 ) FS ;
+- input145 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 213600 19980 ) FS ;
+- input146 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 219360 16650 ) N ;
+- input147 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 223200 13320 ) FS ;
+- input148 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 217440 19980 ) FS ;
+- input149 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 223200 16650 ) N ;
+- input150 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 227040 13320 ) FS ;
+- input151 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 227040 16650 ) N ;
+- input152 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 224640 19980 ) FS ;
+- input153 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 230880 16650 ) N ;
+- input154 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 236640 13320 ) FS ;
+- input155 sky130_fd_sc_ls__buf_1 + PLACED ( 81120 19980 ) FS ;
+- input156 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 234720 16650 ) N ;
+- input157 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 240480 13320 ) FS ;
+- input158 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 233760 19980 ) FS ;
+- input159 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 238560 16650 ) N ;
+- input160 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 237600 19980 ) FS ;
+- input161 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 241440 19980 ) FS ;
+- input162 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 245760 16650 ) N ;
+- input163 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 245280 19980 ) FS ;
+- input164 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 249120 19980 ) FS ;
+- input165 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 246720 23310 ) N ;
+- input166 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 84960 19980 ) FS ;
+- input167 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 64320 16650 ) N ;
+- input168 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 252960 19980 ) FS ;
+- input169 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 251520 23310 ) N ;
+- input170 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 255360 23310 ) N ;
+- input171 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 259200 19980 ) FS ;
+- input172 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 259200 23310 ) N ;
+- input173 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 263040 23310 ) N ;
+- input174 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 260640 26640 ) FS ;
+- input175 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 264480 26640 ) FS ;
+- input176 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 268320 26640 ) FS ;
+- input177 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 272160 23310 ) N ;
+- input178 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 88800 19980 ) FS ;
+- input179 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 272160 26640 ) FS ;
+- input180 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 272160 29970 ) N ;
+- input181 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 276000 29970 ) N ;
+- input182 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 273600 33300 ) FS ;
+- input183 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 279840 29970 ) N ;
+- input184 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 277440 33300 ) FS ;
+- input185 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 278880 36630 ) N ;
+- input186 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 282720 36630 ) N ;
+- input187 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 286560 36630 ) N ;
+- input188 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 285600 39960 ) FS ;
+- input189 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 87360 23310 ) N ;
+- input190 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 286080 43290 ) N ;
+- input191 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 288000 46620 ) FS ;
+- input192 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 265920 29970 ) N ;
+- input193 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 279360 39960 ) FS ;
+- input194 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 275040 36630 ) N ;
+- input195 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 282240 43290 ) N ;
+- input196 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 269760 33300 ) FS ;
+- input197 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 275520 39960 ) FS ;
+- input198 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 92640 19980 ) FS ;
+- input199 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 91200 23310 ) N ;
+- input200 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 95040 23310 ) N ;
+- input201 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 93600 26640 ) FS ;
+- input202 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 98880 23310 ) N ;
+- input203 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 102720 23310 ) N ;
+- input204 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 100800 26640 ) FS ;
+- input205 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 106560 23310 ) N ;
+- input206 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 67680 19980 ) FS ;
+- input207 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 104640 26640 ) FS ;
+- input208 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 108480 26640 ) FS ;
+- input209 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 113760 23310 ) N ;
+- input210 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 112320 26640 ) FS ;
+- input211 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 120000 19980 ) FS ;
+- input212 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 117600 23310 ) N ;
+- input213 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 116160 26640 ) FS ;
+- input214 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 121440 23310 ) N ;
+- input215 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 120000 26640 ) FS ;
+- input216 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 125280 23310 ) N ;
+- input217 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 69600 23310 ) N ;
+- input218 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 129120 23310 ) N ;
+- input219 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 127200 26640 ) FS ;
+- input220 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 132960 23310 ) N ;
+- input221 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 131040 26640 ) FS ;
+- input222 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 128160 29970 ) N ;
+- input223 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 134880 26640 ) FS ;
+- input224 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 140160 23310 ) N ;
+- input225 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 133920 29970 ) N ;
+- input226 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 138720 26640 ) FS ;
+- input227 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 144000 23310 ) N ;
+- input228 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 73440 23310 ) N ;
+- input229 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 142560 26640 ) FS ;
+- input230 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 147840 23310 ) N ;
+- input231 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 146400 26640 ) FS ;
+- input232 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 151680 23310 ) N ;
+- input233 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 155520 23310 ) N ;
+- input234 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 148320 29970 ) N ;
+- input235 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 153600 26640 ) FS ;
+- input236 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 159360 23310 ) N ;
+- input237 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 157440 26640 ) FS ;
+- input238 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 156000 29970 ) N ;
+- input239 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 77280 23310 ) N ;
+- input240 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 161280 26640 ) FS ;
+- input241 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 166560 23310 ) N ;
+- input242 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 165120 26640 ) FS ;
+- input243 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 170400 23310 ) N ;
+- input244 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 168960 26640 ) FS ;
+- input245 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 174240 23310 ) N ;
+- input246 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 172800 26640 ) FS ;
+- input247 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 180000 19980 ) FS ;
+- input248 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 178080 23310 ) N ;
+- input249 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 181920 23310 ) N ;
+- input250 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 74880 26640 ) FS ;
+- input251 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 180000 26640 ) FS ;
+- input252 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 185760 23310 ) N ;
+- input253 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 183840 26640 ) FS ;
+- input254 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 181440 29970 ) N ;
+- input255 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 187680 26640 ) FS ;
+- input256 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 192960 23310 ) N ;
+- input257 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 191520 26640 ) FS ;
+- input258 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 196800 23310 ) N ;
+- input259 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 195360 26640 ) FS ;
+- input260 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 200640 23310 ) N ;
+- input261 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 81120 23310 ) N ;
+- input262 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 194400 29970 ) N ;
+- input263 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 199200 26640 ) FS ;
+- input264 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 204480 23310 ) N ;
+- input265 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 208320 23310 ) N ;
+- input266 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 201600 29970 ) N ;
+- input267 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 206400 26640 ) FS ;
+- input268 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 212160 23310 ) N ;
+- input269 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 210240 26640 ) FS ;
+- input270 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 209280 29970 ) N ;
+- input271 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 214080 26640 ) FS ;
+- input272 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 78720 26640 ) FS ;
+- input273 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 219360 23310 ) N ;
+- input274 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 217920 26640 ) FS ;
+- input275 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 223200 23310 ) N ;
+- input276 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 221760 26640 ) FS ;
+- input277 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 227040 23310 ) N ;
+- input278 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 225600 26640 ) FS ;
+- input279 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 230880 23310 ) N ;
+- input280 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 234720 23310 ) N ;
+- input281 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 227520 29970 ) N ;
+- input282 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 232800 26640 ) FS ;
+- input283 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 82560 26640 ) FS ;
+- input284 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 238560 23310 ) N ;
+- input285 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 236640 26640 ) FS ;
+- input286 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 234720 29970 ) N ;
+- input287 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 240480 26640 ) FS ;
+- input288 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 238560 29970 ) N ;
+- input289 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 244320 26640 ) FS ;
+- input290 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 248160 26640 ) FS ;
+- input291 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 245760 29970 ) N ;
+- input292 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 252000 26640 ) FS ;
+- input293 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 249600 29970 ) N ;
+- input294 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 86400 26640 ) FS ;
+- input295 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 13320 ) FS ;
+- input296 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 16650 ) N ;
+- input297 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 11520 13320 ) FS ;
+- input298 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 24480 13320 ) FS ;
+- input299 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 28320 13320 ) FS ;
+- input300 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 27840 16650 ) N ;
+- input301 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 35040 13320 ) FS ;
+- input302 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 34560 16650 ) N ;
+- input303 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 38880 13320 ) FS ;
+- input304 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 38400 16650 ) N ;
+- input305 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 36960 19980 ) FS ;
+- input306 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 42240 16650 ) N ;
+- input307 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 48480 13320 ) FS ;
+- input308 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 19980 ) FS ;
+- input309 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 46080 16650 ) N ;
+- input310 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 52320 13320 ) FS ;
+- input311 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 49920 16650 ) N ;
+- input312 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 48000 19980 ) FS ;
+- input313 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 53760 16650 ) N ;
+- input314 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 51840 19980 ) FS ;
+- input315 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 55680 19980 ) FS ;
+- input316 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 59520 19980 ) FS ;
+- input317 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 63360 19980 ) FS ;
+- input318 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 60960 23310 ) N ;
+- input319 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 11520 16650 ) N ;
+- input320 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 64800 23310 ) N ;
+- input321 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 62880 26640 ) FS ;
+- input322 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 15360 13320 ) FS ;
+- input323 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 15360 16650 ) N ;
+- input324 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 19200 16650 ) N ;
+- input325 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 23040 16650 ) N ;
+- input326 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 21600 19980 ) FS ;
+- input327 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 20640 23310 ) N ;
+- input328 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 25440 19980 ) FS ;
+- input329 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 23310 ) N ;
+- input330 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 11520 19980 ) FS ;
+- input331 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 29280 19980 ) FS ;
+- input332 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 26880 23310 ) N ;
+- input333 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 33120 19980 ) FS ;
+- input334 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 34560 23310 ) N ;
+- input335 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 40800 19980 ) FS ;
+- input336 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 34080 26640 ) FS ;
+- input337 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 37920 26640 ) FS ;
+- input338 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 41760 26640 ) FS ;
+- input339 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 46080 23310 ) N ;
+- input340 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 49920 23310 ) N ;
+- input341 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 15360 19980 ) FS ;
+- input342 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 48000 26640 ) FS ;
+- input343 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 53760 23310 ) N ;
+- input344 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 47040 29970 ) N ;
+- input345 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 51840 26640 ) FS ;
+- input346 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 50880 29970 ) N ;
+- input347 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 55680 26640 ) FS ;
+- input348 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 54720 29970 ) N ;
+- input349 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 56160 33300 ) FS ;
+- input350 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 60960 29970 ) N ;
+- input351 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 66720 26640 ) FS ;
+- input352 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 11520 23310 ) N ;
+- input353 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 64800 29970 ) N ;
+- input354 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 63360 33300 ) FS ;
+- input355 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 15360 23310 ) N ;
+- input356 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 13920 26640 ) FS ;
+- input357 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 15840 29970 ) N ;
+- input358 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 21600 26640 ) FS ;
+- input359 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 19680 29970 ) N ;
+- input360 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 25440 26640 ) FS ;
+- input361 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 23520 29970 ) N ;
+- input362 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 26640 ) FS ;
+- input363 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 29970 ) N ;
+- input364 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 11520 29970 ) N ;
+- input365 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 12480 33300 ) FS ;
+- input366 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 33300 ) FS ;
+- input367 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 36630 ) N ;
+- output368 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 7680 279720 ) FS ;
+- output369 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 69120 283050 ) N ;
+- output370 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 90240 279720 ) FS ;
+- output371 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 100800 279720 ) FS ;
+- output372 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 106080 279720 ) FS ;
+- output373 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 113760 279720 ) FS ;
+- output374 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 120960 279720 ) FS ;
+- output375 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 129600 279720 ) FS ;
+- output376 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 137760 279720 ) S ;
+- output377 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 162240 283050 ) N ;
+- output378 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 153600 279720 ) S ;
+- output379 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 11520 279720 ) FS ;
+- output380 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 161280 279720 ) FS ;
+- output381 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 168960 279720 ) S ;
+- output382 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 180000 279720 ) FS ;
+- output383 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 203040 283050 ) FN ;
+- output384 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 192960 279720 ) S ;
+- output385 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 200160 279720 ) FS ;
+- output386 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 208800 279720 ) FS ;
+- output387 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 216480 279720 ) S ;
+- output388 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 224640 279720 ) S ;
+- output389 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 232800 279720 ) S ;
+- output390 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 21600 279720 ) FS ;
+- output391 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 240000 279720 ) S ;
+- output392 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 248160 279720 ) FS ;
+- output393 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 259200 279720 ) FS ;
+- output394 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 264000 279720 ) S ;
+- output395 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 271680 279720 ) S ;
+- output396 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 279360 279720 ) S ;
+- output397 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 287520 276390 ) FN ;
+- output398 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 288480 273060 ) S ;
+- output399 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 26880 279720 ) FS ;
+- output400 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 35040 279720 ) FS ;
+- output401 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 41760 279720 ) S ;
+- output402 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 50880 279720 ) FS ;
+- output403 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 58560 279720 ) FS ;
+- output404 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 66720 279720 ) FS ;
+- output405 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 74400 279720 ) FS ;
+- output406 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 7680 276390 ) N ;
+- output407 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 84960 279720 ) FS ;
+- output408 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 94080 279720 ) FS ;
+- output409 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 100800 276390 ) FN ;
+- output410 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 109920 279720 ) S ;
+- output411 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 116640 276390 ) FN ;
+- output412 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 124320 276390 ) N ;
+- output413 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 133440 279720 ) S ;
+- output414 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 141600 279720 ) FS ;
+- output415 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 147360 279720 ) S ;
+- output416 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 157440 279720 ) FS ;
+- output417 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 15360 279720 ) FS ;
+- output418 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 165120 279720 ) S ;
+- output419 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 172800 279720 ) FS ;
+- output420 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 183840 279720 ) S ;
+- output421 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 187680 279720 ) FS ;
+- output422 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 195360 276390 ) FN ;
+- output423 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 203520 276390 ) FN ;
+- output424 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 212640 279720 ) S ;
+- output425 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 220320 279720 ) S ;
+- output426 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 227040 276390 ) FN ;
+- output427 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 234720 276390 ) N ;
+- output428 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 21600 276390 ) N ;
+- output429 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 243840 279720 ) S ;
+- output430 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 252000 279720 ) FS ;
+- output431 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 258720 276390 ) FN ;
+- output432 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 267840 279720 ) FS ;
+- output433 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 275520 279720 ) S ;
+- output434 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 282240 276390 ) N ;
+- output435 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 288480 269730 ) N ;
+- output436 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 288480 266400 ) S ;
+- output437 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 30720 279720 ) FS ;
+- output438 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 37440 276390 ) N ;
+- output439 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 45600 276390 ) FN ;
+- output440 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 54720 279720 ) FS ;
+- output441 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 62400 279720 ) FS ;
+- output442 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 69120 276390 ) N ;
+- output443 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 78240 279720 ) S ;
+- output444 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 7680 73260 ) FS ;
+- output445 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 288480 149850 ) N ;
+- output446 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 7680 223110 ) N ;
+- output447 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 68640 29970 ) N ;
+- output448 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 253440 29970 ) N ;
+- output449 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 250560 33300 ) FS ;
+- output450 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 257280 29970 ) FN ;
+- output451 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 261120 29970 ) N ;
+- output452 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 259200 33300 ) FS ;
+- output453 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 258240 36630 ) FN ;
+- output454 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 263040 33300 ) FS ;
+- output455 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 262080 36630 ) FN ;
+- output456 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 265920 36630 ) N ;
+- output457 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 265440 39960 ) FS ;
+- output458 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 87360 29970 ) N ;
+- output459 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 269280 39960 ) S ;
+- output460 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 272160 43290 ) N ;
+- output461 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 271200 46620 ) S ;
+- output462 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 276000 43290 ) FN ;
+- output463 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 275040 46620 ) FS ;
+- output464 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 278880 46620 ) S ;
+- output465 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 278400 49950 ) N ;
+- output466 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 282240 49950 ) FN ;
+- output467 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 286080 49950 ) N ;
+- output468 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 285600 53280 ) FS ;
+- output469 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 85440 33300 ) FS ;
+- output470 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 285600 56610 ) FN ;
+- output471 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 287520 59940 ) FS ;
+- output472 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 265920 43290 ) FN ;
+- output473 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 279360 53280 ) S ;
+- output474 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 274560 49950 ) FN ;
+- output475 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 261600 39960 ) S ;
+- output476 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 254400 36630 ) N ;
+- output477 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 281760 56610 ) FN ;
+- output478 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 91200 29970 ) N ;
+- output479 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 89280 33300 ) FS ;
+- output480 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 95040 29970 ) N ;
+- output481 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 93120 33300 ) FS ;
+- output482 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 98880 29970 ) N ;
+- output483 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 102720 29970 ) N ;
+- output484 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 100800 33300 ) FS ;
+- output485 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 106560 29970 ) N ;
+- output486 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 67200 33300 ) FS ;
+- output487 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 104640 33300 ) FS ;
+- output488 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 103680 36630 ) N ;
+- output489 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 108480 33300 ) S ;
+- output490 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 113760 29970 ) N ;
+- output491 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 112320 33300 ) FS ;
+- output492 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 117600 29970 ) N ;
+- output493 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 116160 33300 ) FS ;
+- output494 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 121440 29970 ) N ;
+- output495 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 120000 33300 ) FS ;
+- output496 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 118560 36630 ) N ;
+- output497 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 72480 29970 ) N ;
+- output498 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 122400 36630 ) N ;
+- output499 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 127200 33300 ) FS ;
+- output500 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 126240 36630 ) FN ;
+- output501 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 131040 33300 ) FS ;
+- output502 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 130080 36630 ) N ;
+- output503 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 134880 33300 ) FS ;
+- output504 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 140160 29970 ) N ;
+- output505 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 133920 36630 ) N ;
+- output506 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 138720 33300 ) FS ;
+- output507 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 144000 29970 ) N ;
+- output508 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 76320 29970 ) N ;
+- output509 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 142560 33300 ) S ;
+- output510 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 140640 36630 ) N ;
+- output511 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 146400 33300 ) FS ;
+- output512 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 152160 29970 ) N ;
+- output513 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 145920 36630 ) N ;
+- output514 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 149760 36630 ) N ;
+- output515 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 153600 33300 ) FS ;
+- output516 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 159840 29970 ) N ;
+- output517 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 157440 33300 ) S ;
+- output518 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 155040 36630 ) N ;
+- output519 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 74400 33300 ) FS ;
+- output520 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 161280 33300 ) FS ;
+- output521 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 166560 29970 ) N ;
+- output522 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 165120 33300 ) S ;
+- output523 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 170400 29970 ) N ;
+- output524 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 168960 33300 ) S ;
+- output525 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 174240 29970 ) FN ;
+- output526 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 168000 36630 ) FN ;
+- output527 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 172800 33300 ) FS ;
+- output528 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 171840 36630 ) FN ;
+- output529 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 175680 36630 ) N ;
+- output530 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 80160 29970 ) N ;
+- output531 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 180000 33300 ) S ;
+- output532 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 185280 29970 ) FN ;
+- output533 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 179520 36630 ) N ;
+- output534 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 183840 33300 ) FS ;
+- output535 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 183360 36630 ) FN ;
+- output536 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 187680 33300 ) S ;
+- output537 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 191520 33300 ) FS ;
+- output538 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 195360 33300 ) FS ;
+- output539 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 192960 36630 ) N ;
+- output540 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 199200 33300 ) FS ;
+- output541 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 78240 33300 ) FS ;
+- output542 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 196800 36630 ) FN ;
+- output543 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 205440 29970 ) FN ;
+- output544 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 200640 36630 ) FN ;
+- output545 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 206400 33300 ) FS ;
+- output546 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 204480 36630 ) FN ;
+- output547 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 213120 29970 ) FN ;
+- output548 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 210240 33300 ) FS ;
+- output549 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 208320 36630 ) N ;
+- output550 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 214080 33300 ) FS ;
+- output551 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 219360 29970 ) N ;
+- output552 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 78240 36630 ) N ;
+- output553 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 212160 36630 ) FN ;
+- output554 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 217920 33300 ) S ;
+- output555 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 223200 29970 ) N ;
+- output556 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 221760 33300 ) FS ;
+- output557 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 219360 36630 ) N ;
+- output558 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 225600 33300 ) FS ;
+- output559 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 223200 36630 ) N ;
+- output560 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 227040 36630 ) N ;
+- output561 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 232800 33300 ) S ;
+- output562 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 230880 36630 ) FN ;
+- output563 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 80160 39960 ) FS ;
+- output564 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 236640 33300 ) S ;
+- output565 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 234720 36630 ) N ;
+- output566 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 240480 33300 ) FS ;
+- output567 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 238560 36630 ) FN ;
+- output568 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 244320 33300 ) S ;
+- output569 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 240000 39960 ) S ;
+- output570 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 245760 36630 ) FN ;
+- output571 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 243840 39960 ) FS ;
+- output572 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 249600 36630 ) FN ;
+- output573 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 247680 39960 ) FS ;
+- output574 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 84000 39960 ) FS ;
+- output575 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 7680 39960 ) FS ;
+- output576 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 11520 36630 ) N ;
+- output577 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 29280 26640 ) FS ;
+- output578 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 27360 29970 ) N ;
+- output579 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 29280 33300 ) FS ;
+- output580 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 34560 29970 ) N ;
+- output581 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 33120 33300 ) FS ;
+- output582 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 36960 33300 ) FS ;
+- output583 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 40800 33300 ) FS ;
+- output584 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 38400 39960 ) FS ;
+- output585 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 48000 33300 ) FS ;
+- output586 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 46080 36630 ) N ;
+- output587 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 11520 39960 ) FS ;
+- output588 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 51840 33300 ) S ;
+- output589 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 49920 36630 ) N ;
+- output590 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 48000 39960 ) FS ;
+- output591 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 53760 36630 ) N ;
+- output592 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 51840 39960 ) FS ;
+- output593 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 55680 39960 ) FS ;
+- output594 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 60960 36630 ) N ;
+- output595 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 59520 39960 ) S ;
+- output596 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 64800 36630 ) N ;
+- output597 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 63360 39960 ) FS ;
+- output598 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 15360 36630 ) N ;
+- output599 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 68640 36630 ) N ;
+- output600 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 67200 39960 ) FS ;
+- output601 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 21600 33300 ) FS ;
+- output602 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 15360 39960 ) FS ;
+- output603 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 19200 36630 ) N ;
+- output604 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 25440 33300 ) FS ;
+- output605 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 23040 36630 ) N ;
+- output606 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 21600 39960 ) FS ;
+- output607 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 26880 36630 ) N ;
+- ANTENNA_0 sky130_fd_sc_ls__diode_2 + PLACED ( 256320 69930 ) N ;
+- ANTENNA_1 sky130_fd_sc_ls__diode_2 + PLACED ( 9600 279720 ) FS ;
+- ANTENNA_2 sky130_fd_sc_ls__diode_2 + PLACED ( 265440 49950 ) N ;
+- ANTENNA_3 sky130_fd_sc_ls__diode_2 + PLACED ( 68160 283050 ) N ;
+- ANTENNA_4 sky130_fd_sc_ls__diode_2 + PLACED ( 243840 73260 ) FS ;
+- ANTENNA_5 sky130_fd_sc_ls__diode_2 + PLACED ( 89280 279720 ) FS ;
+- ANTENNA_6 sky130_fd_sc_ls__diode_2 + PLACED ( 246720 93240 ) FS ;
+- ANTENNA_7 sky130_fd_sc_ls__diode_2 + PLACED ( 99840 279720 ) FS ;
+- ANTENNA_8 sky130_fd_sc_ls__diode_2 + PLACED ( 111840 19980 ) S ;
+- ANTENNA_9 sky130_fd_sc_ls__diode_2 + PLACED ( 136800 279720 ) S ;
+- ANTENNA_10 sky130_fd_sc_ls__diode_2 + PLACED ( 128160 89910 ) FN ;
+- ANTENNA_11 sky130_fd_sc_ls__diode_2 + PLACED ( 152640 279720 ) S ;
+- ANTENNA_12 sky130_fd_sc_ls__diode_2 + PLACED ( 91200 36630 ) N ;
+- ANTENNA_13 sky130_fd_sc_ls__diode_2 + PLACED ( 10560 279720 ) FS ;
+- ANTENNA_14 sky130_fd_sc_ls__diode_2 + PLACED ( 33600 36630 ) FN ;
+- ANTENNA_15 sky130_fd_sc_ls__diode_2 + PLACED ( 168000 279720 ) S ;
+- ANTENNA_16 sky130_fd_sc_ls__diode_2 + PLACED ( 170880 279720 ) S ;
+- ANTENNA_17 sky130_fd_sc_ls__diode_2 + PLACED ( 202080 283050 ) FN ;
+- ANTENNA_18 sky130_fd_sc_ls__diode_2 + PLACED ( 18240 136530 ) N ;
+- ANTENNA_19 sky130_fd_sc_ls__diode_2 + PLACED ( 192000 279720 ) S ;
+- ANTENNA_20 sky130_fd_sc_ls__diode_2 + PLACED ( 194880 279720 ) S ;
+- ANTENNA_21 sky130_fd_sc_ls__diode_2 + PLACED ( 215520 279720 ) S ;
+- ANTENNA_22 sky130_fd_sc_ls__diode_2 + PLACED ( 180960 59940 ) FS ;
+- ANTENNA_23 sky130_fd_sc_ls__diode_2 + PLACED ( 223680 279720 ) S ;
+- ANTENNA_24 sky130_fd_sc_ls__diode_2 + PLACED ( 231840 279720 ) S ;
+- ANTENNA_25 sky130_fd_sc_ls__diode_2 + PLACED ( 69120 43290 ) FN ;
+- ANTENNA_26 sky130_fd_sc_ls__diode_2 + PLACED ( 20640 279720 ) FS ;
+- ANTENNA_27 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 83250 ) N ;
+- ANTENNA_28 sky130_fd_sc_ls__diode_2 + PLACED ( 239040 279720 ) S ;
+- ANTENNA_29 sky130_fd_sc_ls__diode_2 + PLACED ( 241920 279720 ) S ;
+- ANTENNA_30 sky130_fd_sc_ls__diode_2 + PLACED ( 152640 119880 ) S ;
+- ANTENNA_31 sky130_fd_sc_ls__diode_2 + PLACED ( 263040 279720 ) S ;
+- ANTENNA_32 sky130_fd_sc_ls__diode_2 + PLACED ( 144000 66600 ) FS ;
+- ANTENNA_33 sky130_fd_sc_ls__diode_2 + PLACED ( 270720 279720 ) S ;
+- ANTENNA_34 sky130_fd_sc_ls__diode_2 + PLACED ( 217920 59940 ) S ;
+- ANTENNA_35 sky130_fd_sc_ls__diode_2 + PLACED ( 278400 279720 ) S ;
+- ANTENNA_36 sky130_fd_sc_ls__diode_2 + PLACED ( 46560 49950 ) FN ;
+- ANTENNA_37 sky130_fd_sc_ls__diode_2 + PLACED ( 286560 276390 ) FN ;
+- ANTENNA_38 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 273060 ) S ;
+- ANTENNA_39 sky130_fd_sc_ls__diode_2 + PLACED ( 26880 143190 ) N ;
+- ANTENNA_40 sky130_fd_sc_ls__diode_2 + PLACED ( 40800 279720 ) S ;
+- ANTENNA_41 sky130_fd_sc_ls__diode_2 + PLACED ( 140160 139860 ) FS ;
+- ANTENNA_42 sky130_fd_sc_ls__diode_2 + PLACED ( 57600 279720 ) FS ;
+- ANTENNA_43 sky130_fd_sc_ls__diode_2 + PLACED ( 148320 89910 ) FN ;
+- ANTENNA_44 sky130_fd_sc_ls__diode_2 + PLACED ( 93120 279720 ) FS ;
+- ANTENNA_45 sky130_fd_sc_ls__diode_2 + PLACED ( 57600 133200 ) FS ;
+- ANTENNA_46 sky130_fd_sc_ls__diode_2 + PLACED ( 99840 276390 ) FN ;
+- ANTENNA_47 sky130_fd_sc_ls__diode_2 + PLACED ( 93120 79920 ) FS ;
+- ANTENNA_48 sky130_fd_sc_ls__diode_2 + PLACED ( 108960 279720 ) S ;
+- ANTENNA_49 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 43290 ) N ;
+- ANTENNA_50 sky130_fd_sc_ls__diode_2 + PLACED ( 115680 276390 ) FN ;
+- ANTENNA_51 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 49950 ) N ;
+- ANTENNA_52 sky130_fd_sc_ls__diode_2 + PLACED ( 132480 279720 ) S ;
+- ANTENNA_53 sky130_fd_sc_ls__diode_2 + PLACED ( 244800 129870 ) FN ;
+- ANTENNA_54 sky130_fd_sc_ls__diode_2 + PLACED ( 140640 279720 ) FS ;
+- ANTENNA_55 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 56610 ) N ;
+- ANTENNA_56 sky130_fd_sc_ls__diode_2 + PLACED ( 146400 279720 ) S ;
+- ANTENNA_57 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 63270 ) N ;
+- ANTENNA_58 sky130_fd_sc_ls__diode_2 + PLACED ( 164160 279720 ) S ;
+- ANTENNA_59 sky130_fd_sc_ls__diode_2 + PLACED ( 207360 59940 ) S ;
+- ANTENNA_60 sky130_fd_sc_ls__diode_2 + PLACED ( 171840 279720 ) FS ;
+- ANTENNA_61 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 69930 ) N ;
+- ANTENNA_62 sky130_fd_sc_ls__diode_2 + PLACED ( 182880 279720 ) S ;
+- ANTENNA_63 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 76590 ) N ;
+- ANTENNA_64 sky130_fd_sc_ls__diode_2 + PLACED ( 194400 276390 ) FN ;
+- ANTENNA_65 sky130_fd_sc_ls__diode_2 + PLACED ( 74880 83250 ) N ;
+- ANTENNA_66 sky130_fd_sc_ls__diode_2 + PLACED ( 202560 276390 ) FN ;
+- ANTENNA_67 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 83250 ) N ;
+- ANTENNA_68 sky130_fd_sc_ls__diode_2 + PLACED ( 211680 279720 ) S ;
+- ANTENNA_69 sky130_fd_sc_ls__diode_2 + PLACED ( 123360 103230 ) N ;
+- ANTENNA_70 sky130_fd_sc_ls__diode_2 + PLACED ( 219360 279720 ) S ;
+- ANTENNA_71 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 89910 ) N ;
+- ANTENNA_72 sky130_fd_sc_ls__diode_2 + PLACED ( 226080 276390 ) FN ;
+- ANTENNA_73 sky130_fd_sc_ls__diode_2 + PLACED ( 247200 73260 ) S ;
+- ANTENNA_74 sky130_fd_sc_ls__diode_2 + PLACED ( 233760 276390 ) N ;
+- ANTENNA_75 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 23310 ) N ;
+- ANTENNA_76 sky130_fd_sc_ls__diode_2 + PLACED ( 20640 276390 ) N ;
+- ANTENNA_77 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 96570 ) N ;
+- ANTENNA_78 sky130_fd_sc_ls__diode_2 + PLACED ( 242880 279720 ) S ;
+- ANTENNA_79 sky130_fd_sc_ls__diode_2 + PLACED ( 47040 73260 ) FS ;
+- ANTENNA_80 sky130_fd_sc_ls__diode_2 + PLACED ( 257760 276390 ) FN ;
+- ANTENNA_81 sky130_fd_sc_ls__diode_2 + PLACED ( 260640 276390 ) FN ;
+- ANTENNA_82 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 103230 ) N ;
+- ANTENNA_83 sky130_fd_sc_ls__diode_2 + PLACED ( 274560 279720 ) S ;
+- ANTENNA_84 sky130_fd_sc_ls__diode_2 + PLACED ( 277440 279720 ) S ;
+- ANTENNA_85 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 109890 ) N ;
+- ANTENNA_86 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 266400 ) S ;
+- ANTENNA_87 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 29970 ) N ;
+- ANTENNA_88 sky130_fd_sc_ls__diode_2 + PLACED ( 44640 276390 ) FN ;
+- ANTENNA_89 sky130_fd_sc_ls__diode_2 + PLACED ( 191520 46620 ) S ;
+- ANTENNA_90 sky130_fd_sc_ls__diode_2 + PLACED ( 53760 279720 ) FS ;
+- ANTENNA_91 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 36630 ) N ;
+- ANTENNA_92 sky130_fd_sc_ls__diode_2 + PLACED ( 77280 279720 ) S ;
+- ANTENNA_93 sky130_fd_sc_ls__diode_2 + PLACED ( 218400 276390 ) N ;
+- ANTENNA_94 sky130_fd_sc_ls__diode_2 + PLACED ( 256320 29970 ) FN ;
+- ANTENNA_95 sky130_fd_sc_ls__diode_2 + PLACED ( 257280 36630 ) FN ;
+- ANTENNA_96 sky130_fd_sc_ls__diode_2 + PLACED ( 116640 239760 ) FS ;
+- ANTENNA_97 sky130_fd_sc_ls__diode_2 + PLACED ( 261120 36630 ) FN ;
+- ANTENNA_98 sky130_fd_sc_ls__diode_2 + PLACED ( 272160 249750 ) FN ;
+- ANTENNA_99 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 29970 ) N ;
+- ANTENNA_100 sky130_fd_sc_ls__diode_2 + PLACED ( 115200 243090 ) N ;
+- ANTENNA_101 sky130_fd_sc_ls__diode_2 + PLACED ( 268320 39960 ) S ;
+- ANTENNA_102 sky130_fd_sc_ls__diode_2 + PLACED ( 270240 46620 ) S ;
+- ANTENNA_103 sky130_fd_sc_ls__diode_2 + PLACED ( 15360 243090 ) N ;
+- ANTENNA_104 sky130_fd_sc_ls__diode_2 + PLACED ( 275040 43290 ) FN ;
+- ANTENNA_105 sky130_fd_sc_ls__diode_2 + PLACED ( 277920 43290 ) FN ;
+- ANTENNA_106 sky130_fd_sc_ls__diode_2 + PLACED ( 76800 179820 ) FS ;
+- ANTENNA_107 sky130_fd_sc_ls__diode_2 + PLACED ( 277920 46620 ) S ;
+- ANTENNA_108 sky130_fd_sc_ls__diode_2 + PLACED ( 280800 46620 ) S ;
+- ANTENNA_109 sky130_fd_sc_ls__diode_2 + PLACED ( 281280 49950 ) FN ;
+- ANTENNA_110 sky130_fd_sc_ls__diode_2 + PLACED ( 284640 56610 ) FN ;
+- ANTENNA_111 sky130_fd_sc_ls__diode_2 + PLACED ( 264960 43290 ) FN ;
+- ANTENNA_112 sky130_fd_sc_ls__diode_2 + PLACED ( 156480 233100 ) FS ;
+- ANTENNA_113 sky130_fd_sc_ls__diode_2 + PLACED ( 278400 53280 ) S ;
+- ANTENNA_114 sky130_fd_sc_ls__diode_2 + PLACED ( 11520 189810 ) N ;
+- ANTENNA_115 sky130_fd_sc_ls__diode_2 + PLACED ( 273600 49950 ) FN ;
+- ANTENNA_116 sky130_fd_sc_ls__diode_2 + PLACED ( 276480 49950 ) FN ;
+- ANTENNA_117 sky130_fd_sc_ls__diode_2 + PLACED ( 260640 39960 ) S ;
+- ANTENNA_118 sky130_fd_sc_ls__diode_2 + PLACED ( 280800 56610 ) FN ;
+- ANTENNA_119 sky130_fd_sc_ls__diode_2 + PLACED ( 261120 269730 ) FN ;
+- ANTENNA_120 sky130_fd_sc_ls__diode_2 + PLACED ( 94080 29970 ) N ;
+- ANTENNA_121 sky130_fd_sc_ls__diode_2 + PLACED ( 285120 176490 ) FN ;
+- ANTENNA_122 sky130_fd_sc_ls__diode_2 + PLACED ( 102720 36630 ) N ;
+- ANTENNA_123 sky130_fd_sc_ls__diode_2 + PLACED ( 87360 226440 ) FS ;
+- ANTENNA_124 sky130_fd_sc_ls__diode_2 + PLACED ( 107520 33300 ) S ;
+- ANTENNA_125 sky130_fd_sc_ls__diode_2 + PLACED ( 220800 236430 ) FN ;
+- ANTENNA_126 sky130_fd_sc_ls__diode_2 + PLACED ( 112800 29970 ) N ;
+- ANTENNA_127 sky130_fd_sc_ls__diode_2 + PLACED ( 207360 186480 ) S ;
+- ANTENNA_128 sky130_fd_sc_ls__diode_2 + PLACED ( 120480 29970 ) N ;
+- ANTENNA_129 sky130_fd_sc_ls__diode_2 + PLACED ( 215040 206460 ) S ;
+- ANTENNA_130 sky130_fd_sc_ls__diode_2 + PLACED ( 121440 36630 ) N ;
+- ANTENNA_131 sky130_fd_sc_ls__diode_2 + PLACED ( 152640 173160 ) S ;
+- ANTENNA_132 sky130_fd_sc_ls__diode_2 + PLACED ( 126240 33300 ) FS ;
+- ANTENNA_133 sky130_fd_sc_ls__diode_2 + PLACED ( 22560 239760 ) FS ;
+- ANTENNA_134 sky130_fd_sc_ls__diode_2 + PLACED ( 125280 36630 ) FN ;
+- ANTENNA_135 sky130_fd_sc_ls__diode_2 + PLACED ( 152640 253080 ) S ;
+- ANTENNA_136 sky130_fd_sc_ls__diode_2 + PLACED ( 133920 33300 ) FS ;
+- ANTENNA_137 sky130_fd_sc_ls__diode_2 + PLACED ( 234240 189810 ) FN ;
+- ANTENNA_138 sky130_fd_sc_ls__diode_2 + PLACED ( 143040 29970 ) N ;
+- ANTENNA_139 sky130_fd_sc_ls__diode_2 + PLACED ( 120000 183150 ) N ;
+- ANTENNA_140 sky130_fd_sc_ls__diode_2 + PLACED ( 141600 33300 ) S ;
+- ANTENNA_141 sky130_fd_sc_ls__diode_2 + PLACED ( 126240 259740 ) FS ;
+- ANTENNA_142 sky130_fd_sc_ls__diode_2 + PLACED ( 156480 33300 ) S ;
+- ANTENNA_143 sky130_fd_sc_ls__diode_2 + PLACED ( 286080 229770 ) FN ;
+- ANTENNA_144 sky130_fd_sc_ls__diode_2 + PLACED ( 160320 33300 ) FS ;
+- ANTENNA_145 sky130_fd_sc_ls__diode_2 + PLACED ( 205440 219780 ) S ;
+- ANTENNA_146 sky130_fd_sc_ls__diode_2 + PLACED ( 165600 29970 ) N ;
+- ANTENNA_147 sky130_fd_sc_ls__diode_2 + PLACED ( 60960 169830 ) N ;
+- ANTENNA_148 sky130_fd_sc_ls__diode_2 + PLACED ( 164160 33300 ) S ;
+- ANTENNA_149 sky130_fd_sc_ls__diode_2 + PLACED ( 168000 33300 ) S ;
+- ANTENNA_150 sky130_fd_sc_ls__diode_2 + PLACED ( 173280 29970 ) FN ;
+- ANTENNA_151 sky130_fd_sc_ls__diode_2 + PLACED ( 85440 206460 ) FS ;
+- ANTENNA_152 sky130_fd_sc_ls__diode_2 + PLACED ( 167040 36630 ) FN ;
+- ANTENNA_153 sky130_fd_sc_ls__diode_2 + PLACED ( 18720 223110 ) N ;
+- ANTENNA_154 sky130_fd_sc_ls__diode_2 + PLACED ( 170880 36630 ) FN ;
+- ANTENNA_155 sky130_fd_sc_ls__diode_2 + PLACED ( 173760 36630 ) FN ;
+- ANTENNA_156 sky130_fd_sc_ls__diode_2 + PLACED ( 179040 33300 ) S ;
+- ANTENNA_157 sky130_fd_sc_ls__diode_2 + PLACED ( 111840 199800 ) FS ;
+- ANTENNA_158 sky130_fd_sc_ls__diode_2 + PLACED ( 184320 29970 ) FN ;
+- ANTENNA_159 sky130_fd_sc_ls__diode_2 + PLACED ( 115200 209790 ) N ;
+- ANTENNA_160 sky130_fd_sc_ls__diode_2 + PLACED ( 182400 36630 ) FN ;
+- ANTENNA_161 sky130_fd_sc_ls__diode_2 + PLACED ( 77760 276390 ) N ;
+- ANTENNA_162 sky130_fd_sc_ls__diode_2 + PLACED ( 186720 33300 ) S ;
+- ANTENNA_163 sky130_fd_sc_ls__diode_2 + PLACED ( 276960 249750 ) FN ;
+- ANTENNA_164 sky130_fd_sc_ls__diode_2 + PLACED ( 192000 36630 ) N ;
+- ANTENNA_165 sky130_fd_sc_ls__diode_2 + PLACED ( 11040 273060 ) FS ;
+- ANTENNA_166 sky130_fd_sc_ls__diode_2 + PLACED ( 195840 36630 ) FN ;
+- ANTENNA_167 sky130_fd_sc_ls__diode_2 + PLACED ( 51840 143190 ) N ;
+- ANTENNA_168 sky130_fd_sc_ls__diode_2 + PLACED ( 204480 29970 ) FN ;
+- ANTENNA_169 sky130_fd_sc_ls__diode_2 + PLACED ( 117120 233100 ) FS ;
+- ANTENNA_170 sky130_fd_sc_ls__diode_2 + PLACED ( 199680 36630 ) FN ;
+- ANTENNA_171 sky130_fd_sc_ls__diode_2 + PLACED ( 41280 276390 ) N ;
+- ANTENNA_172 sky130_fd_sc_ls__diode_2 + PLACED ( 203520 36630 ) FN ;
+- ANTENNA_173 sky130_fd_sc_ls__diode_2 + PLACED ( 153120 176490 ) N ;
+- ANTENNA_174 sky130_fd_sc_ls__diode_2 + PLACED ( 212160 29970 ) FN ;
+- ANTENNA_175 sky130_fd_sc_ls__diode_2 + PLACED ( 41280 253080 ) FS ;
+- ANTENNA_176 sky130_fd_sc_ls__diode_2 + PLACED ( 211200 36630 ) FN ;
+- ANTENNA_177 sky130_fd_sc_ls__diode_2 + PLACED ( 132480 189810 ) N ;
+- ANTENNA_178 sky130_fd_sc_ls__diode_2 + PLACED ( 216960 33300 ) S ;
+- ANTENNA_179 sky130_fd_sc_ls__diode_2 + PLACED ( 60960 113220 ) FS ;
+- ANTENNA_180 sky130_fd_sc_ls__diode_2 + PLACED ( 231840 33300 ) S ;
+- ANTENNA_181 sky130_fd_sc_ls__diode_2 + PLACED ( 120960 256410 ) N ;
+- ANTENNA_182 sky130_fd_sc_ls__diode_2 + PLACED ( 229920 36630 ) FN ;
+- ANTENNA_183 sky130_fd_sc_ls__diode_2 + PLACED ( 220800 249750 ) N ;
+- ANTENNA_184 sky130_fd_sc_ls__diode_2 + PLACED ( 235680 33300 ) S ;
+- ANTENNA_185 sky130_fd_sc_ls__diode_2 + PLACED ( 252480 226440 ) S ;
+- ANTENNA_186 sky130_fd_sc_ls__diode_2 + PLACED ( 233760 36630 ) N ;
+- ANTENNA_187 sky130_fd_sc_ls__diode_2 + PLACED ( 286080 166500 ) S ;
+- ANTENNA_188 sky130_fd_sc_ls__diode_2 + PLACED ( 239520 33300 ) FS ;
+- ANTENNA_189 sky130_fd_sc_ls__diode_2 + PLACED ( 237600 36630 ) FN ;
+- ANTENNA_190 sky130_fd_sc_ls__diode_2 + PLACED ( 48000 226440 ) FS ;
+- ANTENNA_191 sky130_fd_sc_ls__diode_2 + PLACED ( 243360 33300 ) S ;
+- ANTENNA_192 sky130_fd_sc_ls__diode_2 + PLACED ( 239040 39960 ) S ;
+- ANTENNA_193 sky130_fd_sc_ls__diode_2 + PLACED ( 206880 276390 ) N ;
+- ANTENNA_194 sky130_fd_sc_ls__diode_2 + PLACED ( 244800 36630 ) FN ;
+- ANTENNA_195 sky130_fd_sc_ls__diode_2 + PLACED ( 132960 173160 ) FS ;
+- ANTENNA_196 sky130_fd_sc_ls__diode_2 + PLACED ( 248640 36630 ) FN ;
+- ANTENNA_197 sky130_fd_sc_ls__diode_2 + PLACED ( 155040 263070 ) FN ;
+- ANTENNA_198 sky130_fd_sc_ls__diode_2 + PLACED ( 10560 36630 ) N ;
+- ANTENNA_199 sky130_fd_sc_ls__diode_2 + PLACED ( 218880 239760 ) S ;
+- ANTENNA_200 sky130_fd_sc_ls__diode_2 + PLACED ( 28320 26640 ) FS ;
+- ANTENNA_201 sky130_fd_sc_ls__diode_2 + PLACED ( 67200 179820 ) S ;
+- ANTENNA_202 sky130_fd_sc_ls__diode_2 + PLACED ( 28320 33300 ) FS ;
+- ANTENNA_203 sky130_fd_sc_ls__diode_2 + PLACED ( 73440 186480 ) S ;
+- ANTENNA_204 sky130_fd_sc_ls__diode_2 + PLACED ( 33600 29970 ) N ;
+- ANTENNA_205 sky130_fd_sc_ls__diode_2 + PLACED ( 239040 259740 ) S ;
+- ANTENNA_206 sky130_fd_sc_ls__diode_2 + PLACED ( 36000 33300 ) FS ;
+- ANTENNA_207 sky130_fd_sc_ls__diode_2 + PLACED ( 235680 126540 ) S ;
+- ANTENNA_208 sky130_fd_sc_ls__diode_2 + PLACED ( 39840 33300 ) FS ;
+- ANTENNA_209 sky130_fd_sc_ls__diode_2 + PLACED ( 57600 206460 ) S ;
+- ANTENNA_210 sky130_fd_sc_ls__diode_2 + PLACED ( 47040 33300 ) FS ;
+- ANTENNA_211 sky130_fd_sc_ls__diode_2 + PLACED ( 45120 36630 ) N ;
+- ANTENNA_212 sky130_fd_sc_ls__diode_2 + PLACED ( 287040 189810 ) FN ;
+- ANTENNA_213 sky130_fd_sc_ls__diode_2 + PLACED ( 10560 39960 ) FS ;
+- ANTENNA_214 sky130_fd_sc_ls__diode_2 + PLACED ( 37920 269730 ) N ;
+- ANTENNA_215 sky130_fd_sc_ls__diode_2 + PLACED ( 50880 33300 ) S ;
+- ANTENNA_216 sky130_fd_sc_ls__diode_2 + PLACED ( 102240 189810 ) FN ;
+- ANTENNA_217 sky130_fd_sc_ls__diode_2 + PLACED ( 48960 36630 ) N ;
+- ANTENNA_218 sky130_fd_sc_ls__diode_2 + PLACED ( 124320 256410 ) FN ;
+- ANTENNA_219 sky130_fd_sc_ls__diode_2 + PLACED ( 47040 39960 ) FS ;
+- ANTENNA_220 sky130_fd_sc_ls__diode_2 + PLACED ( 137760 219780 ) S ;
+- ANTENNA_221 sky130_fd_sc_ls__diode_2 + PLACED ( 54720 39960 ) FS ;
+- ANTENNA_222 sky130_fd_sc_ls__diode_2 + PLACED ( 47040 206460 ) FS ;
+- ANTENNA_223 sky130_fd_sc_ls__diode_2 + PLACED ( 58560 39960 ) S ;
+- ANTENNA_224 sky130_fd_sc_ls__diode_2 + PLACED ( 75360 256410 ) FN ;
+- ANTENNA_225 sky130_fd_sc_ls__diode_2 + PLACED ( 63840 36630 ) N ;
+- ANTENNA_226 sky130_fd_sc_ls__diode_2 + PLACED ( 262560 249750 ) FN ;
+- ANTENNA_227 sky130_fd_sc_ls__diode_2 + PLACED ( 62400 39960 ) FS ;
+- ANTENNA_228 sky130_fd_sc_ls__diode_2 + PLACED ( 187200 213120 ) S ;
+- ANTENNA_229 sky130_fd_sc_ls__diode_2 + PLACED ( 14400 36630 ) N ;
+- ANTENNA_230 sky130_fd_sc_ls__diode_2 + PLACED ( 205440 273060 ) S ;
+- ANTENNA_231 sky130_fd_sc_ls__diode_2 + PLACED ( 20640 33300 ) FS ;
+- ANTENNA_232 sky130_fd_sc_ls__diode_2 + PLACED ( 199680 209790 ) FN ;
+- ANTENNA_233 sky130_fd_sc_ls__diode_2 + PLACED ( 18240 36630 ) N ;
+- ANTENNA_234 sky130_fd_sc_ls__diode_2 + PLACED ( 62880 223110 ) FN ;
+- ANTENNA_235 sky130_fd_sc_ls__diode_2 + PLACED ( 25920 36630 ) N ;
+- FILLER_0_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 13320 ) FS ;
+- FILLER_0_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 13320 ) FS ;
+- FILLER_0_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 13320 ) FS ;
+- FILLER_0_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 13320 ) FS ;
+- FILLER_0_37 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 23520 13320 ) FS ;
+- FILLER_0_43 sky130_fd_sc_ls__decap_4 + PLACED ( 26400 13320 ) FS ;
+- FILLER_0_51 sky130_fd_sc_ls__decap_4 + PLACED ( 30240 13320 ) FS ;
+- FILLER_0_55 sky130_fd_sc_ls__fill_1 + PLACED ( 32160 13320 ) FS ;
+- FILLER_0_57 sky130_fd_sc_ls__decap_4 + PLACED ( 33120 13320 ) FS ;
+- FILLER_0_65 sky130_fd_sc_ls__decap_4 + PLACED ( 36960 13320 ) FS ;
+- FILLER_0_73 sky130_fd_sc_ls__decap_8 + PLACED ( 40800 13320 ) FS ;
+- FILLER_0_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 13320 ) FS ;
+- FILLER_0_83 sky130_fd_sc_ls__fill_1 + PLACED ( 45600 13320 ) FS ;
+- FILLER_0_85 sky130_fd_sc_ls__decap_4 + PLACED ( 46560 13320 ) FS ;
+- FILLER_0_93 sky130_fd_sc_ls__decap_4 + PLACED ( 50400 13320 ) FS ;
+- FILLER_0_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 13320 ) FS ;
+- FILLER_0_109 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 58080 13320 ) FS ;
+- FILLER_0_111 sky130_fd_sc_ls__fill_1 + PLACED ( 59040 13320 ) FS ;
+- FILLER_0_113 sky130_fd_sc_ls__decap_8 + PLACED ( 60000 13320 ) FS ;
+- FILLER_0_121 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 63840 13320 ) FS ;
+- FILLER_0_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 13320 ) FS ;
+- FILLER_0_135 sky130_fd_sc_ls__decap_4 + PLACED ( 70560 13320 ) FS ;
+- FILLER_0_139 sky130_fd_sc_ls__fill_1 + PLACED ( 72480 13320 ) FS ;
+- FILLER_0_141 sky130_fd_sc_ls__decap_4 + PLACED ( 73440 13320 ) FS ;
+- FILLER_0_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 13320 ) FS ;
+- FILLER_0_157 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 81120 13320 ) FS ;
+- FILLER_0_159 sky130_fd_sc_ls__fill_1 + PLACED ( 82080 13320 ) FS ;
+- FILLER_0_164 sky130_fd_sc_ls__decap_4 + PLACED ( 84480 13320 ) FS ;
+- FILLER_0_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 13320 ) FS ;
+- FILLER_0_177 sky130_fd_sc_ls__decap_4 + PLACED ( 90720 13320 ) FS ;
+- FILLER_0_185 sky130_fd_sc_ls__decap_8 + PLACED ( 94560 13320 ) FS ;
+- FILLER_0_193 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 98400 13320 ) FS ;
+- FILLER_0_195 sky130_fd_sc_ls__fill_1 + PLACED ( 99360 13320 ) FS ;
+- FILLER_0_197 sky130_fd_sc_ls__decap_4 + PLACED ( 100320 13320 ) FS ;
+- FILLER_0_205 sky130_fd_sc_ls__decap_4 + PLACED ( 104160 13320 ) FS ;
+- FILLER_0_213 sky130_fd_sc_ls__decap_8 + PLACED ( 108000 13320 ) FS ;
+- FILLER_0_221 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 111840 13320 ) FS ;
+- FILLER_0_223 sky130_fd_sc_ls__fill_1 + PLACED ( 112800 13320 ) FS ;
+- FILLER_0_225 sky130_fd_sc_ls__decap_4 + PLACED ( 113760 13320 ) FS ;
+- FILLER_0_233 sky130_fd_sc_ls__decap_4 + PLACED ( 117600 13320 ) FS ;
+- FILLER_0_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 13320 ) FS ;
+- FILLER_0_249 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125280 13320 ) FS ;
+- FILLER_0_251 sky130_fd_sc_ls__fill_1 + PLACED ( 126240 13320 ) FS ;
+- FILLER_0_253 sky130_fd_sc_ls__decap_4 + PLACED ( 127200 13320 ) FS ;
+- FILLER_0_261 sky130_fd_sc_ls__decap_4 + PLACED ( 131040 13320 ) FS ;
+- FILLER_0_269 sky130_fd_sc_ls__decap_8 + PLACED ( 134880 13320 ) FS ;
+- FILLER_0_277 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138720 13320 ) FS ;
+- FILLER_0_279 sky130_fd_sc_ls__fill_1 + PLACED ( 139680 13320 ) FS ;
+- FILLER_0_281 sky130_fd_sc_ls__decap_4 + PLACED ( 140640 13320 ) FS ;
+- FILLER_0_289 sky130_fd_sc_ls__decap_4 + PLACED ( 144480 13320 ) FS ;
+- FILLER_0_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 13320 ) FS ;
+- FILLER_0_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 13320 ) FS ;
+- FILLER_0_309 sky130_fd_sc_ls__decap_4 + PLACED ( 154080 13320 ) FS ;
+- FILLER_0_317 sky130_fd_sc_ls__decap_4 + PLACED ( 157920 13320 ) FS ;
+- FILLER_0_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 13320 ) FS ;
+- FILLER_0_333 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 165600 13320 ) FS ;
+- FILLER_0_335 sky130_fd_sc_ls__fill_1 + PLACED ( 166560 13320 ) FS ;
+- FILLER_0_337 sky130_fd_sc_ls__decap_4 + PLACED ( 167520 13320 ) FS ;
+- FILLER_0_345 sky130_fd_sc_ls__decap_4 + PLACED ( 171360 13320 ) FS ;
+- FILLER_0_353 sky130_fd_sc_ls__decap_8 + PLACED ( 175200 13320 ) FS ;
+- FILLER_0_361 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 179040 13320 ) FS ;
+- FILLER_0_363 sky130_fd_sc_ls__fill_1 + PLACED ( 180000 13320 ) FS ;
+- FILLER_0_365 sky130_fd_sc_ls__decap_4 + PLACED ( 180960 13320 ) FS ;
+- FILLER_0_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 13320 ) FS ;
+- FILLER_0_381 sky130_fd_sc_ls__decap_8 + PLACED ( 188640 13320 ) FS ;
+- FILLER_0_389 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 192480 13320 ) FS ;
+- FILLER_0_391 sky130_fd_sc_ls__fill_1 + PLACED ( 193440 13320 ) FS ;
+- FILLER_0_393 sky130_fd_sc_ls__decap_4 + PLACED ( 194400 13320 ) FS ;
+- FILLER_0_401 sky130_fd_sc_ls__decap_4 + PLACED ( 198240 13320 ) FS ;
+- FILLER_0_409 sky130_fd_sc_ls__decap_4 + PLACED ( 202080 13320 ) FS ;
+- FILLER_0_416 sky130_fd_sc_ls__decap_4 + PLACED ( 205440 13320 ) FS ;
+- FILLER_0_421 sky130_fd_sc_ls__decap_4 + PLACED ( 207840 13320 ) FS ;
+- FILLER_0_429 sky130_fd_sc_ls__decap_4 + PLACED ( 211680 13320 ) FS ;
+- FILLER_0_437 sky130_fd_sc_ls__decap_8 + PLACED ( 215520 13320 ) FS ;
+- FILLER_0_445 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 219360 13320 ) FS ;
+- FILLER_0_447 sky130_fd_sc_ls__fill_1 + PLACED ( 220320 13320 ) FS ;
+- FILLER_0_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 13320 ) FS ;
+- FILLER_0_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 13320 ) FS ;
+- FILLER_0_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 13320 ) FS ;
+- FILLER_0_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 13320 ) FS ;
+- FILLER_0_475 sky130_fd_sc_ls__fill_1 + PLACED ( 233760 13320 ) FS ;
+- FILLER_0_477 sky130_fd_sc_ls__decap_4 + PLACED ( 234720 13320 ) FS ;
+- FILLER_0_485 sky130_fd_sc_ls__decap_4 + PLACED ( 238560 13320 ) FS ;
+- FILLER_0_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 13320 ) FS ;
+- FILLER_0_501 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 246240 13320 ) FS ;
+- FILLER_0_503 sky130_fd_sc_ls__fill_1 + PLACED ( 247200 13320 ) FS ;
+- FILLER_0_505 sky130_fd_sc_ls__decap_4 + PLACED ( 248160 13320 ) FS ;
+- FILLER_0_513 sky130_fd_sc_ls__decap_4 + PLACED ( 252000 13320 ) FS ;
+- FILLER_0_521 sky130_fd_sc_ls__decap_8 + PLACED ( 255840 13320 ) FS ;
+- FILLER_0_529 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 259680 13320 ) FS ;
+- FILLER_0_531 sky130_fd_sc_ls__fill_1 + PLACED ( 260640 13320 ) FS ;
+- FILLER_0_533 sky130_fd_sc_ls__decap_4 + PLACED ( 261600 13320 ) FS ;
+- FILLER_0_541 sky130_fd_sc_ls__decap_4 + PLACED ( 265440 13320 ) FS ;
+- FILLER_0_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 13320 ) FS ;
+- FILLER_0_557 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 273120 13320 ) FS ;
+- FILLER_0_559 sky130_fd_sc_ls__fill_1 + PLACED ( 274080 13320 ) FS ;
+- FILLER_0_561 sky130_fd_sc_ls__decap_4 + PLACED ( 275040 13320 ) FS ;
+- FILLER_0_569 sky130_fd_sc_ls__decap_4 + PLACED ( 278880 13320 ) FS ;
+- FILLER_0_577 sky130_fd_sc_ls__decap_8 + PLACED ( 282720 13320 ) FS ;
+- FILLER_0_585 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 286560 13320 ) FS ;
+- FILLER_0_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 13320 ) FS ;
+- FILLER_0_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 13320 ) FS ;
+- FILLER_1_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 16650 ) N ;
+- FILLER_1_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 16650 ) N ;
+- FILLER_1_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 16650 ) N ;
+- FILLER_1_32 sky130_fd_sc_ls__decap_4 + PLACED ( 21120 16650 ) N ;
+- FILLER_1_40 sky130_fd_sc_ls__decap_4 + PLACED ( 24960 16650 ) N ;
+- FILLER_1_44 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 26880 16650 ) N ;
+- FILLER_1_50 sky130_fd_sc_ls__decap_4 + PLACED ( 29760 16650 ) N ;
+- FILLER_1_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 16650 ) N ;
+- FILLER_1_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 16650 ) N ;
+- FILLER_1_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 16650 ) N ;
+- FILLER_1_72 sky130_fd_sc_ls__decap_4 + PLACED ( 40320 16650 ) N ;
+- FILLER_1_80 sky130_fd_sc_ls__decap_4 + PLACED ( 44160 16650 ) N ;
+- FILLER_1_88 sky130_fd_sc_ls__decap_4 + PLACED ( 48000 16650 ) N ;
+- FILLER_1_96 sky130_fd_sc_ls__decap_4 + PLACED ( 51840 16650 ) N ;
+- FILLER_1_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 16650 ) N ;
+- FILLER_1_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 16650 ) N ;
+- FILLER_1_111 sky130_fd_sc_ls__decap_4 + PLACED ( 59040 16650 ) N ;
+- FILLER_1_118 sky130_fd_sc_ls__decap_4 + PLACED ( 62400 16650 ) N ;
+- FILLER_1_126 sky130_fd_sc_ls__decap_4 + PLACED ( 66240 16650 ) N ;
+- FILLER_1_134 sky130_fd_sc_ls__decap_4 + PLACED ( 70080 16650 ) N ;
+- FILLER_1_142 sky130_fd_sc_ls__decap_4 + PLACED ( 73920 16650 ) N ;
+- FILLER_1_150 sky130_fd_sc_ls__decap_4 + PLACED ( 77760 16650 ) N ;
+- FILLER_1_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 16650 ) N ;
+- FILLER_1_162 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 83520 16650 ) N ;
+- FILLER_1_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 16650 ) N ;
+- FILLER_1_166 sky130_fd_sc_ls__decap_4 + PLACED ( 85440 16650 ) N ;
+- FILLER_1_174 sky130_fd_sc_ls__decap_4 + PLACED ( 89280 16650 ) N ;
+- FILLER_1_182 sky130_fd_sc_ls__decap_4 + PLACED ( 93120 16650 ) N ;
+- FILLER_1_190 sky130_fd_sc_ls__decap_4 + PLACED ( 96960 16650 ) N ;
+- FILLER_1_198 sky130_fd_sc_ls__decap_4 + PLACED ( 100800 16650 ) N ;
+- FILLER_1_206 sky130_fd_sc_ls__decap_4 + PLACED ( 104640 16650 ) N ;
+- FILLER_1_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 16650 ) N ;
+- FILLER_1_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 16650 ) N ;
+- FILLER_1_221 sky130_fd_sc_ls__decap_4 + PLACED ( 111840 16650 ) N ;
+- FILLER_1_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 16650 ) N ;
+- FILLER_1_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 16650 ) N ;
+- FILLER_1_245 sky130_fd_sc_ls__decap_4 + PLACED ( 123360 16650 ) N ;
+- FILLER_1_253 sky130_fd_sc_ls__decap_4 + PLACED ( 127200 16650 ) N ;
+- FILLER_1_261 sky130_fd_sc_ls__decap_4 + PLACED ( 131040 16650 ) N ;
+- FILLER_1_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 16650 ) N ;
+- FILLER_1_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 16650 ) N ;
+- FILLER_1_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 16650 ) N ;
+- FILLER_1_284 sky130_fd_sc_ls__decap_4 + PLACED ( 142080 16650 ) N ;
+- FILLER_1_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 16650 ) N ;
+- FILLER_1_300 sky130_fd_sc_ls__decap_4 + PLACED ( 149760 16650 ) N ;
+- FILLER_1_308 sky130_fd_sc_ls__decap_4 + PLACED ( 153600 16650 ) N ;
+- FILLER_1_316 sky130_fd_sc_ls__decap_4 + PLACED ( 157440 16650 ) N ;
+- FILLER_1_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 16650 ) N ;
+- FILLER_1_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 16650 ) N ;
+- FILLER_1_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 16650 ) N ;
+- FILLER_1_339 sky130_fd_sc_ls__decap_4 + PLACED ( 168480 16650 ) N ;
+- FILLER_1_347 sky130_fd_sc_ls__decap_4 + PLACED ( 172320 16650 ) N ;
+- FILLER_1_355 sky130_fd_sc_ls__decap_4 + PLACED ( 176160 16650 ) N ;
+- FILLER_1_363 sky130_fd_sc_ls__decap_4 + PLACED ( 180000 16650 ) N ;
+- FILLER_1_371 sky130_fd_sc_ls__decap_4 + PLACED ( 183840 16650 ) N ;
+- FILLER_1_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 16650 ) N ;
+- FILLER_1_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 16650 ) N ;
+- FILLER_1_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 16650 ) N ;
+- FILLER_1_394 sky130_fd_sc_ls__decap_4 + PLACED ( 194880 16650 ) N ;
+- FILLER_1_402 sky130_fd_sc_ls__decap_4 + PLACED ( 198720 16650 ) N ;
+- FILLER_1_410 sky130_fd_sc_ls__decap_4 + PLACED ( 202560 16650 ) N ;
+- FILLER_1_418 sky130_fd_sc_ls__decap_4 + PLACED ( 206400 16650 ) N ;
+- FILLER_1_426 sky130_fd_sc_ls__decap_4 + PLACED ( 210240 16650 ) N ;
+- FILLER_1_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 16650 ) N ;
+- FILLER_1_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 16650 ) N ;
+- FILLER_1_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 16650 ) N ;
+- FILLER_1_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 16650 ) N ;
+- FILLER_1_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 16650 ) N ;
+- FILLER_1_465 sky130_fd_sc_ls__decap_4 + PLACED ( 228960 16650 ) N ;
+- FILLER_1_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 16650 ) N ;
+- FILLER_1_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 16650 ) N ;
+- FILLER_1_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 16650 ) N ;
+- FILLER_1_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 16650 ) N ;
+- FILLER_1_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 16650 ) N ;
+- FILLER_1_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 16650 ) N ;
+- FILLER_1_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 16650 ) N ;
+- FILLER_1_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 16650 ) N ;
+- FILLER_1_525 sky130_fd_sc_ls__decap_4 + PLACED ( 257760 16650 ) N ;
+- FILLER_1_533 sky130_fd_sc_ls__decap_4 + PLACED ( 261600 16650 ) N ;
+- FILLER_1_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 16650 ) N ;
+- FILLER_1_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 16650 ) N ;
+- FILLER_1_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 16650 ) N ;
+- FILLER_1_559 sky130_fd_sc_ls__decap_4 + PLACED ( 274080 16650 ) N ;
+- FILLER_1_567 sky130_fd_sc_ls__decap_4 + PLACED ( 277920 16650 ) N ;
+- FILLER_1_575 sky130_fd_sc_ls__decap_4 + PLACED ( 281760 16650 ) N ;
+- FILLER_1_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 16650 ) N ;
+- FILLER_1_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 16650 ) N ;
+- FILLER_1_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 16650 ) N ;
+- FILLER_2_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 19980 ) FS ;
+- FILLER_2_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 19980 ) FS ;
+- FILLER_2_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 19980 ) FS ;
+- FILLER_2_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 19980 ) FS ;
+- FILLER_2_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 19980 ) FS ;
+- FILLER_2_45 sky130_fd_sc_ls__decap_4 + PLACED ( 27360 19980 ) FS ;
+- FILLER_2_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 19980 ) FS ;
+- FILLER_2_61 sky130_fd_sc_ls__decap_4 + PLACED ( 35040 19980 ) FS ;
+- FILLER_2_69 sky130_fd_sc_ls__decap_4 + PLACED ( 38880 19980 ) FS ;
+- FILLER_2_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 19980 ) FS ;
+- FILLER_2_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 19980 ) FS ;
+- FILLER_2_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 19980 ) FS ;
+- FILLER_2_92 sky130_fd_sc_ls__decap_4 + PLACED ( 49920 19980 ) FS ;
+- FILLER_2_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 19980 ) FS ;
+- FILLER_2_108 sky130_fd_sc_ls__decap_4 + PLACED ( 57600 19980 ) FS ;
+- FILLER_2_116 sky130_fd_sc_ls__decap_4 + PLACED ( 61440 19980 ) FS ;
+- FILLER_2_124 sky130_fd_sc_ls__decap_4 + PLACED ( 65280 19980 ) FS ;
+- FILLER_2_128 sky130_fd_sc_ls__fill_1 + PLACED ( 67200 19980 ) FS ;
+- FILLER_2_133 sky130_fd_sc_ls__decap_4 + PLACED ( 69600 19980 ) FS ;
+- FILLER_2_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 19980 ) FS ;
+- FILLER_2_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 19980 ) FS ;
+- FILLER_2_147 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 76320 19980 ) FS ;
+- FILLER_2_153 sky130_fd_sc_ls__decap_4 + PLACED ( 79200 19980 ) FS ;
+- FILLER_2_161 sky130_fd_sc_ls__decap_4 + PLACED ( 83040 19980 ) FS ;
+- FILLER_2_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 19980 ) FS ;
+- FILLER_2_177 sky130_fd_sc_ls__decap_4 + PLACED ( 90720 19980 ) FS ;
+- FILLER_2_185 sky130_fd_sc_ls__decap_8 + PLACED ( 94560 19980 ) FS ;
+- FILLER_2_194 sky130_fd_sc_ls__decap_4 + PLACED ( 98880 19980 ) FS ;
+- FILLER_2_198 sky130_fd_sc_ls__fill_1 + PLACED ( 100800 19980 ) FS ;
+- FILLER_2_203 sky130_fd_sc_ls__decap_4 + PLACED ( 103200 19980 ) FS ;
+- FILLER_2_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 19980 ) FS ;
+- FILLER_2_219 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110880 19980 ) FS ;
+- FILLER_2_226 sky130_fd_sc_ls__decap_4 + PLACED ( 114240 19980 ) FS ;
+- FILLER_2_234 sky130_fd_sc_ls__decap_4 + PLACED ( 118080 19980 ) FS ;
+- FILLER_2_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 19980 ) FS ;
+- FILLER_2_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 19980 ) FS ;
+- FILLER_2_249 sky130_fd_sc_ls__decap_4 + PLACED ( 125280 19980 ) FS ;
+- FILLER_2_257 sky130_fd_sc_ls__decap_4 + PLACED ( 129120 19980 ) FS ;
+- FILLER_2_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 19980 ) FS ;
+- FILLER_2_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 19980 ) FS ;
+- FILLER_2_281 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 140640 19980 ) FS ;
+- FILLER_2_287 sky130_fd_sc_ls__decap_4 + PLACED ( 143520 19980 ) FS ;
+- FILLER_2_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 19980 ) FS ;
+- FILLER_2_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 19980 ) FS ;
+- FILLER_2_308 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 153600 19980 ) FS ;
+- FILLER_2_314 sky130_fd_sc_ls__decap_4 + PLACED ( 156480 19980 ) FS ;
+- FILLER_2_322 sky130_fd_sc_ls__decap_4 + PLACED ( 160320 19980 ) FS ;
+- FILLER_2_326 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162240 19980 ) FS ;
+- FILLER_2_328 sky130_fd_sc_ls__fill_1 + PLACED ( 163200 19980 ) FS ;
+- FILLER_2_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 19980 ) FS ;
+- FILLER_2_345 sky130_fd_sc_ls__decap_4 + PLACED ( 171360 19980 ) FS ;
+- FILLER_2_353 sky130_fd_sc_ls__decap_4 + PLACED ( 175200 19980 ) FS ;
+- FILLER_2_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 19980 ) FS ;
+- FILLER_2_359 sky130_fd_sc_ls__decap_4 + PLACED ( 178080 19980 ) FS ;
+- FILLER_2_367 sky130_fd_sc_ls__decap_4 + PLACED ( 181920 19980 ) FS ;
+- FILLER_2_375 sky130_fd_sc_ls__decap_4 + PLACED ( 185760 19980 ) FS ;
+- FILLER_2_383 sky130_fd_sc_ls__decap_4 + PLACED ( 189600 19980 ) FS ;
+- FILLER_2_390 sky130_fd_sc_ls__decap_4 + PLACED ( 192960 19980 ) FS ;
+- FILLER_2_398 sky130_fd_sc_ls__decap_4 + PLACED ( 196800 19980 ) FS ;
+- FILLER_2_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 19980 ) FS ;
+- FILLER_2_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 19980 ) FS ;
+- FILLER_2_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 19980 ) FS ;
+- FILLER_2_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 19980 ) FS ;
+- FILLER_2_422 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 208320 19980 ) FS ;
+- FILLER_2_424 sky130_fd_sc_ls__fill_1 + PLACED ( 209280 19980 ) FS ;
+- FILLER_2_429 sky130_fd_sc_ls__decap_4 + PLACED ( 211680 19980 ) FS ;
+- FILLER_2_437 sky130_fd_sc_ls__decap_4 + PLACED ( 215520 19980 ) FS ;
+- FILLER_2_445 sky130_fd_sc_ls__decap_4 + PLACED ( 219360 19980 ) FS ;
+- FILLER_2_452 sky130_fd_sc_ls__decap_4 + PLACED ( 222720 19980 ) FS ;
+- FILLER_2_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 19980 ) FS ;
+- FILLER_2_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 19980 ) FS ;
+- FILLER_2_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 19980 ) FS ;
+- FILLER_2_479 sky130_fd_sc_ls__decap_4 + PLACED ( 235680 19980 ) FS ;
+- FILLER_2_487 sky130_fd_sc_ls__decap_4 + PLACED ( 239520 19980 ) FS ;
+- FILLER_2_495 sky130_fd_sc_ls__decap_4 + PLACED ( 243360 19980 ) FS ;
+- FILLER_2_503 sky130_fd_sc_ls__decap_4 + PLACED ( 247200 19980 ) FS ;
+- FILLER_2_511 sky130_fd_sc_ls__decap_4 + PLACED ( 251040 19980 ) FS ;
+- FILLER_2_519 sky130_fd_sc_ls__decap_4 + PLACED ( 254880 19980 ) FS ;
+- FILLER_2_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 19980 ) FS ;
+- FILLER_2_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 19980 ) FS ;
+- FILLER_2_540 sky130_fd_sc_ls__decap_4 + PLACED ( 264960 19980 ) FS ;
+- FILLER_2_548 sky130_fd_sc_ls__decap_4 + PLACED ( 268800 19980 ) FS ;
+- FILLER_2_556 sky130_fd_sc_ls__decap_4 + PLACED ( 272640 19980 ) FS ;
+- FILLER_2_563 sky130_fd_sc_ls__decap_4 + PLACED ( 276000 19980 ) FS ;
+- FILLER_2_571 sky130_fd_sc_ls__decap_4 + PLACED ( 279840 19980 ) FS ;
+- FILLER_2_575 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281760 19980 ) FS ;
+- FILLER_2_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 19980 ) FS ;
+- FILLER_2_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 19980 ) FS ;
+- FILLER_2_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 19980 ) FS ;
+- FILLER_2_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 19980 ) FS ;
+- FILLER_3_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 23310 ) N ;
+- FILLER_3_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 23310 ) N ;
+- FILLER_3_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 23310 ) N ;
+- FILLER_3_28 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19200 23310 ) N ;
+- FILLER_3_30 sky130_fd_sc_ls__fill_1 + PLACED ( 20160 23310 ) N ;
+- FILLER_3_35 sky130_fd_sc_ls__decap_8 + PLACED ( 22560 23310 ) N ;
+- FILLER_3_43 sky130_fd_sc_ls__fill_1 + PLACED ( 26400 23310 ) N ;
+- FILLER_3_48 sky130_fd_sc_ls__decap_4 + PLACED ( 28800 23310 ) N ;
+- FILLER_3_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 23310 ) N ;
+- FILLER_3_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 23310 ) N ;
+- FILLER_3_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 23310 ) N ;
+- FILLER_3_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 23310 ) N ;
+- FILLER_3_80 sky130_fd_sc_ls__decap_4 + PLACED ( 44160 23310 ) N ;
+- FILLER_3_88 sky130_fd_sc_ls__decap_4 + PLACED ( 48000 23310 ) N ;
+- FILLER_3_96 sky130_fd_sc_ls__decap_4 + PLACED ( 51840 23310 ) N ;
+- FILLER_3_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 23310 ) N ;
+- FILLER_3_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 23310 ) N ;
+- FILLER_3_111 sky130_fd_sc_ls__decap_4 + PLACED ( 59040 23310 ) N ;
+- FILLER_3_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 23310 ) N ;
+- FILLER_3_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 23310 ) N ;
+- FILLER_3_131 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 68640 23310 ) N ;
+- FILLER_3_137 sky130_fd_sc_ls__decap_4 + PLACED ( 71520 23310 ) N ;
+- FILLER_3_145 sky130_fd_sc_ls__decap_4 + PLACED ( 75360 23310 ) N ;
+- FILLER_3_153 sky130_fd_sc_ls__decap_4 + PLACED ( 79200 23310 ) N ;
+- FILLER_3_161 sky130_fd_sc_ls__decap_4 + PLACED ( 83040 23310 ) N ;
+- FILLER_3_166 sky130_fd_sc_ls__decap_4 + PLACED ( 85440 23310 ) N ;
+- FILLER_3_174 sky130_fd_sc_ls__decap_4 + PLACED ( 89280 23310 ) N ;
+- FILLER_3_182 sky130_fd_sc_ls__decap_4 + PLACED ( 93120 23310 ) N ;
+- FILLER_3_190 sky130_fd_sc_ls__decap_4 + PLACED ( 96960 23310 ) N ;
+- FILLER_3_198 sky130_fd_sc_ls__decap_4 + PLACED ( 100800 23310 ) N ;
+- FILLER_3_206 sky130_fd_sc_ls__decap_4 + PLACED ( 104640 23310 ) N ;
+- FILLER_3_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 23310 ) N ;
+- FILLER_3_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 23310 ) N ;
+- FILLER_3_221 sky130_fd_sc_ls__decap_4 + PLACED ( 111840 23310 ) N ;
+- FILLER_3_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 23310 ) N ;
+- FILLER_3_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 23310 ) N ;
+- FILLER_3_245 sky130_fd_sc_ls__decap_4 + PLACED ( 123360 23310 ) N ;
+- FILLER_3_253 sky130_fd_sc_ls__decap_4 + PLACED ( 127200 23310 ) N ;
+- FILLER_3_261 sky130_fd_sc_ls__decap_4 + PLACED ( 131040 23310 ) N ;
+- FILLER_3_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 23310 ) N ;
+- FILLER_3_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 23310 ) N ;
+- FILLER_3_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 23310 ) N ;
+- FILLER_3_284 sky130_fd_sc_ls__decap_4 + PLACED ( 142080 23310 ) N ;
+- FILLER_3_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 23310 ) N ;
+- FILLER_3_300 sky130_fd_sc_ls__decap_4 + PLACED ( 149760 23310 ) N ;
+- FILLER_3_308 sky130_fd_sc_ls__decap_4 + PLACED ( 153600 23310 ) N ;
+- FILLER_3_316 sky130_fd_sc_ls__decap_4 + PLACED ( 157440 23310 ) N ;
+- FILLER_3_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 23310 ) N ;
+- FILLER_3_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 23310 ) N ;
+- FILLER_3_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 23310 ) N ;
+- FILLER_3_339 sky130_fd_sc_ls__decap_4 + PLACED ( 168480 23310 ) N ;
+- FILLER_3_347 sky130_fd_sc_ls__decap_4 + PLACED ( 172320 23310 ) N ;
+- FILLER_3_355 sky130_fd_sc_ls__decap_4 + PLACED ( 176160 23310 ) N ;
+- FILLER_3_363 sky130_fd_sc_ls__decap_4 + PLACED ( 180000 23310 ) N ;
+- FILLER_3_371 sky130_fd_sc_ls__decap_4 + PLACED ( 183840 23310 ) N ;
+- FILLER_3_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 23310 ) N ;
+- FILLER_3_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 23310 ) N ;
+- FILLER_3_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 23310 ) N ;
+- FILLER_3_394 sky130_fd_sc_ls__decap_4 + PLACED ( 194880 23310 ) N ;
+- FILLER_3_402 sky130_fd_sc_ls__decap_4 + PLACED ( 198720 23310 ) N ;
+- FILLER_3_410 sky130_fd_sc_ls__decap_4 + PLACED ( 202560 23310 ) N ;
+- FILLER_3_418 sky130_fd_sc_ls__decap_4 + PLACED ( 206400 23310 ) N ;
+- FILLER_3_426 sky130_fd_sc_ls__decap_4 + PLACED ( 210240 23310 ) N ;
+- FILLER_3_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 23310 ) N ;
+- FILLER_3_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 23310 ) N ;
+- FILLER_3_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 23310 ) N ;
+- FILLER_3_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 23310 ) N ;
+- FILLER_3_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 23310 ) N ;
+- FILLER_3_465 sky130_fd_sc_ls__decap_4 + PLACED ( 228960 23310 ) N ;
+- FILLER_3_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 23310 ) N ;
+- FILLER_3_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 23310 ) N ;
+- FILLER_3_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 23310 ) N ;
+- FILLER_3_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 23310 ) N ;
+- FILLER_3_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 23310 ) N ;
+- FILLER_3_500 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 245760 23310 ) N ;
+- FILLER_3_506 sky130_fd_sc_ls__decap_4 + PLACED ( 248640 23310 ) N ;
+- FILLER_3_510 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 250560 23310 ) N ;
+- FILLER_3_516 sky130_fd_sc_ls__decap_4 + PLACED ( 253440 23310 ) N ;
+- FILLER_3_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 23310 ) N ;
+- FILLER_3_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 23310 ) N ;
+- FILLER_3_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 23310 ) N ;
+- FILLER_3_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 23310 ) N ;
+- FILLER_3_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 23310 ) N ;
+- FILLER_3_559 sky130_fd_sc_ls__decap_4 + PLACED ( 274080 23310 ) N ;
+- FILLER_3_563 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 276000 23310 ) N ;
+- FILLER_3_565 sky130_fd_sc_ls__fill_1 + PLACED ( 276960 23310 ) N ;
+- FILLER_3_570 sky130_fd_sc_ls__decap_4 + PLACED ( 279360 23310 ) N ;
+- FILLER_3_578 sky130_fd_sc_ls__decap_4 + PLACED ( 283200 23310 ) N ;
+- FILLER_3_586 sky130_fd_sc_ls__decap_4 + PLACED ( 287040 23310 ) N ;
+- FILLER_3_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 23310 ) N ;
+- FILLER_4_8 sky130_fd_sc_ls__decap_8 + PLACED ( 9600 26640 ) FS ;
+- FILLER_4_16 sky130_fd_sc_ls__fill_1 + PLACED ( 13440 26640 ) FS ;
+- FILLER_4_21 sky130_fd_sc_ls__decap_4 + PLACED ( 15840 26640 ) FS ;
+- FILLER_4_25 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 17760 26640 ) FS ;
+- FILLER_4_27 sky130_fd_sc_ls__fill_1 + PLACED ( 18720 26640 ) FS ;
+- FILLER_4_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 26640 ) FS ;
+- FILLER_4_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 26640 ) FS ;
+- FILLER_4_45 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 27360 26640 ) FS ;
+- FILLER_4_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 26640 ) FS ;
+- FILLER_4_57 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 33120 26640 ) FS ;
+- FILLER_4_63 sky130_fd_sc_ls__decap_4 + PLACED ( 36000 26640 ) FS ;
+- FILLER_4_71 sky130_fd_sc_ls__decap_4 + PLACED ( 39840 26640 ) FS ;
+- FILLER_4_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 26640 ) FS ;
+- FILLER_4_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 26640 ) FS ;
+- FILLER_4_92 sky130_fd_sc_ls__decap_4 + PLACED ( 49920 26640 ) FS ;
+- FILLER_4_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 26640 ) FS ;
+- FILLER_4_108 sky130_fd_sc_ls__decap_4 + PLACED ( 57600 26640 ) FS ;
+- FILLER_4_115 sky130_fd_sc_ls__decap_4 + PLACED ( 60960 26640 ) FS ;
+- FILLER_4_123 sky130_fd_sc_ls__decap_4 + PLACED ( 64800 26640 ) FS ;
+- FILLER_4_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 26640 ) FS ;
+- FILLER_4_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 26640 ) FS ;
+- FILLER_4_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 26640 ) FS ;
+- FILLER_4_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 26640 ) FS ;
+- FILLER_4_143 sky130_fd_sc_ls__fill_1 + PLACED ( 74400 26640 ) FS ;
+- FILLER_4_148 sky130_fd_sc_ls__decap_4 + PLACED ( 76800 26640 ) FS ;
+- FILLER_4_156 sky130_fd_sc_ls__decap_4 + PLACED ( 80640 26640 ) FS ;
+- FILLER_4_164 sky130_fd_sc_ls__decap_4 + PLACED ( 84480 26640 ) FS ;
+- FILLER_4_172 sky130_fd_sc_ls__decap_8 + PLACED ( 88320 26640 ) FS ;
+- FILLER_4_180 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 92160 26640 ) FS ;
+- FILLER_4_182 sky130_fd_sc_ls__fill_1 + PLACED ( 93120 26640 ) FS ;
+- FILLER_4_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 26640 ) FS ;
+- FILLER_4_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 26640 ) FS ;
+- FILLER_4_194 sky130_fd_sc_ls__decap_4 + PLACED ( 98880 26640 ) FS ;
+- FILLER_4_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 26640 ) FS ;
+- FILLER_4_210 sky130_fd_sc_ls__decap_4 + PLACED ( 106560 26640 ) FS ;
+- FILLER_4_218 sky130_fd_sc_ls__decap_4 + PLACED ( 110400 26640 ) FS ;
+- FILLER_4_226 sky130_fd_sc_ls__decap_4 + PLACED ( 114240 26640 ) FS ;
+- FILLER_4_234 sky130_fd_sc_ls__decap_4 + PLACED ( 118080 26640 ) FS ;
+- FILLER_4_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 26640 ) FS ;
+- FILLER_4_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 26640 ) FS ;
+- FILLER_4_249 sky130_fd_sc_ls__decap_4 + PLACED ( 125280 26640 ) FS ;
+- FILLER_4_257 sky130_fd_sc_ls__decap_4 + PLACED ( 129120 26640 ) FS ;
+- FILLER_4_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 26640 ) FS ;
+- FILLER_4_273 sky130_fd_sc_ls__decap_4 + PLACED ( 136800 26640 ) FS ;
+- FILLER_4_281 sky130_fd_sc_ls__decap_4 + PLACED ( 140640 26640 ) FS ;
+- FILLER_4_289 sky130_fd_sc_ls__decap_4 + PLACED ( 144480 26640 ) FS ;
+- FILLER_4_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 26640 ) FS ;
+- FILLER_4_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 26640 ) FS ;
+- FILLER_4_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 26640 ) FS ;
+- FILLER_4_312 sky130_fd_sc_ls__decap_4 + PLACED ( 155520 26640 ) FS ;
+- FILLER_4_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 26640 ) FS ;
+- FILLER_4_328 sky130_fd_sc_ls__decap_4 + PLACED ( 163200 26640 ) FS ;
+- FILLER_4_336 sky130_fd_sc_ls__decap_4 + PLACED ( 167040 26640 ) FS ;
+- FILLER_4_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 26640 ) FS ;
+- FILLER_4_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 26640 ) FS ;
+- FILLER_4_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 26640 ) FS ;
+- FILLER_4_359 sky130_fd_sc_ls__decap_4 + PLACED ( 178080 26640 ) FS ;
+- FILLER_4_367 sky130_fd_sc_ls__decap_4 + PLACED ( 181920 26640 ) FS ;
+- FILLER_4_375 sky130_fd_sc_ls__decap_4 + PLACED ( 185760 26640 ) FS ;
+- FILLER_4_383 sky130_fd_sc_ls__decap_4 + PLACED ( 189600 26640 ) FS ;
+- FILLER_4_391 sky130_fd_sc_ls__decap_4 + PLACED ( 193440 26640 ) FS ;
+- FILLER_4_399 sky130_fd_sc_ls__decap_4 + PLACED ( 197280 26640 ) FS ;
+- FILLER_4_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 26640 ) FS ;
+- FILLER_4_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 26640 ) FS ;
+- FILLER_4_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 26640 ) FS ;
+- FILLER_4_422 sky130_fd_sc_ls__decap_4 + PLACED ( 208320 26640 ) FS ;
+- FILLER_4_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 26640 ) FS ;
+- FILLER_4_438 sky130_fd_sc_ls__decap_4 + PLACED ( 216000 26640 ) FS ;
+- FILLER_4_446 sky130_fd_sc_ls__decap_4 + PLACED ( 219840 26640 ) FS ;
+- FILLER_4_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 26640 ) FS ;
+- FILLER_4_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 26640 ) FS ;
+- FILLER_4_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 26640 ) FS ;
+- FILLER_4_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 26640 ) FS ;
+- FILLER_4_477 sky130_fd_sc_ls__decap_4 + PLACED ( 234720 26640 ) FS ;
+- FILLER_4_485 sky130_fd_sc_ls__decap_4 + PLACED ( 238560 26640 ) FS ;
+- FILLER_4_493 sky130_fd_sc_ls__decap_4 + PLACED ( 242400 26640 ) FS ;
+- FILLER_4_501 sky130_fd_sc_ls__decap_4 + PLACED ( 246240 26640 ) FS ;
+- FILLER_4_509 sky130_fd_sc_ls__decap_4 + PLACED ( 250080 26640 ) FS ;
+- FILLER_4_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 26640 ) FS ;
+- FILLER_4_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 26640 ) FS ;
+- FILLER_4_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 26640 ) FS ;
+- FILLER_4_528 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 259200 26640 ) FS ;
+- FILLER_4_530 sky130_fd_sc_ls__fill_1 + PLACED ( 260160 26640 ) FS ;
+- FILLER_4_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 26640 ) FS ;
+- FILLER_4_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 26640 ) FS ;
+- FILLER_4_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 26640 ) FS ;
+- FILLER_4_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 26640 ) FS ;
+- FILLER_4_567 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 277920 26640 ) FS ;
+- FILLER_4_569 sky130_fd_sc_ls__fill_1 + PLACED ( 278880 26640 ) FS ;
+- FILLER_4_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 26640 ) FS ;
+- FILLER_4_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 26640 ) FS ;
+- FILLER_4_583 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 285600 26640 ) FS ;
+- FILLER_4_585 sky130_fd_sc_ls__fill_1 + PLACED ( 286560 26640 ) FS ;
+- FILLER_4_590 sky130_fd_sc_ls__decap_4 + PLACED ( 288960 26640 ) FS ;
+- FILLER_4_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 26640 ) FS ;
+- FILLER_4_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 26640 ) FS ;
+- FILLER_5_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 29970 ) N ;
+- FILLER_5_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 29970 ) N ;
+- FILLER_5_20 sky130_fd_sc_ls__fill_1 + PLACED ( 15360 29970 ) N ;
+- FILLER_5_25 sky130_fd_sc_ls__decap_4 + PLACED ( 17760 29970 ) N ;
+- FILLER_5_33 sky130_fd_sc_ls__decap_4 + PLACED ( 21600 29970 ) N ;
+- FILLER_5_41 sky130_fd_sc_ls__decap_4 + PLACED ( 25440 29970 ) N ;
+- FILLER_5_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 29970 ) N ;
+- FILLER_5_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 29970 ) N ;
+- FILLER_5_56 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 32640 29970 ) N ;
+- FILLER_5_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 29970 ) N ;
+- FILLER_5_80 sky130_fd_sc_ls__decap_4 + PLACED ( 44160 29970 ) N ;
+- FILLER_5_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 29970 ) N ;
+- FILLER_5_90 sky130_fd_sc_ls__decap_4 + PLACED ( 48960 29970 ) N ;
+- FILLER_5_98 sky130_fd_sc_ls__decap_4 + PLACED ( 52800 29970 ) N ;
+- FILLER_5_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 29970 ) N ;
+- FILLER_5_111 sky130_fd_sc_ls__decap_4 + PLACED ( 59040 29970 ) N ;
+- FILLER_5_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 29970 ) N ;
+- FILLER_5_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 29970 ) N ;
+- FILLER_5_135 sky130_fd_sc_ls__decap_4 + PLACED ( 70560 29970 ) N ;
+- FILLER_5_143 sky130_fd_sc_ls__decap_4 + PLACED ( 74400 29970 ) N ;
+- FILLER_5_151 sky130_fd_sc_ls__decap_4 + PLACED ( 78240 29970 ) N ;
+- FILLER_5_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 29970 ) N ;
+- FILLER_5_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 29970 ) N ;
+- FILLER_5_166 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85440 29970 ) N ;
+- FILLER_5_174 sky130_fd_sc_ls__decap_4 + PLACED ( 89280 29970 ) N ;
+- FILLER_5_182 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 93120 29970 ) N ;
+- FILLER_5_190 sky130_fd_sc_ls__decap_4 + PLACED ( 96960 29970 ) N ;
+- FILLER_5_198 sky130_fd_sc_ls__decap_4 + PLACED ( 100800 29970 ) N ;
+- FILLER_5_206 sky130_fd_sc_ls__decap_4 + PLACED ( 104640 29970 ) N ;
+- FILLER_5_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 29970 ) N ;
+- FILLER_5_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 29970 ) N ;
+- FILLER_5_221 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 111840 29970 ) N ;
+- FILLER_5_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 29970 ) N ;
+- FILLER_5_237 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 119520 29970 ) N ;
+- FILLER_5_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 29970 ) N ;
+- FILLER_5_253 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 127200 29970 ) N ;
+- FILLER_5_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 29970 ) N ;
+- FILLER_5_271 sky130_fd_sc_ls__decap_4 + PLACED ( 135840 29970 ) N ;
+- FILLER_5_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 29970 ) N ;
+- FILLER_5_284 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 142080 29970 ) N ;
+- FILLER_5_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 29970 ) N ;
+- FILLER_5_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 29970 ) N ;
+- FILLER_5_301 sky130_fd_sc_ls__decap_4 + PLACED ( 150240 29970 ) N ;
+- FILLER_5_309 sky130_fd_sc_ls__decap_4 + PLACED ( 154080 29970 ) N ;
+- FILLER_5_317 sky130_fd_sc_ls__decap_4 + PLACED ( 157920 29970 ) N ;
+- FILLER_5_325 sky130_fd_sc_ls__decap_4 + PLACED ( 161760 29970 ) N ;
+- FILLER_5_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 29970 ) N ;
+- FILLER_5_331 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 164640 29970 ) N ;
+- FILLER_5_339 sky130_fd_sc_ls__decap_4 + PLACED ( 168480 29970 ) N ;
+- FILLER_5_347 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 172320 29970 ) N ;
+- FILLER_5_355 sky130_fd_sc_ls__decap_4 + PLACED ( 176160 29970 ) N ;
+- FILLER_5_362 sky130_fd_sc_ls__decap_4 + PLACED ( 179520 29970 ) N ;
+- FILLER_5_370 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 183360 29970 ) N ;
+- FILLER_5_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 29970 ) N ;
+- FILLER_5_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 29970 ) N ;
+- FILLER_5_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 29970 ) N ;
+- FILLER_5_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 29970 ) N ;
+- FILLER_5_390 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 192960 29970 ) N ;
+- FILLER_5_392 sky130_fd_sc_ls__fill_1 + PLACED ( 193920 29970 ) N ;
+- FILLER_5_397 sky130_fd_sc_ls__decap_8 + PLACED ( 196320 29970 ) N ;
+- FILLER_5_405 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 200160 29970 ) N ;
+- FILLER_5_407 sky130_fd_sc_ls__fill_1 + PLACED ( 201120 29970 ) N ;
+- FILLER_5_412 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203520 29970 ) N ;
+- FILLER_5_420 sky130_fd_sc_ls__decap_4 + PLACED ( 207360 29970 ) N ;
+- FILLER_5_428 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 211200 29970 ) N ;
+- FILLER_5_436 sky130_fd_sc_ls__decap_4 + PLACED ( 215040 29970 ) N ;
+- FILLER_5_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 29970 ) N ;
+- FILLER_5_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 29970 ) N ;
+- FILLER_5_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 29970 ) N ;
+- FILLER_5_461 sky130_fd_sc_ls__fill_1 + PLACED ( 227040 29970 ) N ;
+- FILLER_5_466 sky130_fd_sc_ls__decap_8 + PLACED ( 229440 29970 ) N ;
+- FILLER_5_474 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 233280 29970 ) N ;
+- FILLER_5_476 sky130_fd_sc_ls__fill_1 + PLACED ( 234240 29970 ) N ;
+- FILLER_5_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 29970 ) N ;
+- FILLER_5_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 29970 ) N ;
+- FILLER_5_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 29970 ) N ;
+- FILLER_5_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 29970 ) N ;
+- FILLER_5_504 sky130_fd_sc_ls__decap_4 + PLACED ( 247680 29970 ) N ;
+- FILLER_5_512 sky130_fd_sc_ls__decap_4 + PLACED ( 251520 29970 ) N ;
+- FILLER_5_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 29970 ) N ;
+- FILLER_5_528 sky130_fd_sc_ls__decap_4 + PLACED ( 259200 29970 ) N ;
+- FILLER_5_536 sky130_fd_sc_ls__decap_4 + PLACED ( 263040 29970 ) N ;
+- FILLER_5_540 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 264960 29970 ) N ;
+- FILLER_5_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 29970 ) N ;
+- FILLER_5_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 29970 ) N ;
+- FILLER_5_559 sky130_fd_sc_ls__decap_4 + PLACED ( 274080 29970 ) N ;
+- FILLER_5_567 sky130_fd_sc_ls__decap_4 + PLACED ( 277920 29970 ) N ;
+- FILLER_5_575 sky130_fd_sc_ls__decap_4 + PLACED ( 281760 29970 ) N ;
+- FILLER_5_579 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 283680 29970 ) N ;
+- FILLER_5_585 sky130_fd_sc_ls__decap_4 + PLACED ( 286560 29970 ) N ;
+- FILLER_5_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 29970 ) N ;
+- FILLER_6_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 33300 ) FS ;
+- FILLER_6_12 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 11520 33300 ) FS ;
+- FILLER_6_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 33300 ) FS ;
+- FILLER_6_26 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 18240 33300 ) FS ;
+- FILLER_6_29 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19680 33300 ) FS ;
+- FILLER_6_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 33300 ) FS ;
+- FILLER_6_45 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 27360 33300 ) FS ;
+- FILLER_6_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 33300 ) FS ;
+- FILLER_6_61 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 35040 33300 ) FS ;
+- FILLER_6_69 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 38880 33300 ) FS ;
+- FILLER_6_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 33300 ) FS ;
+- FILLER_6_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 33300 ) FS ;
+- FILLER_6_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 33300 ) FS ;
+- FILLER_6_92 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 49920 33300 ) FS ;
+- FILLER_6_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 33300 ) FS ;
+- FILLER_6_104 sky130_fd_sc_ls__fill_1 + PLACED ( 55680 33300 ) FS ;
+- FILLER_6_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 33300 ) FS ;
+- FILLER_6_117 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 61920 33300 ) FS ;
+- FILLER_6_119 sky130_fd_sc_ls__fill_1 + PLACED ( 62880 33300 ) FS ;
+- FILLER_6_124 sky130_fd_sc_ls__decap_4 + PLACED ( 65280 33300 ) FS ;
+- FILLER_6_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 33300 ) FS ;
+- FILLER_6_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 33300 ) FS ;
+- FILLER_6_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 33300 ) FS ;
+- FILLER_6_147 sky130_fd_sc_ls__decap_4 + PLACED ( 76320 33300 ) FS ;
+- FILLER_6_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 33300 ) FS ;
+- FILLER_6_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 33300 ) FS ;
+- FILLER_6_165 sky130_fd_sc_ls__fill_1 + PLACED ( 84960 33300 ) FS ;
+- FILLER_6_170 sky130_fd_sc_ls__decap_4 + PLACED ( 87360 33300 ) FS ;
+- FILLER_6_178 sky130_fd_sc_ls__decap_4 + PLACED ( 91200 33300 ) FS ;
+- FILLER_6_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 33300 ) FS ;
+- FILLER_6_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 33300 ) FS ;
+- FILLER_6_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 33300 ) FS ;
+- FILLER_6_194 sky130_fd_sc_ls__decap_4 + PLACED ( 98880 33300 ) FS ;
+- FILLER_6_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 33300 ) FS ;
+- FILLER_6_210 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 106560 33300 ) FS ;
+- FILLER_6_218 sky130_fd_sc_ls__decap_4 + PLACED ( 110400 33300 ) FS ;
+- FILLER_6_226 sky130_fd_sc_ls__decap_4 + PLACED ( 114240 33300 ) FS ;
+- FILLER_6_234 sky130_fd_sc_ls__decap_4 + PLACED ( 118080 33300 ) FS ;
+- FILLER_6_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 33300 ) FS ;
+- FILLER_6_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 33300 ) FS ;
+- FILLER_6_249 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125280 33300 ) FS ;
+- FILLER_6_257 sky130_fd_sc_ls__decap_4 + PLACED ( 129120 33300 ) FS ;
+- FILLER_6_265 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 132960 33300 ) FS ;
+- FILLER_6_273 sky130_fd_sc_ls__decap_4 + PLACED ( 136800 33300 ) FS ;
+- FILLER_6_281 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 140640 33300 ) FS ;
+- FILLER_6_289 sky130_fd_sc_ls__decap_4 + PLACED ( 144480 33300 ) FS ;
+- FILLER_6_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 33300 ) FS ;
+- FILLER_6_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 33300 ) FS ;
+- FILLER_6_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 33300 ) FS ;
+- FILLER_6_312 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 155520 33300 ) FS ;
+- FILLER_6_320 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 159360 33300 ) FS ;
+- FILLER_6_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 33300 ) FS ;
+- FILLER_6_336 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 167040 33300 ) FS ;
+- FILLER_6_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 33300 ) FS ;
+- FILLER_6_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 33300 ) FS ;
+- FILLER_6_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 33300 ) FS ;
+- FILLER_6_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 33300 ) FS ;
+- FILLER_6_367 sky130_fd_sc_ls__decap_4 + PLACED ( 181920 33300 ) FS ;
+- FILLER_6_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 33300 ) FS ;
+- FILLER_6_383 sky130_fd_sc_ls__decap_4 + PLACED ( 189600 33300 ) FS ;
+- FILLER_6_391 sky130_fd_sc_ls__decap_4 + PLACED ( 193440 33300 ) FS ;
+- FILLER_6_399 sky130_fd_sc_ls__decap_4 + PLACED ( 197280 33300 ) FS ;
+- FILLER_6_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 33300 ) FS ;
+- FILLER_6_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 33300 ) FS ;
+- FILLER_6_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 33300 ) FS ;
+- FILLER_6_422 sky130_fd_sc_ls__decap_4 + PLACED ( 208320 33300 ) FS ;
+- FILLER_6_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 33300 ) FS ;
+- FILLER_6_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 33300 ) FS ;
+- FILLER_6_446 sky130_fd_sc_ls__decap_4 + PLACED ( 219840 33300 ) FS ;
+- FILLER_6_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 33300 ) FS ;
+- FILLER_6_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 33300 ) FS ;
+- FILLER_6_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 33300 ) FS ;
+- FILLER_6_469 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 230880 33300 ) FS ;
+- FILLER_6_477 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 234720 33300 ) FS ;
+- FILLER_6_485 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 238560 33300 ) FS ;
+- FILLER_6_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 33300 ) FS ;
+- FILLER_6_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 33300 ) FS ;
+- FILLER_6_509 sky130_fd_sc_ls__fill_1 + PLACED ( 250080 33300 ) FS ;
+- FILLER_6_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 33300 ) FS ;
+- FILLER_6_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 33300 ) FS ;
+- FILLER_6_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 33300 ) FS ;
+- FILLER_6_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 33300 ) FS ;
+- FILLER_6_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 33300 ) FS ;
+- FILLER_6_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 33300 ) FS ;
+- FILLER_6_554 sky130_fd_sc_ls__decap_4 + PLACED ( 271680 33300 ) FS ;
+- FILLER_6_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 33300 ) FS ;
+- FILLER_6_570 sky130_fd_sc_ls__decap_8 + PLACED ( 279360 33300 ) FS ;
+- FILLER_6_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 33300 ) FS ;
+- FILLER_6_587 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 287520 33300 ) FS ;
+- FILLER_6_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 33300 ) FS ;
+- FILLER_7_8 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 9600 36630 ) N ;
+- FILLER_7_16 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 13440 36630 ) N ;
+- FILLER_7_24 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 17280 36630 ) N ;
+- FILLER_7_32 sky130_fd_sc_ls__decap_4 + PLACED ( 21120 36630 ) N ;
+- FILLER_7_40 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 24960 36630 ) N ;
+- FILLER_7_48 sky130_fd_sc_ls__decap_4 + PLACED ( 28800 36630 ) N ;
+- FILLER_7_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 36630 ) N ;
+- FILLER_7_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 36630 ) N ;
+- FILLER_7_56 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 32640 36630 ) N ;
+- FILLER_7_63 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36000 36630 ) N ;
+- FILLER_7_65 sky130_fd_sc_ls__fill_1 + PLACED ( 36960 36630 ) N ;
+- FILLER_7_80 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44160 36630 ) N ;
+- FILLER_7_88 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 48000 36630 ) N ;
+- FILLER_7_96 sky130_fd_sc_ls__decap_4 + PLACED ( 51840 36630 ) N ;
+- FILLER_7_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 36630 ) N ;
+- FILLER_7_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 36630 ) N ;
+- FILLER_7_111 sky130_fd_sc_ls__decap_4 + PLACED ( 59040 36630 ) N ;
+- FILLER_7_119 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 62880 36630 ) N ;
+- FILLER_7_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 36630 ) N ;
+- FILLER_7_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 36630 ) N ;
+- FILLER_7_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 36630 ) N ;
+- FILLER_7_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 36630 ) N ;
+- FILLER_7_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 36630 ) N ;
+- FILLER_7_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 36630 ) N ;
+- FILLER_7_174 sky130_fd_sc_ls__decap_4 + PLACED ( 89280 36630 ) N ;
+- FILLER_7_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 36630 ) N ;
+- FILLER_7_191 sky130_fd_sc_ls__decap_8 + PLACED ( 97440 36630 ) N ;
+- FILLER_7_199 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 101280 36630 ) N ;
+- FILLER_7_201 sky130_fd_sc_ls__fill_1 + PLACED ( 102240 36630 ) N ;
+- FILLER_7_208 sky130_fd_sc_ls__decap_8 + PLACED ( 105600 36630 ) N ;
+- FILLER_7_216 sky130_fd_sc_ls__decap_4 + PLACED ( 109440 36630 ) N ;
+- FILLER_7_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 36630 ) N ;
+- FILLER_7_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 36630 ) N ;
+- FILLER_7_233 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 117600 36630 ) N ;
+- FILLER_7_239 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 120480 36630 ) N ;
+- FILLER_7_247 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 124320 36630 ) N ;
+- FILLER_7_255 sky130_fd_sc_ls__decap_4 + PLACED ( 128160 36630 ) N ;
+- FILLER_7_263 sky130_fd_sc_ls__decap_4 + PLACED ( 132000 36630 ) N ;
+- FILLER_7_271 sky130_fd_sc_ls__decap_4 + PLACED ( 135840 36630 ) N ;
+- FILLER_7_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 36630 ) N ;
+- FILLER_7_280 sky130_fd_sc_ls__fill_1 + PLACED ( 140160 36630 ) N ;
+- FILLER_7_285 sky130_fd_sc_ls__decap_4 + PLACED ( 142560 36630 ) N ;
+- FILLER_7_289 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 144480 36630 ) N ;
+- FILLER_7_291 sky130_fd_sc_ls__fill_1 + PLACED ( 145440 36630 ) N ;
+- FILLER_7_296 sky130_fd_sc_ls__decap_4 + PLACED ( 147840 36630 ) N ;
+- FILLER_7_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 36630 ) N ;
+- FILLER_7_308 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 153600 36630 ) N ;
+- FILLER_7_310 sky130_fd_sc_ls__fill_1 + PLACED ( 154560 36630 ) N ;
+- FILLER_7_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 36630 ) N ;
+- FILLER_7_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 36630 ) N ;
+- FILLER_7_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 36630 ) N ;
+- FILLER_7_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 36630 ) N ;
+- FILLER_7_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 36630 ) N ;
+- FILLER_7_335 sky130_fd_sc_ls__fill_1 + PLACED ( 166560 36630 ) N ;
+- FILLER_7_342 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 169920 36630 ) N ;
+- FILLER_7_352 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 174720 36630 ) N ;
+- FILLER_7_358 sky130_fd_sc_ls__decap_4 + PLACED ( 177600 36630 ) N ;
+- FILLER_7_366 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 181440 36630 ) N ;
+- FILLER_7_374 sky130_fd_sc_ls__decap_8 + PLACED ( 185280 36630 ) N ;
+- FILLER_7_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 36630 ) N ;
+- FILLER_7_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 36630 ) N ;
+- FILLER_7_386 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 191040 36630 ) N ;
+- FILLER_7_394 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 194880 36630 ) N ;
+- FILLER_7_402 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 198720 36630 ) N ;
+- FILLER_7_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 36630 ) N ;
+- FILLER_7_418 sky130_fd_sc_ls__decap_4 + PLACED ( 206400 36630 ) N ;
+- FILLER_7_426 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 210240 36630 ) N ;
+- FILLER_7_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 36630 ) N ;
+- FILLER_7_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 36630 ) N ;
+- FILLER_7_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 36630 ) N ;
+- FILLER_7_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 36630 ) N ;
+- FILLER_7_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 36630 ) N ;
+- FILLER_7_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 36630 ) N ;
+- FILLER_7_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 36630 ) N ;
+- FILLER_7_481 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 236640 36630 ) N ;
+- FILLER_7_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 36630 ) N ;
+- FILLER_7_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 36630 ) N ;
+- FILLER_7_496 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 243840 36630 ) N ;
+- FILLER_7_504 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 247680 36630 ) N ;
+- FILLER_7_512 sky130_fd_sc_ls__decap_4 + PLACED ( 251520 36630 ) N ;
+- FILLER_7_516 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 253440 36630 ) N ;
+- FILLER_7_522 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 256320 36630 ) N ;
+- FILLER_7_530 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 260160 36630 ) N ;
+- FILLER_7_538 sky130_fd_sc_ls__decap_4 + PLACED ( 264000 36630 ) N ;
+- FILLER_7_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 36630 ) N ;
+- FILLER_7_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 36630 ) N ;
+- FILLER_7_559 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 274080 36630 ) N ;
+- FILLER_7_565 sky130_fd_sc_ls__decap_4 + PLACED ( 276960 36630 ) N ;
+- FILLER_7_573 sky130_fd_sc_ls__decap_4 + PLACED ( 280800 36630 ) N ;
+- FILLER_7_581 sky130_fd_sc_ls__decap_4 + PLACED ( 284640 36630 ) N ;
+- FILLER_7_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 36630 ) N ;
+- FILLER_8_8 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 9600 39960 ) FS ;
+- FILLER_8_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 39960 ) FS ;
+- FILLER_8_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 39960 ) FS ;
+- FILLER_8_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 39960 ) FS ;
+- FILLER_8_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 39960 ) FS ;
+- FILLER_8_44 sky130_fd_sc_ls__decap_4 + PLACED ( 26880 39960 ) FS ;
+- FILLER_8_51 sky130_fd_sc_ls__decap_8 + PLACED ( 30240 39960 ) FS ;
+- FILLER_8_59 sky130_fd_sc_ls__decap_8 + PLACED ( 34080 39960 ) FS ;
+- FILLER_8_67 sky130_fd_sc_ls__fill_1 + PLACED ( 37920 39960 ) FS ;
+- FILLER_8_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 39960 ) FS ;
+- FILLER_8_80 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44160 39960 ) FS ;
+- FILLER_8_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 39960 ) FS ;
+- FILLER_8_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 39960 ) FS ;
+- FILLER_8_92 sky130_fd_sc_ls__decap_4 + PLACED ( 49920 39960 ) FS ;
+- FILLER_8_100 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 53760 39960 ) FS ;
+- FILLER_8_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 39960 ) FS ;
+- FILLER_8_116 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 61440 39960 ) FS ;
+- FILLER_8_124 sky130_fd_sc_ls__decap_4 + PLACED ( 65280 39960 ) FS ;
+- FILLER_8_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 39960 ) FS ;
+- FILLER_8_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 39960 ) FS ;
+- FILLER_8_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 39960 ) FS ;
+- FILLER_8_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 39960 ) FS ;
+- FILLER_8_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 39960 ) FS ;
+- FILLER_8_167 sky130_fd_sc_ls__decap_8 + PLACED ( 85920 39960 ) FS ;
+- FILLER_8_175 sky130_fd_sc_ls__decap_8 + PLACED ( 89760 39960 ) FS ;
+- FILLER_8_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 39960 ) FS ;
+- FILLER_8_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 39960 ) FS ;
+- FILLER_8_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 39960 ) FS ;
+- FILLER_8_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 39960 ) FS ;
+- FILLER_8_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 39960 ) FS ;
+- FILLER_8_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 39960 ) FS ;
+- FILLER_8_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 39960 ) FS ;
+- FILLER_8_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 39960 ) FS ;
+- FILLER_8_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 39960 ) FS ;
+- FILLER_8_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 39960 ) FS ;
+- FILLER_8_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 39960 ) FS ;
+- FILLER_8_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 39960 ) FS ;
+- FILLER_8_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 39960 ) FS ;
+- FILLER_8_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 39960 ) FS ;
+- FILLER_8_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 39960 ) FS ;
+- FILLER_8_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 39960 ) FS ;
+- FILLER_8_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 39960 ) FS ;
+- FILLER_8_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 39960 ) FS ;
+- FILLER_8_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 39960 ) FS ;
+- FILLER_8_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 39960 ) FS ;
+- FILLER_8_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 39960 ) FS ;
+- FILLER_8_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 39960 ) FS ;
+- FILLER_8_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 39960 ) FS ;
+- FILLER_8_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 39960 ) FS ;
+- FILLER_8_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 39960 ) FS ;
+- FILLER_8_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 39960 ) FS ;
+- FILLER_8_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 39960 ) FS ;
+- FILLER_8_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 39960 ) FS ;
+- FILLER_8_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 39960 ) FS ;
+- FILLER_8_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 39960 ) FS ;
+- FILLER_8_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 39960 ) FS ;
+- FILLER_8_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 39960 ) FS ;
+- FILLER_8_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 39960 ) FS ;
+- FILLER_8_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 39960 ) FS ;
+- FILLER_8_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 39960 ) FS ;
+- FILLER_8_421 sky130_fd_sc_ls__decap_8 + PLACED ( 207840 39960 ) FS ;
+- FILLER_8_429 sky130_fd_sc_ls__decap_4 + PLACED ( 211680 39960 ) FS ;
+- FILLER_8_436 sky130_fd_sc_ls__decap_8 + PLACED ( 215040 39960 ) FS ;
+- FILLER_8_444 sky130_fd_sc_ls__decap_8 + PLACED ( 218880 39960 ) FS ;
+- FILLER_8_452 sky130_fd_sc_ls__decap_8 + PLACED ( 222720 39960 ) FS ;
+- FILLER_8_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 39960 ) FS ;
+- FILLER_8_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 39960 ) FS ;
+- FILLER_8_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 39960 ) FS ;
+- FILLER_8_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 39960 ) FS ;
+- FILLER_8_492 sky130_fd_sc_ls__decap_4 + PLACED ( 241920 39960 ) FS ;
+- FILLER_8_500 sky130_fd_sc_ls__decap_4 + PLACED ( 245760 39960 ) FS ;
+- FILLER_8_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 39960 ) FS ;
+- FILLER_8_515 sky130_fd_sc_ls__decap_8 + PLACED ( 252960 39960 ) FS ;
+- FILLER_8_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 39960 ) FS ;
+- FILLER_8_528 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 259200 39960 ) FS ;
+- FILLER_8_530 sky130_fd_sc_ls__fill_1 + PLACED ( 260160 39960 ) FS ;
+- FILLER_8_537 sky130_fd_sc_ls__decap_4 + PLACED ( 263520 39960 ) FS ;
+- FILLER_8_545 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 267360 39960 ) FS ;
+- FILLER_8_553 sky130_fd_sc_ls__decap_8 + PLACED ( 271200 39960 ) FS ;
+- FILLER_8_561 sky130_fd_sc_ls__fill_1 + PLACED ( 275040 39960 ) FS ;
+- FILLER_8_566 sky130_fd_sc_ls__decap_4 + PLACED ( 277440 39960 ) FS ;
+- FILLER_8_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 39960 ) FS ;
+- FILLER_8_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 39960 ) FS ;
+- FILLER_8_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 39960 ) FS ;
+- FILLER_8_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 39960 ) FS ;
+- FILLER_9_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 43290 ) N ;
+- FILLER_9_12 sky130_fd_sc_ls__decap_4 + PLACED ( 11520 43290 ) N ;
+- FILLER_9_16 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 13440 43290 ) N ;
+- FILLER_9_18 sky130_fd_sc_ls__fill_1 + PLACED ( 14400 43290 ) N ;
+- FILLER_9_22 sky130_fd_sc_ls__decap_8 + PLACED ( 16320 43290 ) N ;
+- FILLER_9_30 sky130_fd_sc_ls__decap_8 + PLACED ( 20160 43290 ) N ;
+- FILLER_9_38 sky130_fd_sc_ls__decap_8 + PLACED ( 24000 43290 ) N ;
+- FILLER_9_46 sky130_fd_sc_ls__decap_8 + PLACED ( 27840 43290 ) N ;
+- FILLER_9_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 43290 ) N ;
+- FILLER_9_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 43290 ) N ;
+- FILLER_9_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 43290 ) N ;
+- FILLER_9_83 sky130_fd_sc_ls__decap_8 + PLACED ( 45600 43290 ) N ;
+- FILLER_9_91 sky130_fd_sc_ls__decap_8 + PLACED ( 49440 43290 ) N ;
+- FILLER_9_99 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 53280 43290 ) N ;
+- FILLER_9_101 sky130_fd_sc_ls__fill_1 + PLACED ( 54240 43290 ) N ;
+- FILLER_9_105 sky130_fd_sc_ls__decap_4 + PLACED ( 56160 43290 ) N ;
+- FILLER_9_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 43290 ) N ;
+- FILLER_9_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 43290 ) N ;
+- FILLER_9_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 43290 ) N ;
+- FILLER_9_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 43290 ) N ;
+- FILLER_9_131 sky130_fd_sc_ls__fill_1 + PLACED ( 68640 43290 ) N ;
+- FILLER_9_137 sky130_fd_sc_ls__decap_8 + PLACED ( 71520 43290 ) N ;
+- FILLER_9_145 sky130_fd_sc_ls__decap_8 + PLACED ( 75360 43290 ) N ;
+- FILLER_9_153 sky130_fd_sc_ls__decap_8 + PLACED ( 79200 43290 ) N ;
+- FILLER_9_161 sky130_fd_sc_ls__decap_4 + PLACED ( 83040 43290 ) N ;
+- FILLER_9_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 43290 ) N ;
+- FILLER_9_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 43290 ) N ;
+- FILLER_9_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 43290 ) N ;
+- FILLER_9_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 43290 ) N ;
+- FILLER_9_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 43290 ) N ;
+- FILLER_9_209 sky130_fd_sc_ls__decap_8 + PLACED ( 106080 43290 ) N ;
+- FILLER_9_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 43290 ) N ;
+- FILLER_9_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 43290 ) N ;
+- FILLER_9_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 43290 ) N ;
+- FILLER_9_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 43290 ) N ;
+- FILLER_9_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 43290 ) N ;
+- FILLER_9_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 43290 ) N ;
+- FILLER_9_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 43290 ) N ;
+- FILLER_9_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 43290 ) N ;
+- FILLER_9_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 43290 ) N ;
+- FILLER_9_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 43290 ) N ;
+- FILLER_9_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 43290 ) N ;
+- FILLER_9_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 43290 ) N ;
+- FILLER_9_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 43290 ) N ;
+- FILLER_9_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 43290 ) N ;
+- FILLER_9_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 43290 ) N ;
+- FILLER_9_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 43290 ) N ;
+- FILLER_9_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 43290 ) N ;
+- FILLER_9_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 43290 ) N ;
+- FILLER_9_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 43290 ) N ;
+- FILLER_9_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 43290 ) N ;
+- FILLER_9_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 43290 ) N ;
+- FILLER_9_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 43290 ) N ;
+- FILLER_9_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 43290 ) N ;
+- FILLER_9_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 43290 ) N ;
+- FILLER_9_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 43290 ) N ;
+- FILLER_9_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 43290 ) N ;
+- FILLER_9_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 43290 ) N ;
+- FILLER_9_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 43290 ) N ;
+- FILLER_9_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 43290 ) N ;
+- FILLER_9_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 43290 ) N ;
+- FILLER_9_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 43290 ) N ;
+- FILLER_9_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 43290 ) N ;
+- FILLER_9_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 43290 ) N ;
+- FILLER_9_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 43290 ) N ;
+- FILLER_9_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 43290 ) N ;
+- FILLER_9_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 43290 ) N ;
+- FILLER_9_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 43290 ) N ;
+- FILLER_9_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 43290 ) N ;
+- FILLER_9_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 43290 ) N ;
+- FILLER_9_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 43290 ) N ;
+- FILLER_9_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 43290 ) N ;
+- FILLER_9_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 43290 ) N ;
+- FILLER_9_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 43290 ) N ;
+- FILLER_9_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 43290 ) N ;
+- FILLER_9_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 43290 ) N ;
+- FILLER_9_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 43290 ) N ;
+- FILLER_9_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 43290 ) N ;
+- FILLER_9_536 sky130_fd_sc_ls__decap_4 + PLACED ( 263040 43290 ) N ;
+- FILLER_9_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 43290 ) N ;
+- FILLER_9_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 43290 ) N ;
+- FILLER_9_559 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 274080 43290 ) N ;
+- FILLER_9_569 sky130_fd_sc_ls__decap_4 + PLACED ( 278880 43290 ) N ;
+- FILLER_9_573 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 280800 43290 ) N ;
+- FILLER_9_575 sky130_fd_sc_ls__fill_1 + PLACED ( 281760 43290 ) N ;
+- FILLER_9_580 sky130_fd_sc_ls__decap_4 + PLACED ( 284160 43290 ) N ;
+- FILLER_9_588 sky130_fd_sc_ls__decap_8 + PLACED ( 288000 43290 ) N ;
+- FILLER_9_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 43290 ) N ;
+- FILLER_10_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 46620 ) FS ;
+- FILLER_10_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 46620 ) FS ;
+- FILLER_10_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 46620 ) FS ;
+- FILLER_10_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 46620 ) FS ;
+- FILLER_10_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 46620 ) FS ;
+- FILLER_10_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 46620 ) FS ;
+- FILLER_10_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 46620 ) FS ;
+- FILLER_10_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 46620 ) FS ;
+- FILLER_10_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 46620 ) FS ;
+- FILLER_10_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 46620 ) FS ;
+- FILLER_10_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 46620 ) FS ;
+- FILLER_10_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 46620 ) FS ;
+- FILLER_10_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 46620 ) FS ;
+- FILLER_10_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 46620 ) FS ;
+- FILLER_10_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 46620 ) FS ;
+- FILLER_10_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 46620 ) FS ;
+- FILLER_10_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 46620 ) FS ;
+- FILLER_10_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 46620 ) FS ;
+- FILLER_10_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 46620 ) FS ;
+- FILLER_10_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 46620 ) FS ;
+- FILLER_10_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 46620 ) FS ;
+- FILLER_10_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 46620 ) FS ;
+- FILLER_10_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 46620 ) FS ;
+- FILLER_10_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 46620 ) FS ;
+- FILLER_10_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 46620 ) FS ;
+- FILLER_10_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 46620 ) FS ;
+- FILLER_10_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 46620 ) FS ;
+- FILLER_10_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 46620 ) FS ;
+- FILLER_10_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 46620 ) FS ;
+- FILLER_10_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 46620 ) FS ;
+- FILLER_10_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 46620 ) FS ;
+- FILLER_10_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 46620 ) FS ;
+- FILLER_10_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 46620 ) FS ;
+- FILLER_10_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 46620 ) FS ;
+- FILLER_10_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 46620 ) FS ;
+- FILLER_10_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 46620 ) FS ;
+- FILLER_10_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 46620 ) FS ;
+- FILLER_10_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 46620 ) FS ;
+- FILLER_10_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 46620 ) FS ;
+- FILLER_10_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 46620 ) FS ;
+- FILLER_10_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 46620 ) FS ;
+- FILLER_10_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 46620 ) FS ;
+- FILLER_10_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 46620 ) FS ;
+- FILLER_10_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 46620 ) FS ;
+- FILLER_10_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 46620 ) FS ;
+- FILLER_10_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 46620 ) FS ;
+- FILLER_10_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 46620 ) FS ;
+- FILLER_10_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 46620 ) FS ;
+- FILLER_10_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 46620 ) FS ;
+- FILLER_10_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 46620 ) FS ;
+- FILLER_10_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 46620 ) FS ;
+- FILLER_10_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 46620 ) FS ;
+- FILLER_10_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 46620 ) FS ;
+- FILLER_10_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 46620 ) FS ;
+- FILLER_10_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 46620 ) FS ;
+- FILLER_10_383 sky130_fd_sc_ls__decap_4 + PLACED ( 189600 46620 ) FS ;
+- FILLER_10_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 46620 ) FS ;
+- FILLER_10_400 sky130_fd_sc_ls__decap_8 + PLACED ( 197760 46620 ) FS ;
+- FILLER_10_408 sky130_fd_sc_ls__decap_4 + PLACED ( 201600 46620 ) FS ;
+- FILLER_10_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 46620 ) FS ;
+- FILLER_10_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 46620 ) FS ;
+- FILLER_10_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 46620 ) FS ;
+- FILLER_10_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 46620 ) FS ;
+- FILLER_10_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 46620 ) FS ;
+- FILLER_10_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 46620 ) FS ;
+- FILLER_10_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 46620 ) FS ;
+- FILLER_10_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 46620 ) FS ;
+- FILLER_10_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 46620 ) FS ;
+- FILLER_10_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 46620 ) FS ;
+- FILLER_10_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 46620 ) FS ;
+- FILLER_10_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 46620 ) FS ;
+- FILLER_10_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 46620 ) FS ;
+- FILLER_10_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 46620 ) FS ;
+- FILLER_10_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 46620 ) FS ;
+- FILLER_10_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 46620 ) FS ;
+- FILLER_10_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 46620 ) FS ;
+- FILLER_10_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 46620 ) FS ;
+- FILLER_10_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 46620 ) FS ;
+- FILLER_10_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 46620 ) FS ;
+- FILLER_10_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 46620 ) FS ;
+- FILLER_10_550 sky130_fd_sc_ls__fill_1 + PLACED ( 269760 46620 ) FS ;
+- FILLER_10_557 sky130_fd_sc_ls__decap_4 + PLACED ( 273120 46620 ) FS ;
+- FILLER_10_565 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 276960 46620 ) FS ;
+- FILLER_10_575 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281760 46620 ) FS ;
+- FILLER_10_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 46620 ) FS ;
+- FILLER_10_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 46620 ) FS ;
+- FILLER_10_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 46620 ) FS ;
+- FILLER_10_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 46620 ) FS ;
+- FILLER_10_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 46620 ) FS ;
+- FILLER_11_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 49950 ) N ;
+- FILLER_11_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 49950 ) N ;
+- FILLER_11_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 49950 ) N ;
+- FILLER_11_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 49950 ) N ;
+- FILLER_11_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 49950 ) N ;
+- FILLER_11_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 49950 ) N ;
+- FILLER_11_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 49950 ) N ;
+- FILLER_11_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 49950 ) N ;
+- FILLER_11_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 49950 ) N ;
+- FILLER_11_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 49950 ) N ;
+- FILLER_11_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 49950 ) N ;
+- FILLER_11_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 49950 ) N ;
+- FILLER_11_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 49950 ) N ;
+- FILLER_11_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 49950 ) N ;
+- FILLER_11_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 49950 ) N ;
+- FILLER_11_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 49950 ) N ;
+- FILLER_11_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 49950 ) N ;
+- FILLER_11_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 49950 ) N ;
+- FILLER_11_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 49950 ) N ;
+- FILLER_11_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 49950 ) N ;
+- FILLER_11_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 49950 ) N ;
+- FILLER_11_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 49950 ) N ;
+- FILLER_11_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 49950 ) N ;
+- FILLER_11_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 49950 ) N ;
+- FILLER_11_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 49950 ) N ;
+- FILLER_11_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 49950 ) N ;
+- FILLER_11_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 49950 ) N ;
+- FILLER_11_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 49950 ) N ;
+- FILLER_11_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 49950 ) N ;
+- FILLER_11_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 49950 ) N ;
+- FILLER_11_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 49950 ) N ;
+- FILLER_11_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 49950 ) N ;
+- FILLER_11_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 49950 ) N ;
+- FILLER_11_241 sky130_fd_sc_ls__fill_1 + PLACED ( 121440 49950 ) N ;
+- FILLER_11_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 49950 ) N ;
+- FILLER_11_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 49950 ) N ;
+- FILLER_11_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 49950 ) N ;
+- FILLER_11_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 49950 ) N ;
+- FILLER_11_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 49950 ) N ;
+- FILLER_11_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 49950 ) N ;
+- FILLER_11_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 49950 ) N ;
+- FILLER_11_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 49950 ) N ;
+- FILLER_11_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 49950 ) N ;
+- FILLER_11_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 49950 ) N ;
+- FILLER_11_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 49950 ) N ;
+- FILLER_11_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 49950 ) N ;
+- FILLER_11_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 49950 ) N ;
+- FILLER_11_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 49950 ) N ;
+- FILLER_11_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 49950 ) N ;
+- FILLER_11_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 49950 ) N ;
+- FILLER_11_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 49950 ) N ;
+- FILLER_11_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 49950 ) N ;
+- FILLER_11_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 49950 ) N ;
+- FILLER_11_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 49950 ) N ;
+- FILLER_11_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 49950 ) N ;
+- FILLER_11_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 49950 ) N ;
+- FILLER_11_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 49950 ) N ;
+- FILLER_11_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 49950 ) N ;
+- FILLER_11_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 49950 ) N ;
+- FILLER_11_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 49950 ) N ;
+- FILLER_11_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 49950 ) N ;
+- FILLER_11_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 49950 ) N ;
+- FILLER_11_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 49950 ) N ;
+- FILLER_11_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 49950 ) N ;
+- FILLER_11_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 49950 ) N ;
+- FILLER_11_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 49950 ) N ;
+- FILLER_11_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 49950 ) N ;
+- FILLER_11_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 49950 ) N ;
+- FILLER_11_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 49950 ) N ;
+- FILLER_11_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 49950 ) N ;
+- FILLER_11_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 49950 ) N ;
+- FILLER_11_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 49950 ) N ;
+- FILLER_11_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 49950 ) N ;
+- FILLER_11_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 49950 ) N ;
+- FILLER_11_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 49950 ) N ;
+- FILLER_11_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 49950 ) N ;
+- FILLER_11_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 49950 ) N ;
+- FILLER_11_536 sky130_fd_sc_ls__decap_4 + PLACED ( 263040 49950 ) N ;
+- FILLER_11_540 sky130_fd_sc_ls__fill_1 + PLACED ( 264960 49950 ) N ;
+- FILLER_11_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 49950 ) N ;
+- FILLER_11_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 49950 ) N ;
+- FILLER_11_555 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 272160 49950 ) N ;
+- FILLER_11_557 sky130_fd_sc_ls__fill_1 + PLACED ( 273120 49950 ) N ;
+- FILLER_11_566 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 277440 49950 ) N ;
+- FILLER_11_572 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 280320 49950 ) N ;
+- FILLER_11_580 sky130_fd_sc_ls__decap_4 + PLACED ( 284160 49950 ) N ;
+- FILLER_11_588 sky130_fd_sc_ls__decap_8 + PLACED ( 288000 49950 ) N ;
+- FILLER_11_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 49950 ) N ;
+- FILLER_12_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 53280 ) FS ;
+- FILLER_12_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 53280 ) FS ;
+- FILLER_12_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 53280 ) FS ;
+- FILLER_12_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 53280 ) FS ;
+- FILLER_12_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 53280 ) FS ;
+- FILLER_12_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 53280 ) FS ;
+- FILLER_12_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 53280 ) FS ;
+- FILLER_12_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 53280 ) FS ;
+- FILLER_12_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 53280 ) FS ;
+- FILLER_12_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 53280 ) FS ;
+- FILLER_12_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 53280 ) FS ;
+- FILLER_12_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 53280 ) FS ;
+- FILLER_12_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 53280 ) FS ;
+- FILLER_12_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 53280 ) FS ;
+- FILLER_12_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 53280 ) FS ;
+- FILLER_12_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 53280 ) FS ;
+- FILLER_12_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 53280 ) FS ;
+- FILLER_12_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 53280 ) FS ;
+- FILLER_12_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 53280 ) FS ;
+- FILLER_12_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 53280 ) FS ;
+- FILLER_12_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 53280 ) FS ;
+- FILLER_12_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 53280 ) FS ;
+- FILLER_12_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 53280 ) FS ;
+- FILLER_12_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 53280 ) FS ;
+- FILLER_12_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 53280 ) FS ;
+- FILLER_12_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 53280 ) FS ;
+- FILLER_12_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 53280 ) FS ;
+- FILLER_12_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 53280 ) FS ;
+- FILLER_12_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 53280 ) FS ;
+- FILLER_12_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 53280 ) FS ;
+- FILLER_12_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 53280 ) FS ;
+- FILLER_12_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 53280 ) FS ;
+- FILLER_12_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 53280 ) FS ;
+- FILLER_12_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 53280 ) FS ;
+- FILLER_12_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 53280 ) FS ;
+- FILLER_12_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 53280 ) FS ;
+- FILLER_12_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 53280 ) FS ;
+- FILLER_12_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 53280 ) FS ;
+- FILLER_12_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 53280 ) FS ;
+- FILLER_12_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 53280 ) FS ;
+- FILLER_12_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 53280 ) FS ;
+- FILLER_12_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 53280 ) FS ;
+- FILLER_12_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 53280 ) FS ;
+- FILLER_12_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 53280 ) FS ;
+- FILLER_12_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 53280 ) FS ;
+- FILLER_12_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 53280 ) FS ;
+- FILLER_12_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 53280 ) FS ;
+- FILLER_12_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 53280 ) FS ;
+- FILLER_12_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 53280 ) FS ;
+- FILLER_12_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 53280 ) FS ;
+- FILLER_12_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 53280 ) FS ;
+- FILLER_12_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 53280 ) FS ;
+- FILLER_12_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 53280 ) FS ;
+- FILLER_12_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 53280 ) FS ;
+- FILLER_12_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 53280 ) FS ;
+- FILLER_12_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 53280 ) FS ;
+- FILLER_12_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 53280 ) FS ;
+- FILLER_12_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 53280 ) FS ;
+- FILLER_12_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 53280 ) FS ;
+- FILLER_12_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 53280 ) FS ;
+- FILLER_12_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 53280 ) FS ;
+- FILLER_12_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 53280 ) FS ;
+- FILLER_12_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 53280 ) FS ;
+- FILLER_12_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 53280 ) FS ;
+- FILLER_12_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 53280 ) FS ;
+- FILLER_12_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 53280 ) FS ;
+- FILLER_12_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 53280 ) FS ;
+- FILLER_12_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 53280 ) FS ;
+- FILLER_12_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 53280 ) FS ;
+- FILLER_12_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 53280 ) FS ;
+- FILLER_12_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 53280 ) FS ;
+- FILLER_12_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 53280 ) FS ;
+- FILLER_12_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 53280 ) FS ;
+- FILLER_12_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 53280 ) FS ;
+- FILLER_12_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 53280 ) FS ;
+- FILLER_12_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 53280 ) FS ;
+- FILLER_12_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 53280 ) FS ;
+- FILLER_12_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 53280 ) FS ;
+- FILLER_12_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 53280 ) FS ;
+- FILLER_12_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 53280 ) FS ;
+- FILLER_12_564 sky130_fd_sc_ls__decap_4 + PLACED ( 276480 53280 ) FS ;
+- FILLER_12_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 53280 ) FS ;
+- FILLER_12_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 53280 ) FS ;
+- FILLER_12_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 53280 ) FS ;
+- FILLER_12_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 53280 ) FS ;
+- FILLER_13_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 56610 ) N ;
+- FILLER_13_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 56610 ) N ;
+- FILLER_13_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 56610 ) N ;
+- FILLER_13_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 56610 ) N ;
+- FILLER_13_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 56610 ) N ;
+- FILLER_13_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 56610 ) N ;
+- FILLER_13_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 56610 ) N ;
+- FILLER_13_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 56610 ) N ;
+- FILLER_13_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 56610 ) N ;
+- FILLER_13_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 56610 ) N ;
+- FILLER_13_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 56610 ) N ;
+- FILLER_13_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 56610 ) N ;
+- FILLER_13_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 56610 ) N ;
+- FILLER_13_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 56610 ) N ;
+- FILLER_13_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 56610 ) N ;
+- FILLER_13_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 56610 ) N ;
+- FILLER_13_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 56610 ) N ;
+- FILLER_13_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 56610 ) N ;
+- FILLER_13_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 56610 ) N ;
+- FILLER_13_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 56610 ) N ;
+- FILLER_13_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 56610 ) N ;
+- FILLER_13_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 56610 ) N ;
+- FILLER_13_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 56610 ) N ;
+- FILLER_13_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 56610 ) N ;
+- FILLER_13_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 56610 ) N ;
+- FILLER_13_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 56610 ) N ;
+- FILLER_13_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 56610 ) N ;
+- FILLER_13_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 56610 ) N ;
+- FILLER_13_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 56610 ) N ;
+- FILLER_13_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 56610 ) N ;
+- FILLER_13_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 56610 ) N ;
+- FILLER_13_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 56610 ) N ;
+- FILLER_13_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 56610 ) N ;
+- FILLER_13_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 56610 ) N ;
+- FILLER_13_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 56610 ) N ;
+- FILLER_13_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 56610 ) N ;
+- FILLER_13_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 56610 ) N ;
+- FILLER_13_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 56610 ) N ;
+- FILLER_13_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 56610 ) N ;
+- FILLER_13_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 56610 ) N ;
+- FILLER_13_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 56610 ) N ;
+- FILLER_13_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 56610 ) N ;
+- FILLER_13_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 56610 ) N ;
+- FILLER_13_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 56610 ) N ;
+- FILLER_13_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 56610 ) N ;
+- FILLER_13_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 56610 ) N ;
+- FILLER_13_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 56610 ) N ;
+- FILLER_13_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 56610 ) N ;
+- FILLER_13_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 56610 ) N ;
+- FILLER_13_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 56610 ) N ;
+- FILLER_13_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 56610 ) N ;
+- FILLER_13_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 56610 ) N ;
+- FILLER_13_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 56610 ) N ;
+- FILLER_13_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 56610 ) N ;
+- FILLER_13_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 56610 ) N ;
+- FILLER_13_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 56610 ) N ;
+- FILLER_13_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 56610 ) N ;
+- FILLER_13_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 56610 ) N ;
+- FILLER_13_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 56610 ) N ;
+- FILLER_13_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 56610 ) N ;
+- FILLER_13_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 56610 ) N ;
+- FILLER_13_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 56610 ) N ;
+- FILLER_13_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 56610 ) N ;
+- FILLER_13_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 56610 ) N ;
+- FILLER_13_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 56610 ) N ;
+- FILLER_13_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 56610 ) N ;
+- FILLER_13_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 56610 ) N ;
+- FILLER_13_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 56610 ) N ;
+- FILLER_13_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 56610 ) N ;
+- FILLER_13_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 56610 ) N ;
+- FILLER_13_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 56610 ) N ;
+- FILLER_13_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 56610 ) N ;
+- FILLER_13_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 56610 ) N ;
+- FILLER_13_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 56610 ) N ;
+- FILLER_13_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 56610 ) N ;
+- FILLER_13_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 56610 ) N ;
+- FILLER_13_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 56610 ) N ;
+- FILLER_13_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 56610 ) N ;
+- FILLER_13_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 56610 ) N ;
+- FILLER_13_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 56610 ) N ;
+- FILLER_13_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 56610 ) N ;
+- FILLER_13_567 sky130_fd_sc_ls__decap_4 + PLACED ( 277920 56610 ) N ;
+- FILLER_13_571 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 279840 56610 ) N ;
+- FILLER_13_579 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 283680 56610 ) N ;
+- FILLER_13_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 56610 ) N ;
+- FILLER_13_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 56610 ) N ;
+- FILLER_14_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 59940 ) FS ;
+- FILLER_14_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 59940 ) FS ;
+- FILLER_14_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 59940 ) FS ;
+- FILLER_14_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 59940 ) FS ;
+- FILLER_14_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 59940 ) FS ;
+- FILLER_14_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 59940 ) FS ;
+- FILLER_14_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 59940 ) FS ;
+- FILLER_14_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 59940 ) FS ;
+- FILLER_14_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 59940 ) FS ;
+- FILLER_14_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 59940 ) FS ;
+- FILLER_14_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 59940 ) FS ;
+- FILLER_14_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 59940 ) FS ;
+- FILLER_14_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 59940 ) FS ;
+- FILLER_14_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 59940 ) FS ;
+- FILLER_14_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 59940 ) FS ;
+- FILLER_14_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 59940 ) FS ;
+- FILLER_14_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 59940 ) FS ;
+- FILLER_14_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 59940 ) FS ;
+- FILLER_14_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 59940 ) FS ;
+- FILLER_14_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 59940 ) FS ;
+- FILLER_14_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 59940 ) FS ;
+- FILLER_14_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 59940 ) FS ;
+- FILLER_14_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 59940 ) FS ;
+- FILLER_14_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 59940 ) FS ;
+- FILLER_14_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 59940 ) FS ;
+- FILLER_14_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 59940 ) FS ;
+- FILLER_14_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 59940 ) FS ;
+- FILLER_14_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 59940 ) FS ;
+- FILLER_14_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 59940 ) FS ;
+- FILLER_14_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 59940 ) FS ;
+- FILLER_14_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 59940 ) FS ;
+- FILLER_14_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 59940 ) FS ;
+- FILLER_14_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 59940 ) FS ;
+- FILLER_14_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 59940 ) FS ;
+- FILLER_14_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 59940 ) FS ;
+- FILLER_14_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 59940 ) FS ;
+- FILLER_14_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 59940 ) FS ;
+- FILLER_14_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 59940 ) FS ;
+- FILLER_14_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 59940 ) FS ;
+- FILLER_14_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 59940 ) FS ;
+- FILLER_14_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 59940 ) FS ;
+- FILLER_14_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 59940 ) FS ;
+- FILLER_14_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 59940 ) FS ;
+- FILLER_14_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 59940 ) FS ;
+- FILLER_14_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 59940 ) FS ;
+- FILLER_14_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 59940 ) FS ;
+- FILLER_14_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 59940 ) FS ;
+- FILLER_14_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 59940 ) FS ;
+- FILLER_14_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 59940 ) FS ;
+- FILLER_14_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 59940 ) FS ;
+- FILLER_14_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 59940 ) FS ;
+- FILLER_14_359 sky130_fd_sc_ls__decap_4 + PLACED ( 178080 59940 ) FS ;
+- FILLER_14_363 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 180000 59940 ) FS ;
+- FILLER_14_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 59940 ) FS ;
+- FILLER_14_378 sky130_fd_sc_ls__decap_8 + PLACED ( 187200 59940 ) FS ;
+- FILLER_14_386 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 191040 59940 ) FS ;
+- FILLER_14_388 sky130_fd_sc_ls__fill_1 + PLACED ( 192000 59940 ) FS ;
+- FILLER_14_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 59940 ) FS ;
+- FILLER_14_400 sky130_fd_sc_ls__decap_8 + PLACED ( 197760 59940 ) FS ;
+- FILLER_14_408 sky130_fd_sc_ls__decap_4 + PLACED ( 201600 59940 ) FS ;
+- FILLER_14_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 59940 ) FS ;
+- FILLER_14_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 59940 ) FS ;
+- FILLER_14_418 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 206400 59940 ) FS ;
+- FILLER_14_425 sky130_fd_sc_ls__decap_8 + PLACED ( 209760 59940 ) FS ;
+- FILLER_14_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 59940 ) FS ;
+- FILLER_14_441 sky130_fd_sc_ls__fill_1 + PLACED ( 217440 59940 ) FS ;
+- FILLER_14_447 sky130_fd_sc_ls__decap_8 + PLACED ( 220320 59940 ) FS ;
+- FILLER_14_455 sky130_fd_sc_ls__decap_8 + PLACED ( 224160 59940 ) FS ;
+- FILLER_14_463 sky130_fd_sc_ls__decap_4 + PLACED ( 228000 59940 ) FS ;
+- FILLER_14_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 59940 ) FS ;
+- FILLER_14_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 59940 ) FS ;
+- FILLER_14_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 59940 ) FS ;
+- FILLER_14_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 59940 ) FS ;
+- FILLER_14_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 59940 ) FS ;
+- FILLER_14_498 sky130_fd_sc_ls__decap_4 + PLACED ( 244800 59940 ) FS ;
+- FILLER_14_505 sky130_fd_sc_ls__decap_8 + PLACED ( 248160 59940 ) FS ;
+- FILLER_14_513 sky130_fd_sc_ls__decap_8 + PLACED ( 252000 59940 ) FS ;
+- FILLER_14_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 59940 ) FS ;
+- FILLER_14_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 59940 ) FS ;
+- FILLER_14_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 59940 ) FS ;
+- FILLER_14_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 59940 ) FS ;
+- FILLER_14_548 sky130_fd_sc_ls__decap_4 + PLACED ( 268800 59940 ) FS ;
+- FILLER_14_552 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 270720 59940 ) FS ;
+- FILLER_14_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 59940 ) FS ;
+- FILLER_14_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 59940 ) FS ;
+- FILLER_14_573 sky130_fd_sc_ls__decap_4 + PLACED ( 280800 59940 ) FS ;
+- FILLER_14_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 59940 ) FS ;
+- FILLER_14_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 59940 ) FS ;
+- FILLER_14_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 59940 ) FS ;
+- FILLER_14_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 59940 ) FS ;
+- FILLER_15_7 sky130_fd_sc_ls__decap_8 + PLACED ( 9120 63270 ) N ;
+- FILLER_15_15 sky130_fd_sc_ls__decap_8 + PLACED ( 12960 63270 ) N ;
+- FILLER_15_23 sky130_fd_sc_ls__decap_8 + PLACED ( 16800 63270 ) N ;
+- FILLER_15_31 sky130_fd_sc_ls__decap_8 + PLACED ( 20640 63270 ) N ;
+- FILLER_15_39 sky130_fd_sc_ls__decap_8 + PLACED ( 24480 63270 ) N ;
+- FILLER_15_47 sky130_fd_sc_ls__decap_8 + PLACED ( 28320 63270 ) N ;
+- FILLER_15_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 63270 ) N ;
+- FILLER_15_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 63270 ) N ;
+- FILLER_15_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 63270 ) N ;
+- FILLER_15_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 63270 ) N ;
+- FILLER_15_107 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57120 63270 ) N ;
+- FILLER_15_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 63270 ) N ;
+- FILLER_15_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 63270 ) N ;
+- FILLER_15_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 63270 ) N ;
+- FILLER_15_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 63270 ) N ;
+- FILLER_15_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 63270 ) N ;
+- FILLER_15_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 63270 ) N ;
+- FILLER_15_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 63270 ) N ;
+- FILLER_15_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 63270 ) N ;
+- FILLER_15_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 63270 ) N ;
+- FILLER_15_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 63270 ) N ;
+- FILLER_15_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 63270 ) N ;
+- FILLER_15_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 63270 ) N ;
+- FILLER_15_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 63270 ) N ;
+- FILLER_15_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 63270 ) N ;
+- FILLER_15_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 63270 ) N ;
+- FILLER_15_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 63270 ) N ;
+- FILLER_15_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 63270 ) N ;
+- FILLER_15_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 63270 ) N ;
+- FILLER_15_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 63270 ) N ;
+- FILLER_15_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 63270 ) N ;
+- FILLER_15_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 63270 ) N ;
+- FILLER_15_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 63270 ) N ;
+- FILLER_15_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 63270 ) N ;
+- FILLER_15_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 63270 ) N ;
+- FILLER_15_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 63270 ) N ;
+- FILLER_15_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 63270 ) N ;
+- FILLER_15_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 63270 ) N ;
+- FILLER_15_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 63270 ) N ;
+- FILLER_15_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 63270 ) N ;
+- FILLER_15_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 63270 ) N ;
+- FILLER_15_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 63270 ) N ;
+- FILLER_15_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 63270 ) N ;
+- FILLER_15_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 63270 ) N ;
+- FILLER_15_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 63270 ) N ;
+- FILLER_15_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 63270 ) N ;
+- FILLER_15_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 63270 ) N ;
+- FILLER_15_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 63270 ) N ;
+- FILLER_15_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 63270 ) N ;
+- FILLER_15_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 63270 ) N ;
+- FILLER_15_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 63270 ) N ;
+- FILLER_15_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 63270 ) N ;
+- FILLER_15_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 63270 ) N ;
+- FILLER_15_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 63270 ) N ;
+- FILLER_15_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 63270 ) N ;
+- FILLER_15_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 63270 ) N ;
+- FILLER_15_421 sky130_fd_sc_ls__decap_8 + PLACED ( 207840 63270 ) N ;
+- FILLER_15_429 sky130_fd_sc_ls__decap_8 + PLACED ( 211680 63270 ) N ;
+- FILLER_15_437 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 215520 63270 ) N ;
+- FILLER_15_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 63270 ) N ;
+- FILLER_15_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 63270 ) N ;
+- FILLER_15_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 63270 ) N ;
+- FILLER_15_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 63270 ) N ;
+- FILLER_15_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 63270 ) N ;
+- FILLER_15_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 63270 ) N ;
+- FILLER_15_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 63270 ) N ;
+- FILLER_15_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 63270 ) N ;
+- FILLER_15_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 63270 ) N ;
+- FILLER_15_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 63270 ) N ;
+- FILLER_15_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 63270 ) N ;
+- FILLER_15_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 63270 ) N ;
+- FILLER_15_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 63270 ) N ;
+- FILLER_15_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 63270 ) N ;
+- FILLER_15_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 63270 ) N ;
+- FILLER_15_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 63270 ) N ;
+- FILLER_15_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 63270 ) N ;
+- FILLER_15_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 63270 ) N ;
+- FILLER_15_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 63270 ) N ;
+- FILLER_15_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 63270 ) N ;
+- FILLER_15_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 63270 ) N ;
+- FILLER_15_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 63270 ) N ;
+- FILLER_15_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 63270 ) N ;
+- FILLER_15_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 63270 ) N ;
+- FILLER_16_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 66600 ) FS ;
+- FILLER_16_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 66600 ) FS ;
+- FILLER_16_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 66600 ) FS ;
+- FILLER_16_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 66600 ) FS ;
+- FILLER_16_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 66600 ) FS ;
+- FILLER_16_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 66600 ) FS ;
+- FILLER_16_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 66600 ) FS ;
+- FILLER_16_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 66600 ) FS ;
+- FILLER_16_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 66600 ) FS ;
+- FILLER_16_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 66600 ) FS ;
+- FILLER_16_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 66600 ) FS ;
+- FILLER_16_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 66600 ) FS ;
+- FILLER_16_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 66600 ) FS ;
+- FILLER_16_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 66600 ) FS ;
+- FILLER_16_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 66600 ) FS ;
+- FILLER_16_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 66600 ) FS ;
+- FILLER_16_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 66600 ) FS ;
+- FILLER_16_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 66600 ) FS ;
+- FILLER_16_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 66600 ) FS ;
+- FILLER_16_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 66600 ) FS ;
+- FILLER_16_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 66600 ) FS ;
+- FILLER_16_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 66600 ) FS ;
+- FILLER_16_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 66600 ) FS ;
+- FILLER_16_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 66600 ) FS ;
+- FILLER_16_179 sky130_fd_sc_ls__decap_4 + PLACED ( 91680 66600 ) FS ;
+- FILLER_16_183 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 93600 66600 ) FS ;
+- FILLER_16_188 sky130_fd_sc_ls__decap_4 + PLACED ( 96000 66600 ) FS ;
+- FILLER_16_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 66600 ) FS ;
+- FILLER_16_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 66600 ) FS ;
+- FILLER_16_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 66600 ) FS ;
+- FILLER_16_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 66600 ) FS ;
+- FILLER_16_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 66600 ) FS ;
+- FILLER_16_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 66600 ) FS ;
+- FILLER_16_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 66600 ) FS ;
+- FILLER_16_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 66600 ) FS ;
+- FILLER_16_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 66600 ) FS ;
+- FILLER_16_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 66600 ) FS ;
+- FILLER_16_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 66600 ) FS ;
+- FILLER_16_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 66600 ) FS ;
+- FILLER_16_273 sky130_fd_sc_ls__decap_4 + PLACED ( 136800 66600 ) FS ;
+- FILLER_16_277 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138720 66600 ) FS ;
+- FILLER_16_282 sky130_fd_sc_ls__decap_4 + PLACED ( 141120 66600 ) FS ;
+- FILLER_16_286 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 143040 66600 ) FS ;
+- FILLER_16_293 sky130_fd_sc_ls__decap_8 + PLACED ( 146400 66600 ) FS ;
+- FILLER_16_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 66600 ) FS ;
+- FILLER_16_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 66600 ) FS ;
+- FILLER_16_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 66600 ) FS ;
+- FILLER_16_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 66600 ) FS ;
+- FILLER_16_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 66600 ) FS ;
+- FILLER_16_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 66600 ) FS ;
+- FILLER_16_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 66600 ) FS ;
+- FILLER_16_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 66600 ) FS ;
+- FILLER_16_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 66600 ) FS ;
+- FILLER_16_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 66600 ) FS ;
+- FILLER_16_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 66600 ) FS ;
+- FILLER_16_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 66600 ) FS ;
+- FILLER_16_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 66600 ) FS ;
+- FILLER_16_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 66600 ) FS ;
+- FILLER_16_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 66600 ) FS ;
+- FILLER_16_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 66600 ) FS ;
+- FILLER_16_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 66600 ) FS ;
+- FILLER_16_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 66600 ) FS ;
+- FILLER_16_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 66600 ) FS ;
+- FILLER_16_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 66600 ) FS ;
+- FILLER_16_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 66600 ) FS ;
+- FILLER_16_446 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 219840 66600 ) FS ;
+- FILLER_16_451 sky130_fd_sc_ls__decap_8 + PLACED ( 222240 66600 ) FS ;
+- FILLER_16_459 sky130_fd_sc_ls__decap_8 + PLACED ( 226080 66600 ) FS ;
+- FILLER_16_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 66600 ) FS ;
+- FILLER_16_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 66600 ) FS ;
+- FILLER_16_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 66600 ) FS ;
+- FILLER_16_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 66600 ) FS ;
+- FILLER_16_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 66600 ) FS ;
+- FILLER_16_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 66600 ) FS ;
+- FILLER_16_509 sky130_fd_sc_ls__decap_4 + PLACED ( 250080 66600 ) FS ;
+- FILLER_16_513 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 252000 66600 ) FS ;
+- FILLER_16_518 sky130_fd_sc_ls__decap_4 + PLACED ( 254400 66600 ) FS ;
+- FILLER_16_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 66600 ) FS ;
+- FILLER_16_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 66600 ) FS ;
+- FILLER_16_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 66600 ) FS ;
+- FILLER_16_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 66600 ) FS ;
+- FILLER_16_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 66600 ) FS ;
+- FILLER_16_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 66600 ) FS ;
+- FILLER_16_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 66600 ) FS ;
+- FILLER_16_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 66600 ) FS ;
+- FILLER_16_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 66600 ) FS ;
+- FILLER_16_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 66600 ) FS ;
+- FILLER_16_587 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 287520 66600 ) FS ;
+- FILLER_16_589 sky130_fd_sc_ls__fill_1 + PLACED ( 288480 66600 ) FS ;
+- FILLER_16_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 66600 ) FS ;
+- FILLER_17_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 69930 ) N ;
+- FILLER_17_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 69930 ) N ;
+- FILLER_17_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 69930 ) N ;
+- FILLER_17_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 69930 ) N ;
+- FILLER_17_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 69930 ) N ;
+- FILLER_17_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 69930 ) N ;
+- FILLER_17_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 69930 ) N ;
+- FILLER_17_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 69930 ) N ;
+- FILLER_17_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 69930 ) N ;
+- FILLER_17_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 69930 ) N ;
+- FILLER_17_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 69930 ) N ;
+- FILLER_17_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 69930 ) N ;
+- FILLER_17_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 69930 ) N ;
+- FILLER_17_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 69930 ) N ;
+- FILLER_17_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 69930 ) N ;
+- FILLER_17_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 69930 ) N ;
+- FILLER_17_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 69930 ) N ;
+- FILLER_17_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 69930 ) N ;
+- FILLER_17_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 69930 ) N ;
+- FILLER_17_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 69930 ) N ;
+- FILLER_17_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 69930 ) N ;
+- FILLER_17_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 69930 ) N ;
+- FILLER_17_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 69930 ) N ;
+- FILLER_17_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 69930 ) N ;
+- FILLER_17_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 69930 ) N ;
+- FILLER_17_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 69930 ) N ;
+- FILLER_17_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 69930 ) N ;
+- FILLER_17_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 69930 ) N ;
+- FILLER_17_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 69930 ) N ;
+- FILLER_17_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 69930 ) N ;
+- FILLER_17_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 69930 ) N ;
+- FILLER_17_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 69930 ) N ;
+- FILLER_17_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 69930 ) N ;
+- FILLER_17_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 69930 ) N ;
+- FILLER_17_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 69930 ) N ;
+- FILLER_17_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 69930 ) N ;
+- FILLER_17_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 69930 ) N ;
+- FILLER_17_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 69930 ) N ;
+- FILLER_17_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 69930 ) N ;
+- FILLER_17_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 69930 ) N ;
+- FILLER_17_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 69930 ) N ;
+- FILLER_17_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 69930 ) N ;
+- FILLER_17_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 69930 ) N ;
+- FILLER_17_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 69930 ) N ;
+- FILLER_17_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 69930 ) N ;
+- FILLER_17_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 69930 ) N ;
+- FILLER_17_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 69930 ) N ;
+- FILLER_17_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 69930 ) N ;
+- FILLER_17_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 69930 ) N ;
+- FILLER_17_335 sky130_fd_sc_ls__fill_1 + PLACED ( 166560 69930 ) N ;
+- FILLER_17_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 69930 ) N ;
+- FILLER_17_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 69930 ) N ;
+- FILLER_17_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 69930 ) N ;
+- FILLER_17_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 69930 ) N ;
+- FILLER_17_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 69930 ) N ;
+- FILLER_17_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 69930 ) N ;
+- FILLER_17_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 69930 ) N ;
+- FILLER_17_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 69930 ) N ;
+- FILLER_17_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 69930 ) N ;
+- FILLER_17_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 69930 ) N ;
+- FILLER_17_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 69930 ) N ;
+- FILLER_17_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 69930 ) N ;
+- FILLER_17_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 69930 ) N ;
+- FILLER_17_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 69930 ) N ;
+- FILLER_17_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 69930 ) N ;
+- FILLER_17_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 69930 ) N ;
+- FILLER_17_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 69930 ) N ;
+- FILLER_17_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 69930 ) N ;
+- FILLER_17_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 69930 ) N ;
+- FILLER_17_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 69930 ) N ;
+- FILLER_17_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 69930 ) N ;
+- FILLER_17_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 69930 ) N ;
+- FILLER_17_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 69930 ) N ;
+- FILLER_17_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 69930 ) N ;
+- FILLER_17_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 69930 ) N ;
+- FILLER_17_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 69930 ) N ;
+- FILLER_17_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 69930 ) N ;
+- FILLER_17_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 69930 ) N ;
+- FILLER_17_535 sky130_fd_sc_ls__decap_8 + PLACED ( 262560 69930 ) N ;
+- FILLER_17_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 69930 ) N ;
+- FILLER_17_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 69930 ) N ;
+- FILLER_17_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 69930 ) N ;
+- FILLER_17_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 69930 ) N ;
+- FILLER_17_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 69930 ) N ;
+- FILLER_17_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 69930 ) N ;
+- FILLER_17_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 69930 ) N ;
+- FILLER_17_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 69930 ) N ;
+- FILLER_17_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 69930 ) N ;
+- FILLER_17_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 69930 ) N ;
+- FILLER_18_8 sky130_fd_sc_ls__decap_8 + PLACED ( 9600 73260 ) FS ;
+- FILLER_18_16 sky130_fd_sc_ls__decap_8 + PLACED ( 13440 73260 ) FS ;
+- FILLER_18_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 73260 ) FS ;
+- FILLER_18_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 73260 ) FS ;
+- FILLER_18_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 73260 ) FS ;
+- FILLER_18_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 73260 ) FS ;
+- FILLER_18_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 73260 ) FS ;
+- FILLER_18_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 73260 ) FS ;
+- FILLER_18_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 73260 ) FS ;
+- FILLER_18_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 73260 ) FS ;
+- FILLER_18_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 73260 ) FS ;
+- FILLER_18_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 73260 ) FS ;
+- FILLER_18_91 sky130_fd_sc_ls__decap_4 + PLACED ( 49440 73260 ) FS ;
+- FILLER_18_95 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 51360 73260 ) FS ;
+- FILLER_18_97 sky130_fd_sc_ls__fill_1 + PLACED ( 52320 73260 ) FS ;
+- FILLER_18_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 73260 ) FS ;
+- FILLER_18_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 73260 ) FS ;
+- FILLER_18_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 73260 ) FS ;
+- FILLER_18_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 73260 ) FS ;
+- FILLER_18_133 sky130_fd_sc_ls__decap_4 + PLACED ( 69600 73260 ) FS ;
+- FILLER_18_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 73260 ) FS ;
+- FILLER_18_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 73260 ) FS ;
+- FILLER_18_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 73260 ) FS ;
+- FILLER_18_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 73260 ) FS ;
+- FILLER_18_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 73260 ) FS ;
+- FILLER_18_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 73260 ) FS ;
+- FILLER_18_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 73260 ) FS ;
+- FILLER_18_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 73260 ) FS ;
+- FILLER_18_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 73260 ) FS ;
+- FILLER_18_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 73260 ) FS ;
+- FILLER_18_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 73260 ) FS ;
+- FILLER_18_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 73260 ) FS ;
+- FILLER_18_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 73260 ) FS ;
+- FILLER_18_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 73260 ) FS ;
+- FILLER_18_234 sky130_fd_sc_ls__decap_4 + PLACED ( 118080 73260 ) FS ;
+- FILLER_18_238 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 120000 73260 ) FS ;
+- FILLER_18_240 sky130_fd_sc_ls__fill_1 + PLACED ( 120960 73260 ) FS ;
+- FILLER_18_244 sky130_fd_sc_ls__decap_4 + PLACED ( 122880 73260 ) FS ;
+- FILLER_18_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 73260 ) FS ;
+- FILLER_18_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 73260 ) FS ;
+- FILLER_18_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 73260 ) FS ;
+- FILLER_18_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 73260 ) FS ;
+- FILLER_18_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 73260 ) FS ;
+- FILLER_18_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 73260 ) FS ;
+- FILLER_18_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 73260 ) FS ;
+- FILLER_18_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 73260 ) FS ;
+- FILLER_18_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 73260 ) FS ;
+- FILLER_18_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 73260 ) FS ;
+- FILLER_18_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 73260 ) FS ;
+- FILLER_18_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 73260 ) FS ;
+- FILLER_18_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 73260 ) FS ;
+- FILLER_18_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 73260 ) FS ;
+- FILLER_18_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 73260 ) FS ;
+- FILLER_18_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 73260 ) FS ;
+- FILLER_18_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 73260 ) FS ;
+- FILLER_18_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 73260 ) FS ;
+- FILLER_18_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 73260 ) FS ;
+- FILLER_18_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 73260 ) FS ;
+- FILLER_18_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 73260 ) FS ;
+- FILLER_18_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 73260 ) FS ;
+- FILLER_18_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 73260 ) FS ;
+- FILLER_18_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 73260 ) FS ;
+- FILLER_18_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 73260 ) FS ;
+- FILLER_18_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 73260 ) FS ;
+- FILLER_18_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 73260 ) FS ;
+- FILLER_18_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 73260 ) FS ;
+- FILLER_18_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 73260 ) FS ;
+- FILLER_18_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 73260 ) FS ;
+- FILLER_18_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 73260 ) FS ;
+- FILLER_18_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 73260 ) FS ;
+- FILLER_18_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 73260 ) FS ;
+- FILLER_18_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 73260 ) FS ;
+- FILLER_18_478 sky130_fd_sc_ls__decap_8 + PLACED ( 235200 73260 ) FS ;
+- FILLER_18_486 sky130_fd_sc_ls__decap_8 + PLACED ( 239040 73260 ) FS ;
+- FILLER_18_494 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242880 73260 ) FS ;
+- FILLER_18_501 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 246240 73260 ) FS ;
+- FILLER_18_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 73260 ) FS ;
+- FILLER_18_515 sky130_fd_sc_ls__decap_8 + PLACED ( 252960 73260 ) FS ;
+- FILLER_18_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 73260 ) FS ;
+- FILLER_18_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 73260 ) FS ;
+- FILLER_18_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 73260 ) FS ;
+- FILLER_18_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 73260 ) FS ;
+- FILLER_18_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 73260 ) FS ;
+- FILLER_18_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 73260 ) FS ;
+- FILLER_18_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 73260 ) FS ;
+- FILLER_18_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 73260 ) FS ;
+- FILLER_18_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 73260 ) FS ;
+- FILLER_18_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 73260 ) FS ;
+- FILLER_18_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 73260 ) FS ;
+- FILLER_19_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 76590 ) N ;
+- FILLER_19_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 76590 ) N ;
+- FILLER_19_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 76590 ) N ;
+- FILLER_19_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 76590 ) N ;
+- FILLER_19_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 76590 ) N ;
+- FILLER_19_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 76590 ) N ;
+- FILLER_19_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 76590 ) N ;
+- FILLER_19_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 76590 ) N ;
+- FILLER_19_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 76590 ) N ;
+- FILLER_19_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 76590 ) N ;
+- FILLER_19_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 76590 ) N ;
+- FILLER_19_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 76590 ) N ;
+- FILLER_19_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 76590 ) N ;
+- FILLER_19_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 76590 ) N ;
+- FILLER_19_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 76590 ) N ;
+- FILLER_19_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 76590 ) N ;
+- FILLER_19_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 76590 ) N ;
+- FILLER_19_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 76590 ) N ;
+- FILLER_19_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 76590 ) N ;
+- FILLER_19_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 76590 ) N ;
+- FILLER_19_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 76590 ) N ;
+- FILLER_19_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 76590 ) N ;
+- FILLER_19_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 76590 ) N ;
+- FILLER_19_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 76590 ) N ;
+- FILLER_19_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 76590 ) N ;
+- FILLER_19_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 76590 ) N ;
+- FILLER_19_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 76590 ) N ;
+- FILLER_19_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 76590 ) N ;
+- FILLER_19_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 76590 ) N ;
+- FILLER_19_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 76590 ) N ;
+- FILLER_19_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 76590 ) N ;
+- FILLER_19_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 76590 ) N ;
+- FILLER_19_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 76590 ) N ;
+- FILLER_19_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 76590 ) N ;
+- FILLER_19_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 76590 ) N ;
+- FILLER_19_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 76590 ) N ;
+- FILLER_19_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 76590 ) N ;
+- FILLER_19_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 76590 ) N ;
+- FILLER_19_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 76590 ) N ;
+- FILLER_19_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 76590 ) N ;
+- FILLER_19_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 76590 ) N ;
+- FILLER_19_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 76590 ) N ;
+- FILLER_19_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 76590 ) N ;
+- FILLER_19_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 76590 ) N ;
+- FILLER_19_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 76590 ) N ;
+- FILLER_19_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 76590 ) N ;
+- FILLER_19_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 76590 ) N ;
+- FILLER_19_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 76590 ) N ;
+- FILLER_19_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 76590 ) N ;
+- FILLER_19_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 76590 ) N ;
+- FILLER_19_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 76590 ) N ;
+- FILLER_19_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 76590 ) N ;
+- FILLER_19_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 76590 ) N ;
+- FILLER_19_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 76590 ) N ;
+- FILLER_19_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 76590 ) N ;
+- FILLER_19_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 76590 ) N ;
+- FILLER_19_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 76590 ) N ;
+- FILLER_19_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 76590 ) N ;
+- FILLER_19_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 76590 ) N ;
+- FILLER_19_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 76590 ) N ;
+- FILLER_19_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 76590 ) N ;
+- FILLER_19_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 76590 ) N ;
+- FILLER_19_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 76590 ) N ;
+- FILLER_19_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 76590 ) N ;
+- FILLER_19_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 76590 ) N ;
+- FILLER_19_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 76590 ) N ;
+- FILLER_19_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 76590 ) N ;
+- FILLER_19_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 76590 ) N ;
+- FILLER_19_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 76590 ) N ;
+- FILLER_19_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 76590 ) N ;
+- FILLER_19_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 76590 ) N ;
+- FILLER_19_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 76590 ) N ;
+- FILLER_19_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 76590 ) N ;
+- FILLER_19_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 76590 ) N ;
+- FILLER_19_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 76590 ) N ;
+- FILLER_19_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 76590 ) N ;
+- FILLER_19_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 76590 ) N ;
+- FILLER_19_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 76590 ) N ;
+- FILLER_19_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 76590 ) N ;
+- FILLER_19_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 76590 ) N ;
+- FILLER_19_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 76590 ) N ;
+- FILLER_19_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 76590 ) N ;
+- FILLER_19_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 76590 ) N ;
+- FILLER_19_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 76590 ) N ;
+- FILLER_19_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 76590 ) N ;
+- FILLER_19_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 76590 ) N ;
+- FILLER_20_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 79920 ) FS ;
+- FILLER_20_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 79920 ) FS ;
+- FILLER_20_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 79920 ) FS ;
+- FILLER_20_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 79920 ) FS ;
+- FILLER_20_33 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 21600 79920 ) FS ;
+- FILLER_20_35 sky130_fd_sc_ls__fill_1 + PLACED ( 22560 79920 ) FS ;
+- FILLER_20_39 sky130_fd_sc_ls__decap_8 + PLACED ( 24480 79920 ) FS ;
+- FILLER_20_47 sky130_fd_sc_ls__decap_8 + PLACED ( 28320 79920 ) FS ;
+- FILLER_20_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 79920 ) FS ;
+- FILLER_20_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 79920 ) FS ;
+- FILLER_20_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 79920 ) FS ;
+- FILLER_20_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 79920 ) FS ;
+- FILLER_20_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 79920 ) FS ;
+- FILLER_20_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 79920 ) FS ;
+- FILLER_20_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 79920 ) FS ;
+- FILLER_20_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 79920 ) FS ;
+- FILLER_20_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 79920 ) FS ;
+- FILLER_20_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 79920 ) FS ;
+- FILLER_20_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 79920 ) FS ;
+- FILLER_20_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 79920 ) FS ;
+- FILLER_20_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 79920 ) FS ;
+- FILLER_20_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 79920 ) FS ;
+- FILLER_20_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 79920 ) FS ;
+- FILLER_20_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 79920 ) FS ;
+- FILLER_20_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 79920 ) FS ;
+- FILLER_20_179 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 91680 79920 ) FS ;
+- FILLER_20_181 sky130_fd_sc_ls__fill_1 + PLACED ( 92640 79920 ) FS ;
+- FILLER_20_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 79920 ) FS ;
+- FILLER_20_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 79920 ) FS ;
+- FILLER_20_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 79920 ) FS ;
+- FILLER_20_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 79920 ) FS ;
+- FILLER_20_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 79920 ) FS ;
+- FILLER_20_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 79920 ) FS ;
+- FILLER_20_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 79920 ) FS ;
+- FILLER_20_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 79920 ) FS ;
+- FILLER_20_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 79920 ) FS ;
+- FILLER_20_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 79920 ) FS ;
+- FILLER_20_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 79920 ) FS ;
+- FILLER_20_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 79920 ) FS ;
+- FILLER_20_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 79920 ) FS ;
+- FILLER_20_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 79920 ) FS ;
+- FILLER_20_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 79920 ) FS ;
+- FILLER_20_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 79920 ) FS ;
+- FILLER_20_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 79920 ) FS ;
+- FILLER_20_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 79920 ) FS ;
+- FILLER_20_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 79920 ) FS ;
+- FILLER_20_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 79920 ) FS ;
+- FILLER_20_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 79920 ) FS ;
+- FILLER_20_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 79920 ) FS ;
+- FILLER_20_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 79920 ) FS ;
+- FILLER_20_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 79920 ) FS ;
+- FILLER_20_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 79920 ) FS ;
+- FILLER_20_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 79920 ) FS ;
+- FILLER_20_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 79920 ) FS ;
+- FILLER_20_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 79920 ) FS ;
+- FILLER_20_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 79920 ) FS ;
+- FILLER_20_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 79920 ) FS ;
+- FILLER_20_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 79920 ) FS ;
+- FILLER_20_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 79920 ) FS ;
+- FILLER_20_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 79920 ) FS ;
+- FILLER_20_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 79920 ) FS ;
+- FILLER_20_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 79920 ) FS ;
+- FILLER_20_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 79920 ) FS ;
+- FILLER_20_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 79920 ) FS ;
+- FILLER_20_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 79920 ) FS ;
+- FILLER_20_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 79920 ) FS ;
+- FILLER_20_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 79920 ) FS ;
+- FILLER_20_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 79920 ) FS ;
+- FILLER_20_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 79920 ) FS ;
+- FILLER_20_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 79920 ) FS ;
+- FILLER_20_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 79920 ) FS ;
+- FILLER_20_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 79920 ) FS ;
+- FILLER_20_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 79920 ) FS ;
+- FILLER_20_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 79920 ) FS ;
+- FILLER_20_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 79920 ) FS ;
+- FILLER_20_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 79920 ) FS ;
+- FILLER_20_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 79920 ) FS ;
+- FILLER_20_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 79920 ) FS ;
+- FILLER_20_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 79920 ) FS ;
+- FILLER_20_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 79920 ) FS ;
+- FILLER_20_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 79920 ) FS ;
+- FILLER_20_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 79920 ) FS ;
+- FILLER_20_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 79920 ) FS ;
+- FILLER_20_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 79920 ) FS ;
+- FILLER_20_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 79920 ) FS ;
+- FILLER_20_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 79920 ) FS ;
+- FILLER_20_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 79920 ) FS ;
+- FILLER_20_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 79920 ) FS ;
+- FILLER_21_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 83250 ) N ;
+- FILLER_21_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 83250 ) N ;
+- FILLER_21_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 83250 ) N ;
+- FILLER_21_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 83250 ) N ;
+- FILLER_21_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 83250 ) N ;
+- FILLER_21_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 83250 ) N ;
+- FILLER_21_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 83250 ) N ;
+- FILLER_21_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 83250 ) N ;
+- FILLER_21_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 83250 ) N ;
+- FILLER_21_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 83250 ) N ;
+- FILLER_21_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 83250 ) N ;
+- FILLER_21_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 83250 ) N ;
+- FILLER_21_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 83250 ) N ;
+- FILLER_21_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 83250 ) N ;
+- FILLER_21_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 83250 ) N ;
+- FILLER_21_111 sky130_fd_sc_ls__decap_4 + PLACED ( 59040 83250 ) N ;
+- FILLER_21_118 sky130_fd_sc_ls__decap_8 + PLACED ( 62400 83250 ) N ;
+- FILLER_21_126 sky130_fd_sc_ls__decap_8 + PLACED ( 66240 83250 ) N ;
+- FILLER_21_134 sky130_fd_sc_ls__decap_8 + PLACED ( 70080 83250 ) N ;
+- FILLER_21_142 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 73920 83250 ) N ;
+- FILLER_21_149 sky130_fd_sc_ls__decap_4 + PLACED ( 77280 83250 ) N ;
+- FILLER_21_156 sky130_fd_sc_ls__decap_8 + PLACED ( 80640 83250 ) N ;
+- FILLER_21_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 83250 ) N ;
+- FILLER_21_166 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85440 83250 ) N ;
+- FILLER_21_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 83250 ) N ;
+- FILLER_21_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 83250 ) N ;
+- FILLER_21_189 sky130_fd_sc_ls__decap_4 + PLACED ( 96480 83250 ) N ;
+- FILLER_21_193 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 98400 83250 ) N ;
+- FILLER_21_195 sky130_fd_sc_ls__fill_1 + PLACED ( 99360 83250 ) N ;
+- FILLER_21_199 sky130_fd_sc_ls__decap_8 + PLACED ( 101280 83250 ) N ;
+- FILLER_21_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 83250 ) N ;
+- FILLER_21_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 83250 ) N ;
+- FILLER_21_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 83250 ) N ;
+- FILLER_21_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 83250 ) N ;
+- FILLER_21_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 83250 ) N ;
+- FILLER_21_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 83250 ) N ;
+- FILLER_21_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 83250 ) N ;
+- FILLER_21_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 83250 ) N ;
+- FILLER_21_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 83250 ) N ;
+- FILLER_21_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 83250 ) N ;
+- FILLER_21_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 83250 ) N ;
+- FILLER_21_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 83250 ) N ;
+- FILLER_21_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 83250 ) N ;
+- FILLER_21_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 83250 ) N ;
+- FILLER_21_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 83250 ) N ;
+- FILLER_21_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 83250 ) N ;
+- FILLER_21_316 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 157440 83250 ) N ;
+- FILLER_21_318 sky130_fd_sc_ls__fill_1 + PLACED ( 158400 83250 ) N ;
+- FILLER_21_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 83250 ) N ;
+- FILLER_21_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 83250 ) N ;
+- FILLER_21_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 83250 ) N ;
+- FILLER_21_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 83250 ) N ;
+- FILLER_21_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 83250 ) N ;
+- FILLER_21_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 83250 ) N ;
+- FILLER_21_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 83250 ) N ;
+- FILLER_21_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 83250 ) N ;
+- FILLER_21_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 83250 ) N ;
+- FILLER_21_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 83250 ) N ;
+- FILLER_21_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 83250 ) N ;
+- FILLER_21_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 83250 ) N ;
+- FILLER_21_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 83250 ) N ;
+- FILLER_21_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 83250 ) N ;
+- FILLER_21_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 83250 ) N ;
+- FILLER_21_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 83250 ) N ;
+- FILLER_21_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 83250 ) N ;
+- FILLER_21_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 83250 ) N ;
+- FILLER_21_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 83250 ) N ;
+- FILLER_21_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 83250 ) N ;
+- FILLER_21_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 83250 ) N ;
+- FILLER_21_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 83250 ) N ;
+- FILLER_21_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 83250 ) N ;
+- FILLER_21_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 83250 ) N ;
+- FILLER_21_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 83250 ) N ;
+- FILLER_21_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 83250 ) N ;
+- FILLER_21_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 83250 ) N ;
+- FILLER_21_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 83250 ) N ;
+- FILLER_21_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 83250 ) N ;
+- FILLER_21_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 83250 ) N ;
+- FILLER_21_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 83250 ) N ;
+- FILLER_21_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 83250 ) N ;
+- FILLER_21_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 83250 ) N ;
+- FILLER_21_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 83250 ) N ;
+- FILLER_21_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 83250 ) N ;
+- FILLER_21_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 83250 ) N ;
+- FILLER_21_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 83250 ) N ;
+- FILLER_21_583 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 285600 83250 ) N ;
+- FILLER_21_588 sky130_fd_sc_ls__decap_8 + PLACED ( 288000 83250 ) N ;
+- FILLER_21_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 83250 ) N ;
+- FILLER_22_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 86580 ) FS ;
+- FILLER_22_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 86580 ) FS ;
+- FILLER_22_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 86580 ) FS ;
+- FILLER_22_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 86580 ) FS ;
+- FILLER_22_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 86580 ) FS ;
+- FILLER_22_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 86580 ) FS ;
+- FILLER_22_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 86580 ) FS ;
+- FILLER_22_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 86580 ) FS ;
+- FILLER_22_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 86580 ) FS ;
+- FILLER_22_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 86580 ) FS ;
+- FILLER_22_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 86580 ) FS ;
+- FILLER_22_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 86580 ) FS ;
+- FILLER_22_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 86580 ) FS ;
+- FILLER_22_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 86580 ) FS ;
+- FILLER_22_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 86580 ) FS ;
+- FILLER_22_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 86580 ) FS ;
+- FILLER_22_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 86580 ) FS ;
+- FILLER_22_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 86580 ) FS ;
+- FILLER_22_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 86580 ) FS ;
+- FILLER_22_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 86580 ) FS ;
+- FILLER_22_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 86580 ) FS ;
+- FILLER_22_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 86580 ) FS ;
+- FILLER_22_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 86580 ) FS ;
+- FILLER_22_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 86580 ) FS ;
+- FILLER_22_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 86580 ) FS ;
+- FILLER_22_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 86580 ) FS ;
+- FILLER_22_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 86580 ) FS ;
+- FILLER_22_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 86580 ) FS ;
+- FILLER_22_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 86580 ) FS ;
+- FILLER_22_210 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 106560 86580 ) FS ;
+- FILLER_22_212 sky130_fd_sc_ls__fill_1 + PLACED ( 107520 86580 ) FS ;
+- FILLER_22_216 sky130_fd_sc_ls__decap_8 + PLACED ( 109440 86580 ) FS ;
+- FILLER_22_224 sky130_fd_sc_ls__decap_8 + PLACED ( 113280 86580 ) FS ;
+- FILLER_22_232 sky130_fd_sc_ls__decap_8 + PLACED ( 117120 86580 ) FS ;
+- FILLER_22_240 sky130_fd_sc_ls__decap_8 + PLACED ( 120960 86580 ) FS ;
+- FILLER_22_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 86580 ) FS ;
+- FILLER_22_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 86580 ) FS ;
+- FILLER_22_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 86580 ) FS ;
+- FILLER_22_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 86580 ) FS ;
+- FILLER_22_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 86580 ) FS ;
+- FILLER_22_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 86580 ) FS ;
+- FILLER_22_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 86580 ) FS ;
+- FILLER_22_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 86580 ) FS ;
+- FILLER_22_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 86580 ) FS ;
+- FILLER_22_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 86580 ) FS ;
+- FILLER_22_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 86580 ) FS ;
+- FILLER_22_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 86580 ) FS ;
+- FILLER_22_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 86580 ) FS ;
+- FILLER_22_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 86580 ) FS ;
+- FILLER_22_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 86580 ) FS ;
+- FILLER_22_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 86580 ) FS ;
+- FILLER_22_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 86580 ) FS ;
+- FILLER_22_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 86580 ) FS ;
+- FILLER_22_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 86580 ) FS ;
+- FILLER_22_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 86580 ) FS ;
+- FILLER_22_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 86580 ) FS ;
+- FILLER_22_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 86580 ) FS ;
+- FILLER_22_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 86580 ) FS ;
+- FILLER_22_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 86580 ) FS ;
+- FILLER_22_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 86580 ) FS ;
+- FILLER_22_418 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 206400 86580 ) FS ;
+- FILLER_22_420 sky130_fd_sc_ls__fill_1 + PLACED ( 207360 86580 ) FS ;
+- FILLER_22_424 sky130_fd_sc_ls__decap_8 + PLACED ( 209280 86580 ) FS ;
+- FILLER_22_432 sky130_fd_sc_ls__decap_8 + PLACED ( 213120 86580 ) FS ;
+- FILLER_22_440 sky130_fd_sc_ls__decap_8 + PLACED ( 216960 86580 ) FS ;
+- FILLER_22_448 sky130_fd_sc_ls__decap_8 + PLACED ( 220800 86580 ) FS ;
+- FILLER_22_456 sky130_fd_sc_ls__decap_8 + PLACED ( 224640 86580 ) FS ;
+- FILLER_22_464 sky130_fd_sc_ls__decap_4 + PLACED ( 228480 86580 ) FS ;
+- FILLER_22_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 86580 ) FS ;
+- FILLER_22_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 86580 ) FS ;
+- FILLER_22_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 86580 ) FS ;
+- FILLER_22_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 86580 ) FS ;
+- FILLER_22_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 86580 ) FS ;
+- FILLER_22_509 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 250080 86580 ) FS ;
+- FILLER_22_511 sky130_fd_sc_ls__fill_1 + PLACED ( 251040 86580 ) FS ;
+- FILLER_22_515 sky130_fd_sc_ls__decap_8 + PLACED ( 252960 86580 ) FS ;
+- FILLER_22_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 86580 ) FS ;
+- FILLER_22_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 86580 ) FS ;
+- FILLER_22_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 86580 ) FS ;
+- FILLER_22_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 86580 ) FS ;
+- FILLER_22_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 86580 ) FS ;
+- FILLER_22_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 86580 ) FS ;
+- FILLER_22_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 86580 ) FS ;
+- FILLER_22_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 86580 ) FS ;
+- FILLER_22_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 86580 ) FS ;
+- FILLER_22_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 86580 ) FS ;
+- FILLER_22_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 86580 ) FS ;
+- FILLER_23_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 89910 ) N ;
+- FILLER_23_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 89910 ) N ;
+- FILLER_23_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 89910 ) N ;
+- FILLER_23_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 89910 ) N ;
+- FILLER_23_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 89910 ) N ;
+- FILLER_23_44 sky130_fd_sc_ls__decap_4 + PLACED ( 26880 89910 ) N ;
+- FILLER_23_51 sky130_fd_sc_ls__decap_4 + PLACED ( 30240 89910 ) N ;
+- FILLER_23_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 89910 ) N ;
+- FILLER_23_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 89910 ) N ;
+- FILLER_23_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 89910 ) N ;
+- FILLER_23_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 89910 ) N ;
+- FILLER_23_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 89910 ) N ;
+- FILLER_23_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 89910 ) N ;
+- FILLER_23_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 89910 ) N ;
+- FILLER_23_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 89910 ) N ;
+- FILLER_23_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 89910 ) N ;
+- FILLER_23_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 89910 ) N ;
+- FILLER_23_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 89910 ) N ;
+- FILLER_23_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 89910 ) N ;
+- FILLER_23_151 sky130_fd_sc_ls__fill_1 + PLACED ( 78240 89910 ) N ;
+- FILLER_23_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 89910 ) N ;
+- FILLER_23_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 89910 ) N ;
+- FILLER_23_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 89910 ) N ;
+- FILLER_23_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 89910 ) N ;
+- FILLER_23_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 89910 ) N ;
+- FILLER_23_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 89910 ) N ;
+- FILLER_23_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 89910 ) N ;
+- FILLER_23_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 89910 ) N ;
+- FILLER_23_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 89910 ) N ;
+- FILLER_23_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 89910 ) N ;
+- FILLER_23_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 89910 ) N ;
+- FILLER_23_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 89910 ) N ;
+- FILLER_23_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 89910 ) N ;
+- FILLER_23_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 89910 ) N ;
+- FILLER_23_253 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 127200 89910 ) N ;
+- FILLER_23_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 89910 ) N ;
+- FILLER_23_268 sky130_fd_sc_ls__decap_4 + PLACED ( 134400 89910 ) N ;
+- FILLER_23_272 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136320 89910 ) N ;
+- FILLER_23_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 89910 ) N ;
+- FILLER_23_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 89910 ) N ;
+- FILLER_23_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 89910 ) N ;
+- FILLER_23_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 89910 ) N ;
+- FILLER_23_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 89910 ) N ;
+- FILLER_23_302 sky130_fd_sc_ls__decap_8 + PLACED ( 150720 89910 ) N ;
+- FILLER_23_310 sky130_fd_sc_ls__decap_8 + PLACED ( 154560 89910 ) N ;
+- FILLER_23_318 sky130_fd_sc_ls__decap_8 + PLACED ( 158400 89910 ) N ;
+- FILLER_23_326 sky130_fd_sc_ls__decap_4 + PLACED ( 162240 89910 ) N ;
+- FILLER_23_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 89910 ) N ;
+- FILLER_23_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 89910 ) N ;
+- FILLER_23_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 89910 ) N ;
+- FILLER_23_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 89910 ) N ;
+- FILLER_23_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 89910 ) N ;
+- FILLER_23_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 89910 ) N ;
+- FILLER_23_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 89910 ) N ;
+- FILLER_23_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 89910 ) N ;
+- FILLER_23_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 89910 ) N ;
+- FILLER_23_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 89910 ) N ;
+- FILLER_23_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 89910 ) N ;
+- FILLER_23_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 89910 ) N ;
+- FILLER_23_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 89910 ) N ;
+- FILLER_23_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 89910 ) N ;
+- FILLER_23_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 89910 ) N ;
+- FILLER_23_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 89910 ) N ;
+- FILLER_23_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 89910 ) N ;
+- FILLER_23_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 89910 ) N ;
+- FILLER_23_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 89910 ) N ;
+- FILLER_23_465 sky130_fd_sc_ls__fill_1 + PLACED ( 228960 89910 ) N ;
+- FILLER_23_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 89910 ) N ;
+- FILLER_23_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 89910 ) N ;
+- FILLER_23_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 89910 ) N ;
+- FILLER_23_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 89910 ) N ;
+- FILLER_23_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 89910 ) N ;
+- FILLER_23_504 sky130_fd_sc_ls__decap_4 + PLACED ( 247680 89910 ) N ;
+- FILLER_23_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 89910 ) N ;
+- FILLER_23_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 89910 ) N ;
+- FILLER_23_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 89910 ) N ;
+- FILLER_23_535 sky130_fd_sc_ls__decap_8 + PLACED ( 262560 89910 ) N ;
+- FILLER_23_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 89910 ) N ;
+- FILLER_23_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 89910 ) N ;
+- FILLER_23_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 89910 ) N ;
+- FILLER_23_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 89910 ) N ;
+- FILLER_23_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 89910 ) N ;
+- FILLER_23_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 89910 ) N ;
+- FILLER_23_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 89910 ) N ;
+- FILLER_23_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 89910 ) N ;
+- FILLER_23_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 89910 ) N ;
+- FILLER_23_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 89910 ) N ;
+- FILLER_24_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 93240 ) FS ;
+- FILLER_24_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 93240 ) FS ;
+- FILLER_24_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 93240 ) FS ;
+- FILLER_24_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 93240 ) FS ;
+- FILLER_24_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 93240 ) FS ;
+- FILLER_24_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 93240 ) FS ;
+- FILLER_24_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 93240 ) FS ;
+- FILLER_24_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 93240 ) FS ;
+- FILLER_24_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 93240 ) FS ;
+- FILLER_24_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 93240 ) FS ;
+- FILLER_24_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 93240 ) FS ;
+- FILLER_24_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 93240 ) FS ;
+- FILLER_24_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 93240 ) FS ;
+- FILLER_24_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 93240 ) FS ;
+- FILLER_24_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 93240 ) FS ;
+- FILLER_24_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 93240 ) FS ;
+- FILLER_24_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 93240 ) FS ;
+- FILLER_24_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 93240 ) FS ;
+- FILLER_24_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 93240 ) FS ;
+- FILLER_24_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 93240 ) FS ;
+- FILLER_24_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 93240 ) FS ;
+- FILLER_24_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 93240 ) FS ;
+- FILLER_24_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 93240 ) FS ;
+- FILLER_24_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 93240 ) FS ;
+- FILLER_24_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 93240 ) FS ;
+- FILLER_24_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 93240 ) FS ;
+- FILLER_24_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 93240 ) FS ;
+- FILLER_24_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 93240 ) FS ;
+- FILLER_24_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 93240 ) FS ;
+- FILLER_24_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 93240 ) FS ;
+- FILLER_24_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 93240 ) FS ;
+- FILLER_24_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 93240 ) FS ;
+- FILLER_24_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 93240 ) FS ;
+- FILLER_24_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 93240 ) FS ;
+- FILLER_24_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 93240 ) FS ;
+- FILLER_24_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 93240 ) FS ;
+- FILLER_24_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 93240 ) FS ;
+- FILLER_24_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 93240 ) FS ;
+- FILLER_24_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 93240 ) FS ;
+- FILLER_24_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 93240 ) FS ;
+- FILLER_24_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 93240 ) FS ;
+- FILLER_24_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 93240 ) FS ;
+- FILLER_24_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 93240 ) FS ;
+- FILLER_24_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 93240 ) FS ;
+- FILLER_24_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 93240 ) FS ;
+- FILLER_24_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 93240 ) FS ;
+- FILLER_24_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 93240 ) FS ;
+- FILLER_24_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 93240 ) FS ;
+- FILLER_24_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 93240 ) FS ;
+- FILLER_24_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 93240 ) FS ;
+- FILLER_24_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 93240 ) FS ;
+- FILLER_24_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 93240 ) FS ;
+- FILLER_24_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 93240 ) FS ;
+- FILLER_24_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 93240 ) FS ;
+- FILLER_24_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 93240 ) FS ;
+- FILLER_24_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 93240 ) FS ;
+- FILLER_24_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 93240 ) FS ;
+- FILLER_24_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 93240 ) FS ;
+- FILLER_24_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 93240 ) FS ;
+- FILLER_24_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 93240 ) FS ;
+- FILLER_24_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 93240 ) FS ;
+- FILLER_24_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 93240 ) FS ;
+- FILLER_24_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 93240 ) FS ;
+- FILLER_24_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 93240 ) FS ;
+- FILLER_24_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 93240 ) FS ;
+- FILLER_24_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 93240 ) FS ;
+- FILLER_24_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 93240 ) FS ;
+- FILLER_24_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 93240 ) FS ;
+- FILLER_24_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 93240 ) FS ;
+- FILLER_24_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 93240 ) FS ;
+- FILLER_24_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 93240 ) FS ;
+- FILLER_24_501 sky130_fd_sc_ls__fill_1 + PLACED ( 246240 93240 ) FS ;
+- FILLER_24_507 sky130_fd_sc_ls__decap_8 + PLACED ( 249120 93240 ) FS ;
+- FILLER_24_515 sky130_fd_sc_ls__decap_8 + PLACED ( 252960 93240 ) FS ;
+- FILLER_24_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 93240 ) FS ;
+- FILLER_24_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 93240 ) FS ;
+- FILLER_24_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 93240 ) FS ;
+- FILLER_24_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 93240 ) FS ;
+- FILLER_24_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 93240 ) FS ;
+- FILLER_24_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 93240 ) FS ;
+- FILLER_24_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 93240 ) FS ;
+- FILLER_24_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 93240 ) FS ;
+- FILLER_24_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 93240 ) FS ;
+- FILLER_24_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 93240 ) FS ;
+- FILLER_24_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 93240 ) FS ;
+- FILLER_25_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 96570 ) N ;
+- FILLER_25_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 96570 ) N ;
+- FILLER_25_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 96570 ) N ;
+- FILLER_25_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 96570 ) N ;
+- FILLER_25_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 96570 ) N ;
+- FILLER_25_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 96570 ) N ;
+- FILLER_25_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 96570 ) N ;
+- FILLER_25_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 96570 ) N ;
+- FILLER_25_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 96570 ) N ;
+- FILLER_25_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 96570 ) N ;
+- FILLER_25_83 sky130_fd_sc_ls__decap_8 + PLACED ( 45600 96570 ) N ;
+- FILLER_25_91 sky130_fd_sc_ls__decap_8 + PLACED ( 49440 96570 ) N ;
+- FILLER_25_99 sky130_fd_sc_ls__decap_8 + PLACED ( 53280 96570 ) N ;
+- FILLER_25_107 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57120 96570 ) N ;
+- FILLER_25_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 96570 ) N ;
+- FILLER_25_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 96570 ) N ;
+- FILLER_25_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 96570 ) N ;
+- FILLER_25_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 96570 ) N ;
+- FILLER_25_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 96570 ) N ;
+- FILLER_25_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 96570 ) N ;
+- FILLER_25_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 96570 ) N ;
+- FILLER_25_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 96570 ) N ;
+- FILLER_25_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 96570 ) N ;
+- FILLER_25_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 96570 ) N ;
+- FILLER_25_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 96570 ) N ;
+- FILLER_25_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 96570 ) N ;
+- FILLER_25_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 96570 ) N ;
+- FILLER_25_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 96570 ) N ;
+- FILLER_25_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 96570 ) N ;
+- FILLER_25_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 96570 ) N ;
+- FILLER_25_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 96570 ) N ;
+- FILLER_25_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 96570 ) N ;
+- FILLER_25_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 96570 ) N ;
+- FILLER_25_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 96570 ) N ;
+- FILLER_25_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 96570 ) N ;
+- FILLER_25_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 96570 ) N ;
+- FILLER_25_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 96570 ) N ;
+- FILLER_25_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 96570 ) N ;
+- FILLER_25_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 96570 ) N ;
+- FILLER_25_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 96570 ) N ;
+- FILLER_25_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 96570 ) N ;
+- FILLER_25_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 96570 ) N ;
+- FILLER_25_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 96570 ) N ;
+- FILLER_25_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 96570 ) N ;
+- FILLER_25_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 96570 ) N ;
+- FILLER_25_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 96570 ) N ;
+- FILLER_25_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 96570 ) N ;
+- FILLER_25_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 96570 ) N ;
+- FILLER_25_335 sky130_fd_sc_ls__fill_1 + PLACED ( 166560 96570 ) N ;
+- FILLER_25_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 96570 ) N ;
+- FILLER_25_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 96570 ) N ;
+- FILLER_25_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 96570 ) N ;
+- FILLER_25_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 96570 ) N ;
+- FILLER_25_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 96570 ) N ;
+- FILLER_25_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 96570 ) N ;
+- FILLER_25_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 96570 ) N ;
+- FILLER_25_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 96570 ) N ;
+- FILLER_25_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 96570 ) N ;
+- FILLER_25_402 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 198720 96570 ) N ;
+- FILLER_25_407 sky130_fd_sc_ls__decap_8 + PLACED ( 201120 96570 ) N ;
+- FILLER_25_415 sky130_fd_sc_ls__decap_8 + PLACED ( 204960 96570 ) N ;
+- FILLER_25_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 96570 ) N ;
+- FILLER_25_431 sky130_fd_sc_ls__decap_8 + PLACED ( 212640 96570 ) N ;
+- FILLER_25_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 96570 ) N ;
+- FILLER_25_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 96570 ) N ;
+- FILLER_25_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 96570 ) N ;
+- FILLER_25_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 96570 ) N ;
+- FILLER_25_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 96570 ) N ;
+- FILLER_25_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 96570 ) N ;
+- FILLER_25_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 96570 ) N ;
+- FILLER_25_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 96570 ) N ;
+- FILLER_25_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 96570 ) N ;
+- FILLER_25_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 96570 ) N ;
+- FILLER_25_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 96570 ) N ;
+- FILLER_25_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 96570 ) N ;
+- FILLER_25_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 96570 ) N ;
+- FILLER_25_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 96570 ) N ;
+- FILLER_25_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 96570 ) N ;
+- FILLER_25_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 96570 ) N ;
+- FILLER_25_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 96570 ) N ;
+- FILLER_25_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 96570 ) N ;
+- FILLER_25_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 96570 ) N ;
+- FILLER_25_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 96570 ) N ;
+- FILLER_25_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 96570 ) N ;
+- FILLER_25_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 96570 ) N ;
+- FILLER_25_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 96570 ) N ;
+- FILLER_25_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 96570 ) N ;
+- FILLER_26_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 99900 ) FS ;
+- FILLER_26_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 99900 ) FS ;
+- FILLER_26_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 99900 ) FS ;
+- FILLER_26_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 99900 ) FS ;
+- FILLER_26_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 99900 ) FS ;
+- FILLER_26_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 99900 ) FS ;
+- FILLER_26_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 99900 ) FS ;
+- FILLER_26_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 99900 ) FS ;
+- FILLER_26_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 99900 ) FS ;
+- FILLER_26_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 99900 ) FS ;
+- FILLER_26_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 99900 ) FS ;
+- FILLER_26_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 99900 ) FS ;
+- FILLER_26_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 99900 ) FS ;
+- FILLER_26_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 99900 ) FS ;
+- FILLER_26_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 99900 ) FS ;
+- FILLER_26_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 99900 ) FS ;
+- FILLER_26_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 99900 ) FS ;
+- FILLER_26_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 99900 ) FS ;
+- FILLER_26_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 99900 ) FS ;
+- FILLER_26_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 99900 ) FS ;
+- FILLER_26_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 99900 ) FS ;
+- FILLER_26_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 99900 ) FS ;
+- FILLER_26_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 99900 ) FS ;
+- FILLER_26_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 99900 ) FS ;
+- FILLER_26_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 99900 ) FS ;
+- FILLER_26_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 99900 ) FS ;
+- FILLER_26_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 99900 ) FS ;
+- FILLER_26_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 99900 ) FS ;
+- FILLER_26_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 99900 ) FS ;
+- FILLER_26_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 99900 ) FS ;
+- FILLER_26_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 99900 ) FS ;
+- FILLER_26_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 99900 ) FS ;
+- FILLER_26_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 99900 ) FS ;
+- FILLER_26_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 99900 ) FS ;
+- FILLER_26_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 99900 ) FS ;
+- FILLER_26_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 99900 ) FS ;
+- FILLER_26_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 99900 ) FS ;
+- FILLER_26_265 sky130_fd_sc_ls__fill_1 + PLACED ( 132960 99900 ) FS ;
+- FILLER_26_269 sky130_fd_sc_ls__decap_8 + PLACED ( 134880 99900 ) FS ;
+- FILLER_26_277 sky130_fd_sc_ls__decap_8 + PLACED ( 138720 99900 ) FS ;
+- FILLER_26_285 sky130_fd_sc_ls__decap_8 + PLACED ( 142560 99900 ) FS ;
+- FILLER_26_293 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 146400 99900 ) FS ;
+- FILLER_26_295 sky130_fd_sc_ls__fill_1 + PLACED ( 147360 99900 ) FS ;
+- FILLER_26_299 sky130_fd_sc_ls__decap_4 + PLACED ( 149280 99900 ) FS ;
+- FILLER_26_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 99900 ) FS ;
+- FILLER_26_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 99900 ) FS ;
+- FILLER_26_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 99900 ) FS ;
+- FILLER_26_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 99900 ) FS ;
+- FILLER_26_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 99900 ) FS ;
+- FILLER_26_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 99900 ) FS ;
+- FILLER_26_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 99900 ) FS ;
+- FILLER_26_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 99900 ) FS ;
+- FILLER_26_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 99900 ) FS ;
+- FILLER_26_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 99900 ) FS ;
+- FILLER_26_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 99900 ) FS ;
+- FILLER_26_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 99900 ) FS ;
+- FILLER_26_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 99900 ) FS ;
+- FILLER_26_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 99900 ) FS ;
+- FILLER_26_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 99900 ) FS ;
+- FILLER_26_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 99900 ) FS ;
+- FILLER_26_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 99900 ) FS ;
+- FILLER_26_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 99900 ) FS ;
+- FILLER_26_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 99900 ) FS ;
+- FILLER_26_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 99900 ) FS ;
+- FILLER_26_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 99900 ) FS ;
+- FILLER_26_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 99900 ) FS ;
+- FILLER_26_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 99900 ) FS ;
+- FILLER_26_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 99900 ) FS ;
+- FILLER_26_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 99900 ) FS ;
+- FILLER_26_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 99900 ) FS ;
+- FILLER_26_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 99900 ) FS ;
+- FILLER_26_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 99900 ) FS ;
+- FILLER_26_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 99900 ) FS ;
+- FILLER_26_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 99900 ) FS ;
+- FILLER_26_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 99900 ) FS ;
+- FILLER_26_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 99900 ) FS ;
+- FILLER_26_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 99900 ) FS ;
+- FILLER_26_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 99900 ) FS ;
+- FILLER_26_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 99900 ) FS ;
+- FILLER_26_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 99900 ) FS ;
+- FILLER_26_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 99900 ) FS ;
+- FILLER_26_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 99900 ) FS ;
+- FILLER_26_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 99900 ) FS ;
+- FILLER_26_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 99900 ) FS ;
+- FILLER_26_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 99900 ) FS ;
+- FILLER_26_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 99900 ) FS ;
+- FILLER_26_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 99900 ) FS ;
+- FILLER_27_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 103230 ) N ;
+- FILLER_27_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 103230 ) N ;
+- FILLER_27_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 103230 ) N ;
+- FILLER_27_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 103230 ) N ;
+- FILLER_27_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 103230 ) N ;
+- FILLER_27_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 103230 ) N ;
+- FILLER_27_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 103230 ) N ;
+- FILLER_27_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 103230 ) N ;
+- FILLER_27_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 103230 ) N ;
+- FILLER_27_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 103230 ) N ;
+- FILLER_27_86 sky130_fd_sc_ls__decap_8 + PLACED ( 47040 103230 ) N ;
+- FILLER_27_94 sky130_fd_sc_ls__decap_8 + PLACED ( 50880 103230 ) N ;
+- FILLER_27_102 sky130_fd_sc_ls__decap_8 + PLACED ( 54720 103230 ) N ;
+- FILLER_27_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 103230 ) N ;
+- FILLER_27_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 103230 ) N ;
+- FILLER_27_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 103230 ) N ;
+- FILLER_27_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 103230 ) N ;
+- FILLER_27_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 103230 ) N ;
+- FILLER_27_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 103230 ) N ;
+- FILLER_27_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 103230 ) N ;
+- FILLER_27_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 103230 ) N ;
+- FILLER_27_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 103230 ) N ;
+- FILLER_27_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 103230 ) N ;
+- FILLER_27_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 103230 ) N ;
+- FILLER_27_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 103230 ) N ;
+- FILLER_27_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 103230 ) N ;
+- FILLER_27_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 103230 ) N ;
+- FILLER_27_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 103230 ) N ;
+- FILLER_27_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 103230 ) N ;
+- FILLER_27_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 103230 ) N ;
+- FILLER_27_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 103230 ) N ;
+- FILLER_27_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 103230 ) N ;
+- FILLER_27_250 sky130_fd_sc_ls__decap_8 + PLACED ( 125760 103230 ) N ;
+- FILLER_27_258 sky130_fd_sc_ls__decap_8 + PLACED ( 129600 103230 ) N ;
+- FILLER_27_266 sky130_fd_sc_ls__decap_8 + PLACED ( 133440 103230 ) N ;
+- FILLER_27_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 103230 ) N ;
+- FILLER_27_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 103230 ) N ;
+- FILLER_27_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 103230 ) N ;
+- FILLER_27_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 103230 ) N ;
+- FILLER_27_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 103230 ) N ;
+- FILLER_27_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 103230 ) N ;
+- FILLER_27_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 103230 ) N ;
+- FILLER_27_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 103230 ) N ;
+- FILLER_27_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 103230 ) N ;
+- FILLER_27_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 103230 ) N ;
+- FILLER_27_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 103230 ) N ;
+- FILLER_27_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 103230 ) N ;
+- FILLER_27_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 103230 ) N ;
+- FILLER_27_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 103230 ) N ;
+- FILLER_27_361 sky130_fd_sc_ls__decap_8 + PLACED ( 179040 103230 ) N ;
+- FILLER_27_369 sky130_fd_sc_ls__decap_8 + PLACED ( 182880 103230 ) N ;
+- FILLER_27_377 sky130_fd_sc_ls__decap_8 + PLACED ( 186720 103230 ) N ;
+- FILLER_27_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 103230 ) N ;
+- FILLER_27_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 103230 ) N ;
+- FILLER_27_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 103230 ) N ;
+- FILLER_27_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 103230 ) N ;
+- FILLER_27_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 103230 ) N ;
+- FILLER_27_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 103230 ) N ;
+- FILLER_27_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 103230 ) N ;
+- FILLER_27_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 103230 ) N ;
+- FILLER_27_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 103230 ) N ;
+- FILLER_27_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 103230 ) N ;
+- FILLER_27_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 103230 ) N ;
+- FILLER_27_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 103230 ) N ;
+- FILLER_27_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 103230 ) N ;
+- FILLER_27_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 103230 ) N ;
+- FILLER_27_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 103230 ) N ;
+- FILLER_27_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 103230 ) N ;
+- FILLER_27_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 103230 ) N ;
+- FILLER_27_504 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 247680 103230 ) N ;
+- FILLER_27_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 103230 ) N ;
+- FILLER_27_517 sky130_fd_sc_ls__decap_8 + PLACED ( 253920 103230 ) N ;
+- FILLER_27_525 sky130_fd_sc_ls__decap_8 + PLACED ( 257760 103230 ) N ;
+- FILLER_27_533 sky130_fd_sc_ls__decap_8 + PLACED ( 261600 103230 ) N ;
+- FILLER_27_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 103230 ) N ;
+- FILLER_27_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 103230 ) N ;
+- FILLER_27_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 103230 ) N ;
+- FILLER_27_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 103230 ) N ;
+- FILLER_27_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 103230 ) N ;
+- FILLER_27_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 103230 ) N ;
+- FILLER_27_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 103230 ) N ;
+- FILLER_27_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 103230 ) N ;
+- FILLER_27_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 103230 ) N ;
+- FILLER_28_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 106560 ) FS ;
+- FILLER_28_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 106560 ) FS ;
+- FILLER_28_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 106560 ) FS ;
+- FILLER_28_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 106560 ) FS ;
+- FILLER_28_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 106560 ) FS ;
+- FILLER_28_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 106560 ) FS ;
+- FILLER_28_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 106560 ) FS ;
+- FILLER_28_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 106560 ) FS ;
+- FILLER_28_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 106560 ) FS ;
+- FILLER_28_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 106560 ) FS ;
+- FILLER_28_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 106560 ) FS ;
+- FILLER_28_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 106560 ) FS ;
+- FILLER_28_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 106560 ) FS ;
+- FILLER_28_103 sky130_fd_sc_ls__decap_8 + PLACED ( 55200 106560 ) FS ;
+- FILLER_28_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 106560 ) FS ;
+- FILLER_28_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 106560 ) FS ;
+- FILLER_28_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 106560 ) FS ;
+- FILLER_28_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 106560 ) FS ;
+- FILLER_28_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 106560 ) FS ;
+- FILLER_28_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 106560 ) FS ;
+- FILLER_28_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 106560 ) FS ;
+- FILLER_28_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 106560 ) FS ;
+- FILLER_28_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 106560 ) FS ;
+- FILLER_28_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 106560 ) FS ;
+- FILLER_28_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 106560 ) FS ;
+- FILLER_28_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 106560 ) FS ;
+- FILLER_28_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 106560 ) FS ;
+- FILLER_28_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 106560 ) FS ;
+- FILLER_28_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 106560 ) FS ;
+- FILLER_28_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 106560 ) FS ;
+- FILLER_28_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 106560 ) FS ;
+- FILLER_28_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 106560 ) FS ;
+- FILLER_28_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 106560 ) FS ;
+- FILLER_28_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 106560 ) FS ;
+- FILLER_28_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 106560 ) FS ;
+- FILLER_28_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 106560 ) FS ;
+- FILLER_28_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 106560 ) FS ;
+- FILLER_28_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 106560 ) FS ;
+- FILLER_28_273 sky130_fd_sc_ls__decap_4 + PLACED ( 136800 106560 ) FS ;
+- FILLER_28_277 sky130_fd_sc_ls__fill_1 + PLACED ( 138720 106560 ) FS ;
+- FILLER_28_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 106560 ) FS ;
+- FILLER_28_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 106560 ) FS ;
+- FILLER_28_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 106560 ) FS ;
+- FILLER_28_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 106560 ) FS ;
+- FILLER_28_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 106560 ) FS ;
+- FILLER_28_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 106560 ) FS ;
+- FILLER_28_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 106560 ) FS ;
+- FILLER_28_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 106560 ) FS ;
+- FILLER_28_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 106560 ) FS ;
+- FILLER_28_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 106560 ) FS ;
+- FILLER_28_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 106560 ) FS ;
+- FILLER_28_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 106560 ) FS ;
+- FILLER_28_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 106560 ) FS ;
+- FILLER_28_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 106560 ) FS ;
+- FILLER_28_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 106560 ) FS ;
+- FILLER_28_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 106560 ) FS ;
+- FILLER_28_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 106560 ) FS ;
+- FILLER_28_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 106560 ) FS ;
+- FILLER_28_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 106560 ) FS ;
+- FILLER_28_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 106560 ) FS ;
+- FILLER_28_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 106560 ) FS ;
+- FILLER_28_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 106560 ) FS ;
+- FILLER_28_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 106560 ) FS ;
+- FILLER_28_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 106560 ) FS ;
+- FILLER_28_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 106560 ) FS ;
+- FILLER_28_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 106560 ) FS ;
+- FILLER_28_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 106560 ) FS ;
+- FILLER_28_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 106560 ) FS ;
+- FILLER_28_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 106560 ) FS ;
+- FILLER_28_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 106560 ) FS ;
+- FILLER_28_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 106560 ) FS ;
+- FILLER_28_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 106560 ) FS ;
+- FILLER_28_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 106560 ) FS ;
+- FILLER_28_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 106560 ) FS ;
+- FILLER_28_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 106560 ) FS ;
+- FILLER_28_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 106560 ) FS ;
+- FILLER_28_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 106560 ) FS ;
+- FILLER_28_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 106560 ) FS ;
+- FILLER_28_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 106560 ) FS ;
+- FILLER_28_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 106560 ) FS ;
+- FILLER_28_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 106560 ) FS ;
+- FILLER_28_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 106560 ) FS ;
+- FILLER_28_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 106560 ) FS ;
+- FILLER_28_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 106560 ) FS ;
+- FILLER_28_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 106560 ) FS ;
+- FILLER_28_586 sky130_fd_sc_ls__decap_8 + PLACED ( 287040 106560 ) FS ;
+- FILLER_28_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 106560 ) FS ;
+- FILLER_28_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 106560 ) FS ;
+- FILLER_29_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 109890 ) N ;
+- FILLER_29_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 109890 ) N ;
+- FILLER_29_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 109890 ) N ;
+- FILLER_29_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 109890 ) N ;
+- FILLER_29_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 109890 ) N ;
+- FILLER_29_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 109890 ) N ;
+- FILLER_29_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 109890 ) N ;
+- FILLER_29_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 109890 ) N ;
+- FILLER_29_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 109890 ) N ;
+- FILLER_29_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 109890 ) N ;
+- FILLER_29_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 109890 ) N ;
+- FILLER_29_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 109890 ) N ;
+- FILLER_29_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 109890 ) N ;
+- FILLER_29_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 109890 ) N ;
+- FILLER_29_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 109890 ) N ;
+- FILLER_29_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 109890 ) N ;
+- FILLER_29_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 109890 ) N ;
+- FILLER_29_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 109890 ) N ;
+- FILLER_29_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 109890 ) N ;
+- FILLER_29_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 109890 ) N ;
+- FILLER_29_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 109890 ) N ;
+- FILLER_29_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 109890 ) N ;
+- FILLER_29_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 109890 ) N ;
+- FILLER_29_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 109890 ) N ;
+- FILLER_29_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 109890 ) N ;
+- FILLER_29_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 109890 ) N ;
+- FILLER_29_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 109890 ) N ;
+- FILLER_29_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 109890 ) N ;
+- FILLER_29_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 109890 ) N ;
+- FILLER_29_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 109890 ) N ;
+- FILLER_29_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 109890 ) N ;
+- FILLER_29_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 109890 ) N ;
+- FILLER_29_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 109890 ) N ;
+- FILLER_29_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 109890 ) N ;
+- FILLER_29_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 109890 ) N ;
+- FILLER_29_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 109890 ) N ;
+- FILLER_29_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 109890 ) N ;
+- FILLER_29_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 109890 ) N ;
+- FILLER_29_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 109890 ) N ;
+- FILLER_29_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 109890 ) N ;
+- FILLER_29_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 109890 ) N ;
+- FILLER_29_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 109890 ) N ;
+- FILLER_29_296 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 147840 109890 ) N ;
+- FILLER_29_298 sky130_fd_sc_ls__fill_1 + PLACED ( 148800 109890 ) N ;
+- FILLER_29_302 sky130_fd_sc_ls__decap_8 + PLACED ( 150720 109890 ) N ;
+- FILLER_29_310 sky130_fd_sc_ls__decap_8 + PLACED ( 154560 109890 ) N ;
+- FILLER_29_318 sky130_fd_sc_ls__decap_8 + PLACED ( 158400 109890 ) N ;
+- FILLER_29_326 sky130_fd_sc_ls__decap_4 + PLACED ( 162240 109890 ) N ;
+- FILLER_29_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 109890 ) N ;
+- FILLER_29_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 109890 ) N ;
+- FILLER_29_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 109890 ) N ;
+- FILLER_29_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 109890 ) N ;
+- FILLER_29_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 109890 ) N ;
+- FILLER_29_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 109890 ) N ;
+- FILLER_29_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 109890 ) N ;
+- FILLER_29_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 109890 ) N ;
+- FILLER_29_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 109890 ) N ;
+- FILLER_29_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 109890 ) N ;
+- FILLER_29_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 109890 ) N ;
+- FILLER_29_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 109890 ) N ;
+- FILLER_29_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 109890 ) N ;
+- FILLER_29_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 109890 ) N ;
+- FILLER_29_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 109890 ) N ;
+- FILLER_29_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 109890 ) N ;
+- FILLER_29_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 109890 ) N ;
+- FILLER_29_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 109890 ) N ;
+- FILLER_29_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 109890 ) N ;
+- FILLER_29_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 109890 ) N ;
+- FILLER_29_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 109890 ) N ;
+- FILLER_29_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 109890 ) N ;
+- FILLER_29_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 109890 ) N ;
+- FILLER_29_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 109890 ) N ;
+- FILLER_29_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 109890 ) N ;
+- FILLER_29_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 109890 ) N ;
+- FILLER_29_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 109890 ) N ;
+- FILLER_29_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 109890 ) N ;
+- FILLER_29_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 109890 ) N ;
+- FILLER_29_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 109890 ) N ;
+- FILLER_29_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 109890 ) N ;
+- FILLER_29_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 109890 ) N ;
+- FILLER_29_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 109890 ) N ;
+- FILLER_29_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 109890 ) N ;
+- FILLER_29_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 109890 ) N ;
+- FILLER_29_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 109890 ) N ;
+- FILLER_29_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 109890 ) N ;
+- FILLER_29_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 109890 ) N ;
+- FILLER_29_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 109890 ) N ;
+- FILLER_30_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 113220 ) FS ;
+- FILLER_30_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 113220 ) FS ;
+- FILLER_30_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 113220 ) FS ;
+- FILLER_30_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 113220 ) FS ;
+- FILLER_30_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 113220 ) FS ;
+- FILLER_30_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 113220 ) FS ;
+- FILLER_30_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 113220 ) FS ;
+- FILLER_30_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 113220 ) FS ;
+- FILLER_30_69 sky130_fd_sc_ls__decap_4 + PLACED ( 38880 113220 ) FS ;
+- FILLER_30_73 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 40800 113220 ) FS ;
+- FILLER_30_78 sky130_fd_sc_ls__decap_4 + PLACED ( 43200 113220 ) FS ;
+- FILLER_30_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 113220 ) FS ;
+- FILLER_30_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 113220 ) FS ;
+- FILLER_30_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 113220 ) FS ;
+- FILLER_30_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 113220 ) FS ;
+- FILLER_30_108 sky130_fd_sc_ls__decap_4 + PLACED ( 57600 113220 ) FS ;
+- FILLER_30_112 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 59520 113220 ) FS ;
+- FILLER_30_114 sky130_fd_sc_ls__fill_1 + PLACED ( 60480 113220 ) FS ;
+- FILLER_30_120 sky130_fd_sc_ls__decap_8 + PLACED ( 63360 113220 ) FS ;
+- FILLER_30_128 sky130_fd_sc_ls__decap_8 + PLACED ( 67200 113220 ) FS ;
+- FILLER_30_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 113220 ) FS ;
+- FILLER_30_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 113220 ) FS ;
+- FILLER_30_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 113220 ) FS ;
+- FILLER_30_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 113220 ) FS ;
+- FILLER_30_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 113220 ) FS ;
+- FILLER_30_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 113220 ) FS ;
+- FILLER_30_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 113220 ) FS ;
+- FILLER_30_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 113220 ) FS ;
+- FILLER_30_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 113220 ) FS ;
+- FILLER_30_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 113220 ) FS ;
+- FILLER_30_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 113220 ) FS ;
+- FILLER_30_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 113220 ) FS ;
+- FILLER_30_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 113220 ) FS ;
+- FILLER_30_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 113220 ) FS ;
+- FILLER_30_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 113220 ) FS ;
+- FILLER_30_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 113220 ) FS ;
+- FILLER_30_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 113220 ) FS ;
+- FILLER_30_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 113220 ) FS ;
+- FILLER_30_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 113220 ) FS ;
+- FILLER_30_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 113220 ) FS ;
+- FILLER_30_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 113220 ) FS ;
+- FILLER_30_280 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 140160 113220 ) FS ;
+- FILLER_30_282 sky130_fd_sc_ls__fill_1 + PLACED ( 141120 113220 ) FS ;
+- FILLER_30_286 sky130_fd_sc_ls__decap_8 + PLACED ( 143040 113220 ) FS ;
+- FILLER_30_294 sky130_fd_sc_ls__decap_8 + PLACED ( 146880 113220 ) FS ;
+- FILLER_30_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 113220 ) FS ;
+- FILLER_30_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 113220 ) FS ;
+- FILLER_30_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 113220 ) FS ;
+- FILLER_30_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 113220 ) FS ;
+- FILLER_30_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 113220 ) FS ;
+- FILLER_30_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 113220 ) FS ;
+- FILLER_30_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 113220 ) FS ;
+- FILLER_30_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 113220 ) FS ;
+- FILLER_30_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 113220 ) FS ;
+- FILLER_30_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 113220 ) FS ;
+- FILLER_30_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 113220 ) FS ;
+- FILLER_30_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 113220 ) FS ;
+- FILLER_30_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 113220 ) FS ;
+- FILLER_30_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 113220 ) FS ;
+- FILLER_30_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 113220 ) FS ;
+- FILLER_30_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 113220 ) FS ;
+- FILLER_30_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 113220 ) FS ;
+- FILLER_30_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 113220 ) FS ;
+- FILLER_30_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 113220 ) FS ;
+- FILLER_30_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 113220 ) FS ;
+- FILLER_30_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 113220 ) FS ;
+- FILLER_30_446 sky130_fd_sc_ls__decap_4 + PLACED ( 219840 113220 ) FS ;
+- FILLER_30_450 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 221760 113220 ) FS ;
+- FILLER_30_452 sky130_fd_sc_ls__fill_1 + PLACED ( 222720 113220 ) FS ;
+- FILLER_30_456 sky130_fd_sc_ls__decap_8 + PLACED ( 224640 113220 ) FS ;
+- FILLER_30_464 sky130_fd_sc_ls__decap_4 + PLACED ( 228480 113220 ) FS ;
+- FILLER_30_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 113220 ) FS ;
+- FILLER_30_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 113220 ) FS ;
+- FILLER_30_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 113220 ) FS ;
+- FILLER_30_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 113220 ) FS ;
+- FILLER_30_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 113220 ) FS ;
+- FILLER_30_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 113220 ) FS ;
+- FILLER_30_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 113220 ) FS ;
+- FILLER_30_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 113220 ) FS ;
+- FILLER_30_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 113220 ) FS ;
+- FILLER_30_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 113220 ) FS ;
+- FILLER_30_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 113220 ) FS ;
+- FILLER_30_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 113220 ) FS ;
+- FILLER_30_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 113220 ) FS ;
+- FILLER_30_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 113220 ) FS ;
+- FILLER_30_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 113220 ) FS ;
+- FILLER_30_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 113220 ) FS ;
+- FILLER_30_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 113220 ) FS ;
+- FILLER_30_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 113220 ) FS ;
+- FILLER_30_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 113220 ) FS ;
+- FILLER_31_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 116550 ) N ;
+- FILLER_31_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 116550 ) N ;
+- FILLER_31_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 116550 ) N ;
+- FILLER_31_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 116550 ) N ;
+- FILLER_31_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 116550 ) N ;
+- FILLER_31_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 116550 ) N ;
+- FILLER_31_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 116550 ) N ;
+- FILLER_31_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 116550 ) N ;
+- FILLER_31_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 116550 ) N ;
+- FILLER_31_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 116550 ) N ;
+- FILLER_31_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 116550 ) N ;
+- FILLER_31_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 116550 ) N ;
+- FILLER_31_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 116550 ) N ;
+- FILLER_31_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 116550 ) N ;
+- FILLER_31_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 116550 ) N ;
+- FILLER_31_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 116550 ) N ;
+- FILLER_31_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 116550 ) N ;
+- FILLER_31_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 116550 ) N ;
+- FILLER_31_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 116550 ) N ;
+- FILLER_31_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 116550 ) N ;
+- FILLER_31_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 116550 ) N ;
+- FILLER_31_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 116550 ) N ;
+- FILLER_31_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 116550 ) N ;
+- FILLER_31_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 116550 ) N ;
+- FILLER_31_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 116550 ) N ;
+- FILLER_31_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 116550 ) N ;
+- FILLER_31_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 116550 ) N ;
+- FILLER_31_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 116550 ) N ;
+- FILLER_31_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 116550 ) N ;
+- FILLER_31_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 116550 ) N ;
+- FILLER_31_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 116550 ) N ;
+- FILLER_31_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 116550 ) N ;
+- FILLER_31_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 116550 ) N ;
+- FILLER_31_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 116550 ) N ;
+- FILLER_31_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 116550 ) N ;
+- FILLER_31_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 116550 ) N ;
+- FILLER_31_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 116550 ) N ;
+- FILLER_31_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 116550 ) N ;
+- FILLER_31_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 116550 ) N ;
+- FILLER_31_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 116550 ) N ;
+- FILLER_31_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 116550 ) N ;
+- FILLER_31_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 116550 ) N ;
+- FILLER_31_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 116550 ) N ;
+- FILLER_31_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 116550 ) N ;
+- FILLER_31_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 116550 ) N ;
+- FILLER_31_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 116550 ) N ;
+- FILLER_31_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 116550 ) N ;
+- FILLER_31_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 116550 ) N ;
+- FILLER_31_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 116550 ) N ;
+- FILLER_31_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 116550 ) N ;
+- FILLER_31_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 116550 ) N ;
+- FILLER_31_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 116550 ) N ;
+- FILLER_31_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 116550 ) N ;
+- FILLER_31_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 116550 ) N ;
+- FILLER_31_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 116550 ) N ;
+- FILLER_31_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 116550 ) N ;
+- FILLER_31_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 116550 ) N ;
+- FILLER_31_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 116550 ) N ;
+- FILLER_31_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 116550 ) N ;
+- FILLER_31_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 116550 ) N ;
+- FILLER_31_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 116550 ) N ;
+- FILLER_31_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 116550 ) N ;
+- FILLER_31_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 116550 ) N ;
+- FILLER_31_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 116550 ) N ;
+- FILLER_31_445 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 219360 116550 ) N ;
+- FILLER_31_447 sky130_fd_sc_ls__fill_1 + PLACED ( 220320 116550 ) N ;
+- FILLER_31_451 sky130_fd_sc_ls__decap_8 + PLACED ( 222240 116550 ) N ;
+- FILLER_31_459 sky130_fd_sc_ls__decap_8 + PLACED ( 226080 116550 ) N ;
+- FILLER_31_467 sky130_fd_sc_ls__decap_8 + PLACED ( 229920 116550 ) N ;
+- FILLER_31_475 sky130_fd_sc_ls__decap_8 + PLACED ( 233760 116550 ) N ;
+- FILLER_31_483 sky130_fd_sc_ls__decap_8 + PLACED ( 237600 116550 ) N ;
+- FILLER_31_491 sky130_fd_sc_ls__decap_4 + PLACED ( 241440 116550 ) N ;
+- FILLER_31_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 116550 ) N ;
+- FILLER_31_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 116550 ) N ;
+- FILLER_31_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 116550 ) N ;
+- FILLER_31_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 116550 ) N ;
+- FILLER_31_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 116550 ) N ;
+- FILLER_31_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 116550 ) N ;
+- FILLER_31_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 116550 ) N ;
+- FILLER_31_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 116550 ) N ;
+- FILLER_31_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 116550 ) N ;
+- FILLER_31_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 116550 ) N ;
+- FILLER_31_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 116550 ) N ;
+- FILLER_31_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 116550 ) N ;
+- FILLER_31_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 116550 ) N ;
+- FILLER_31_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 116550 ) N ;
+- FILLER_31_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 116550 ) N ;
+- FILLER_32_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 119880 ) FS ;
+- FILLER_32_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 119880 ) FS ;
+- FILLER_32_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 119880 ) FS ;
+- FILLER_32_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 119880 ) FS ;
+- FILLER_32_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 119880 ) FS ;
+- FILLER_32_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 119880 ) FS ;
+- FILLER_32_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 119880 ) FS ;
+- FILLER_32_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 119880 ) FS ;
+- FILLER_32_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 119880 ) FS ;
+- FILLER_32_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 119880 ) FS ;
+- FILLER_32_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 119880 ) FS ;
+- FILLER_32_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 119880 ) FS ;
+- FILLER_32_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 119880 ) FS ;
+- FILLER_32_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 119880 ) FS ;
+- FILLER_32_108 sky130_fd_sc_ls__decap_4 + PLACED ( 57600 119880 ) FS ;
+- FILLER_32_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 119880 ) FS ;
+- FILLER_32_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 119880 ) FS ;
+- FILLER_32_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 119880 ) FS ;
+- FILLER_32_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 119880 ) FS ;
+- FILLER_32_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 119880 ) FS ;
+- FILLER_32_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 119880 ) FS ;
+- FILLER_32_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 119880 ) FS ;
+- FILLER_32_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 119880 ) FS ;
+- FILLER_32_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 119880 ) FS ;
+- FILLER_32_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 119880 ) FS ;
+- FILLER_32_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 119880 ) FS ;
+- FILLER_32_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 119880 ) FS ;
+- FILLER_32_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 119880 ) FS ;
+- FILLER_32_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 119880 ) FS ;
+- FILLER_32_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 119880 ) FS ;
+- FILLER_32_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 119880 ) FS ;
+- FILLER_32_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 119880 ) FS ;
+- FILLER_32_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 119880 ) FS ;
+- FILLER_32_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 119880 ) FS ;
+- FILLER_32_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 119880 ) FS ;
+- FILLER_32_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 119880 ) FS ;
+- FILLER_32_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 119880 ) FS ;
+- FILLER_32_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 119880 ) FS ;
+- FILLER_32_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 119880 ) FS ;
+- FILLER_32_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 119880 ) FS ;
+- FILLER_32_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 119880 ) FS ;
+- FILLER_32_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 119880 ) FS ;
+- FILLER_32_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 119880 ) FS ;
+- FILLER_32_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 119880 ) FS ;
+- FILLER_32_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 119880 ) FS ;
+- FILLER_32_311 sky130_fd_sc_ls__decap_4 + PLACED ( 155040 119880 ) FS ;
+- FILLER_32_315 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 156960 119880 ) FS ;
+- FILLER_32_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 119880 ) FS ;
+- FILLER_32_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 119880 ) FS ;
+- FILLER_32_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 119880 ) FS ;
+- FILLER_32_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 119880 ) FS ;
+- FILLER_32_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 119880 ) FS ;
+- FILLER_32_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 119880 ) FS ;
+- FILLER_32_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 119880 ) FS ;
+- FILLER_32_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 119880 ) FS ;
+- FILLER_32_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 119880 ) FS ;
+- FILLER_32_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 119880 ) FS ;
+- FILLER_32_391 sky130_fd_sc_ls__decap_4 + PLACED ( 193440 119880 ) FS ;
+- FILLER_32_398 sky130_fd_sc_ls__decap_8 + PLACED ( 196800 119880 ) FS ;
+- FILLER_32_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 119880 ) FS ;
+- FILLER_32_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 119880 ) FS ;
+- FILLER_32_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 119880 ) FS ;
+- FILLER_32_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 119880 ) FS ;
+- FILLER_32_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 119880 ) FS ;
+- FILLER_32_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 119880 ) FS ;
+- FILLER_32_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 119880 ) FS ;
+- FILLER_32_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 119880 ) FS ;
+- FILLER_32_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 119880 ) FS ;
+- FILLER_32_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 119880 ) FS ;
+- FILLER_32_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 119880 ) FS ;
+- FILLER_32_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 119880 ) FS ;
+- FILLER_32_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 119880 ) FS ;
+- FILLER_32_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 119880 ) FS ;
+- FILLER_32_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 119880 ) FS ;
+- FILLER_32_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 119880 ) FS ;
+- FILLER_32_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 119880 ) FS ;
+- FILLER_32_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 119880 ) FS ;
+- FILLER_32_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 119880 ) FS ;
+- FILLER_32_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 119880 ) FS ;
+- FILLER_32_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 119880 ) FS ;
+- FILLER_32_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 119880 ) FS ;
+- FILLER_32_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 119880 ) FS ;
+- FILLER_32_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 119880 ) FS ;
+- FILLER_32_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 119880 ) FS ;
+- FILLER_32_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 119880 ) FS ;
+- FILLER_32_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 119880 ) FS ;
+- FILLER_32_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 119880 ) FS ;
+- FILLER_32_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 119880 ) FS ;
+- FILLER_32_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 119880 ) FS ;
+- FILLER_33_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 123210 ) N ;
+- FILLER_33_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 123210 ) N ;
+- FILLER_33_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 123210 ) N ;
+- FILLER_33_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 123210 ) N ;
+- FILLER_33_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 123210 ) N ;
+- FILLER_33_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 123210 ) N ;
+- FILLER_33_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 123210 ) N ;
+- FILLER_33_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 123210 ) N ;
+- FILLER_33_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 123210 ) N ;
+- FILLER_33_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 123210 ) N ;
+- FILLER_33_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 123210 ) N ;
+- FILLER_33_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 123210 ) N ;
+- FILLER_33_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 123210 ) N ;
+- FILLER_33_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 123210 ) N ;
+- FILLER_33_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 123210 ) N ;
+- FILLER_33_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 123210 ) N ;
+- FILLER_33_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 123210 ) N ;
+- FILLER_33_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 123210 ) N ;
+- FILLER_33_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 123210 ) N ;
+- FILLER_33_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 123210 ) N ;
+- FILLER_33_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 123210 ) N ;
+- FILLER_33_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 123210 ) N ;
+- FILLER_33_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 123210 ) N ;
+- FILLER_33_166 sky130_fd_sc_ls__decap_4 + PLACED ( 85440 123210 ) N ;
+- FILLER_33_170 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 87360 123210 ) N ;
+- FILLER_33_175 sky130_fd_sc_ls__decap_8 + PLACED ( 89760 123210 ) N ;
+- FILLER_33_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 123210 ) N ;
+- FILLER_33_191 sky130_fd_sc_ls__decap_8 + PLACED ( 97440 123210 ) N ;
+- FILLER_33_199 sky130_fd_sc_ls__decap_8 + PLACED ( 101280 123210 ) N ;
+- FILLER_33_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 123210 ) N ;
+- FILLER_33_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 123210 ) N ;
+- FILLER_33_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 123210 ) N ;
+- FILLER_33_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 123210 ) N ;
+- FILLER_33_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 123210 ) N ;
+- FILLER_33_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 123210 ) N ;
+- FILLER_33_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 123210 ) N ;
+- FILLER_33_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 123210 ) N ;
+- FILLER_33_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 123210 ) N ;
+- FILLER_33_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 123210 ) N ;
+- FILLER_33_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 123210 ) N ;
+- FILLER_33_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 123210 ) N ;
+- FILLER_33_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 123210 ) N ;
+- FILLER_33_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 123210 ) N ;
+- FILLER_33_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 123210 ) N ;
+- FILLER_33_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 123210 ) N ;
+- FILLER_33_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 123210 ) N ;
+- FILLER_33_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 123210 ) N ;
+- FILLER_33_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 123210 ) N ;
+- FILLER_33_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 123210 ) N ;
+- FILLER_33_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 123210 ) N ;
+- FILLER_33_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 123210 ) N ;
+- FILLER_33_355 sky130_fd_sc_ls__decap_4 + PLACED ( 176160 123210 ) N ;
+- FILLER_33_359 sky130_fd_sc_ls__fill_1 + PLACED ( 178080 123210 ) N ;
+- FILLER_33_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 123210 ) N ;
+- FILLER_33_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 123210 ) N ;
+- FILLER_33_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 123210 ) N ;
+- FILLER_33_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 123210 ) N ;
+- FILLER_33_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 123210 ) N ;
+- FILLER_33_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 123210 ) N ;
+- FILLER_33_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 123210 ) N ;
+- FILLER_33_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 123210 ) N ;
+- FILLER_33_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 123210 ) N ;
+- FILLER_33_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 123210 ) N ;
+- FILLER_33_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 123210 ) N ;
+- FILLER_33_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 123210 ) N ;
+- FILLER_33_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 123210 ) N ;
+- FILLER_33_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 123210 ) N ;
+- FILLER_33_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 123210 ) N ;
+- FILLER_33_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 123210 ) N ;
+- FILLER_33_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 123210 ) N ;
+- FILLER_33_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 123210 ) N ;
+- FILLER_33_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 123210 ) N ;
+- FILLER_33_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 123210 ) N ;
+- FILLER_33_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 123210 ) N ;
+- FILLER_33_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 123210 ) N ;
+- FILLER_33_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 123210 ) N ;
+- FILLER_33_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 123210 ) N ;
+- FILLER_33_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 123210 ) N ;
+- FILLER_33_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 123210 ) N ;
+- FILLER_33_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 123210 ) N ;
+- FILLER_33_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 123210 ) N ;
+- FILLER_33_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 123210 ) N ;
+- FILLER_33_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 123210 ) N ;
+- FILLER_33_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 123210 ) N ;
+- FILLER_33_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 123210 ) N ;
+- FILLER_33_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 123210 ) N ;
+- FILLER_33_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 123210 ) N ;
+- FILLER_33_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 123210 ) N ;
+- FILLER_34_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 126540 ) FS ;
+- FILLER_34_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 126540 ) FS ;
+- FILLER_34_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 126540 ) FS ;
+- FILLER_34_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 126540 ) FS ;
+- FILLER_34_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 126540 ) FS ;
+- FILLER_34_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 126540 ) FS ;
+- FILLER_34_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 126540 ) FS ;
+- FILLER_34_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 126540 ) FS ;
+- FILLER_34_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 126540 ) FS ;
+- FILLER_34_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 126540 ) FS ;
+- FILLER_34_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 126540 ) FS ;
+- FILLER_34_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 126540 ) FS ;
+- FILLER_34_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 126540 ) FS ;
+- FILLER_34_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 126540 ) FS ;
+- FILLER_34_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 126540 ) FS ;
+- FILLER_34_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 126540 ) FS ;
+- FILLER_34_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 126540 ) FS ;
+- FILLER_34_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 126540 ) FS ;
+- FILLER_34_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 126540 ) FS ;
+- FILLER_34_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 126540 ) FS ;
+- FILLER_34_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 126540 ) FS ;
+- FILLER_34_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 126540 ) FS ;
+- FILLER_34_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 126540 ) FS ;
+- FILLER_34_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 126540 ) FS ;
+- FILLER_34_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 126540 ) FS ;
+- FILLER_34_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 126540 ) FS ;
+- FILLER_34_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 126540 ) FS ;
+- FILLER_34_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 126540 ) FS ;
+- FILLER_34_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 126540 ) FS ;
+- FILLER_34_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 126540 ) FS ;
+- FILLER_34_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 126540 ) FS ;
+- FILLER_34_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 126540 ) FS ;
+- FILLER_34_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 126540 ) FS ;
+- FILLER_34_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 126540 ) FS ;
+- FILLER_34_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 126540 ) FS ;
+- FILLER_34_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 126540 ) FS ;
+- FILLER_34_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 126540 ) FS ;
+- FILLER_34_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 126540 ) FS ;
+- FILLER_34_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 126540 ) FS ;
+- FILLER_34_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 126540 ) FS ;
+- FILLER_34_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 126540 ) FS ;
+- FILLER_34_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 126540 ) FS ;
+- FILLER_34_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 126540 ) FS ;
+- FILLER_34_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 126540 ) FS ;
+- FILLER_34_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 126540 ) FS ;
+- FILLER_34_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 126540 ) FS ;
+- FILLER_34_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 126540 ) FS ;
+- FILLER_34_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 126540 ) FS ;
+- FILLER_34_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 126540 ) FS ;
+- FILLER_34_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 126540 ) FS ;
+- FILLER_34_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 126540 ) FS ;
+- FILLER_34_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 126540 ) FS ;
+- FILLER_34_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 126540 ) FS ;
+- FILLER_34_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 126540 ) FS ;
+- FILLER_34_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 126540 ) FS ;
+- FILLER_34_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 126540 ) FS ;
+- FILLER_34_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 126540 ) FS ;
+- FILLER_34_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 126540 ) FS ;
+- FILLER_34_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 126540 ) FS ;
+- FILLER_34_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 126540 ) FS ;
+- FILLER_34_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 126540 ) FS ;
+- FILLER_34_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 126540 ) FS ;
+- FILLER_34_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 126540 ) FS ;
+- FILLER_34_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 126540 ) FS ;
+- FILLER_34_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 126540 ) FS ;
+- FILLER_34_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 126540 ) FS ;
+- FILLER_34_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 126540 ) FS ;
+- FILLER_34_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 126540 ) FS ;
+- FILLER_34_477 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 234720 126540 ) FS ;
+- FILLER_34_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 126540 ) FS ;
+- FILLER_34_492 sky130_fd_sc_ls__decap_4 + PLACED ( 241920 126540 ) FS ;
+- FILLER_34_496 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 243840 126540 ) FS ;
+- FILLER_34_498 sky130_fd_sc_ls__fill_1 + PLACED ( 244800 126540 ) FS ;
+- FILLER_34_502 sky130_fd_sc_ls__decap_8 + PLACED ( 246720 126540 ) FS ;
+- FILLER_34_510 sky130_fd_sc_ls__decap_8 + PLACED ( 250560 126540 ) FS ;
+- FILLER_34_518 sky130_fd_sc_ls__decap_4 + PLACED ( 254400 126540 ) FS ;
+- FILLER_34_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 126540 ) FS ;
+- FILLER_34_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 126540 ) FS ;
+- FILLER_34_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 126540 ) FS ;
+- FILLER_34_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 126540 ) FS ;
+- FILLER_34_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 126540 ) FS ;
+- FILLER_34_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 126540 ) FS ;
+- FILLER_34_564 sky130_fd_sc_ls__decap_4 + PLACED ( 276480 126540 ) FS ;
+- FILLER_34_568 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 278400 126540 ) FS ;
+- FILLER_34_570 sky130_fd_sc_ls__fill_1 + PLACED ( 279360 126540 ) FS ;
+- FILLER_34_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 126540 ) FS ;
+- FILLER_34_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 126540 ) FS ;
+- FILLER_34_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 126540 ) FS ;
+- FILLER_34_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 126540 ) FS ;
+- FILLER_35_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 129870 ) N ;
+- FILLER_35_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 129870 ) N ;
+- FILLER_35_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 129870 ) N ;
+- FILLER_35_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 129870 ) N ;
+- FILLER_35_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 129870 ) N ;
+- FILLER_35_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 129870 ) N ;
+- FILLER_35_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 129870 ) N ;
+- FILLER_35_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 129870 ) N ;
+- FILLER_35_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 129870 ) N ;
+- FILLER_35_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 129870 ) N ;
+- FILLER_35_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 129870 ) N ;
+- FILLER_35_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 129870 ) N ;
+- FILLER_35_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 129870 ) N ;
+- FILLER_35_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 129870 ) N ;
+- FILLER_35_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 129870 ) N ;
+- FILLER_35_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 129870 ) N ;
+- FILLER_35_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 129870 ) N ;
+- FILLER_35_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 129870 ) N ;
+- FILLER_35_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 129870 ) N ;
+- FILLER_35_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 129870 ) N ;
+- FILLER_35_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 129870 ) N ;
+- FILLER_35_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 129870 ) N ;
+- FILLER_35_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 129870 ) N ;
+- FILLER_35_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 129870 ) N ;
+- FILLER_35_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 129870 ) N ;
+- FILLER_35_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 129870 ) N ;
+- FILLER_35_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 129870 ) N ;
+- FILLER_35_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 129870 ) N ;
+- FILLER_35_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 129870 ) N ;
+- FILLER_35_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 129870 ) N ;
+- FILLER_35_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 129870 ) N ;
+- FILLER_35_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 129870 ) N ;
+- FILLER_35_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 129870 ) N ;
+- FILLER_35_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 129870 ) N ;
+- FILLER_35_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 129870 ) N ;
+- FILLER_35_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 129870 ) N ;
+- FILLER_35_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 129870 ) N ;
+- FILLER_35_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 129870 ) N ;
+- FILLER_35_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 129870 ) N ;
+- FILLER_35_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 129870 ) N ;
+- FILLER_35_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 129870 ) N ;
+- FILLER_35_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 129870 ) N ;
+- FILLER_35_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 129870 ) N ;
+- FILLER_35_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 129870 ) N ;
+- FILLER_35_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 129870 ) N ;
+- FILLER_35_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 129870 ) N ;
+- FILLER_35_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 129870 ) N ;
+- FILLER_35_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 129870 ) N ;
+- FILLER_35_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 129870 ) N ;
+- FILLER_35_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 129870 ) N ;
+- FILLER_35_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 129870 ) N ;
+- FILLER_35_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 129870 ) N ;
+- FILLER_35_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 129870 ) N ;
+- FILLER_35_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 129870 ) N ;
+- FILLER_35_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 129870 ) N ;
+- FILLER_35_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 129870 ) N ;
+- FILLER_35_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 129870 ) N ;
+- FILLER_35_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 129870 ) N ;
+- FILLER_35_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 129870 ) N ;
+- FILLER_35_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 129870 ) N ;
+- FILLER_35_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 129870 ) N ;
+- FILLER_35_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 129870 ) N ;
+- FILLER_35_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 129870 ) N ;
+- FILLER_35_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 129870 ) N ;
+- FILLER_35_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 129870 ) N ;
+- FILLER_35_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 129870 ) N ;
+- FILLER_35_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 129870 ) N ;
+- FILLER_35_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 129870 ) N ;
+- FILLER_35_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 129870 ) N ;
+- FILLER_35_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 129870 ) N ;
+- FILLER_35_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 129870 ) N ;
+- FILLER_35_496 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 243840 129870 ) N ;
+- FILLER_35_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 129870 ) N ;
+- FILLER_35_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 129870 ) N ;
+- FILLER_35_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 129870 ) N ;
+- FILLER_35_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 129870 ) N ;
+- FILLER_35_535 sky130_fd_sc_ls__decap_8 + PLACED ( 262560 129870 ) N ;
+- FILLER_35_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 129870 ) N ;
+- FILLER_35_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 129870 ) N ;
+- FILLER_35_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 129870 ) N ;
+- FILLER_35_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 129870 ) N ;
+- FILLER_35_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 129870 ) N ;
+- FILLER_35_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 129870 ) N ;
+- FILLER_35_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 129870 ) N ;
+- FILLER_35_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 129870 ) N ;
+- FILLER_35_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 129870 ) N ;
+- FILLER_35_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 129870 ) N ;
+- FILLER_36_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 133200 ) FS ;
+- FILLER_36_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 133200 ) FS ;
+- FILLER_36_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 133200 ) FS ;
+- FILLER_36_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 133200 ) FS ;
+- FILLER_36_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 133200 ) FS ;
+- FILLER_36_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 133200 ) FS ;
+- FILLER_36_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 133200 ) FS ;
+- FILLER_36_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 133200 ) FS ;
+- FILLER_36_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 133200 ) FS ;
+- FILLER_36_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 133200 ) FS ;
+- FILLER_36_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 133200 ) FS ;
+- FILLER_36_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 133200 ) FS ;
+- FILLER_36_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 133200 ) FS ;
+- FILLER_36_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 133200 ) FS ;
+- FILLER_36_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 133200 ) FS ;
+- FILLER_36_113 sky130_fd_sc_ls__decap_8 + PLACED ( 60000 133200 ) FS ;
+- FILLER_36_121 sky130_fd_sc_ls__decap_8 + PLACED ( 63840 133200 ) FS ;
+- FILLER_36_129 sky130_fd_sc_ls__decap_8 + PLACED ( 67680 133200 ) FS ;
+- FILLER_36_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 133200 ) FS ;
+- FILLER_36_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 133200 ) FS ;
+- FILLER_36_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 133200 ) FS ;
+- FILLER_36_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 133200 ) FS ;
+- FILLER_36_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 133200 ) FS ;
+- FILLER_36_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 133200 ) FS ;
+- FILLER_36_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 133200 ) FS ;
+- FILLER_36_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 133200 ) FS ;
+- FILLER_36_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 133200 ) FS ;
+- FILLER_36_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 133200 ) FS ;
+- FILLER_36_202 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 102720 133200 ) FS ;
+- FILLER_36_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 133200 ) FS ;
+- FILLER_36_215 sky130_fd_sc_ls__decap_8 + PLACED ( 108960 133200 ) FS ;
+- FILLER_36_223 sky130_fd_sc_ls__decap_8 + PLACED ( 112800 133200 ) FS ;
+- FILLER_36_231 sky130_fd_sc_ls__decap_8 + PLACED ( 116640 133200 ) FS ;
+- FILLER_36_239 sky130_fd_sc_ls__decap_8 + PLACED ( 120480 133200 ) FS ;
+- FILLER_36_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 133200 ) FS ;
+- FILLER_36_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 133200 ) FS ;
+- FILLER_36_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 133200 ) FS ;
+- FILLER_36_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 133200 ) FS ;
+- FILLER_36_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 133200 ) FS ;
+- FILLER_36_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 133200 ) FS ;
+- FILLER_36_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 133200 ) FS ;
+- FILLER_36_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 133200 ) FS ;
+- FILLER_36_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 133200 ) FS ;
+- FILLER_36_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 133200 ) FS ;
+- FILLER_36_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 133200 ) FS ;
+- FILLER_36_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 133200 ) FS ;
+- FILLER_36_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 133200 ) FS ;
+- FILLER_36_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 133200 ) FS ;
+- FILLER_36_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 133200 ) FS ;
+- FILLER_36_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 133200 ) FS ;
+- FILLER_36_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 133200 ) FS ;
+- FILLER_36_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 133200 ) FS ;
+- FILLER_36_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 133200 ) FS ;
+- FILLER_36_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 133200 ) FS ;
+- FILLER_36_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 133200 ) FS ;
+- FILLER_36_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 133200 ) FS ;
+- FILLER_36_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 133200 ) FS ;
+- FILLER_36_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 133200 ) FS ;
+- FILLER_36_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 133200 ) FS ;
+- FILLER_36_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 133200 ) FS ;
+- FILLER_36_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 133200 ) FS ;
+- FILLER_36_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 133200 ) FS ;
+- FILLER_36_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 133200 ) FS ;
+- FILLER_36_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 133200 ) FS ;
+- FILLER_36_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 133200 ) FS ;
+- FILLER_36_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 133200 ) FS ;
+- FILLER_36_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 133200 ) FS ;
+- FILLER_36_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 133200 ) FS ;
+- FILLER_36_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 133200 ) FS ;
+- FILLER_36_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 133200 ) FS ;
+- FILLER_36_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 133200 ) FS ;
+- FILLER_36_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 133200 ) FS ;
+- FILLER_36_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 133200 ) FS ;
+- FILLER_36_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 133200 ) FS ;
+- FILLER_36_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 133200 ) FS ;
+- FILLER_36_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 133200 ) FS ;
+- FILLER_36_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 133200 ) FS ;
+- FILLER_36_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 133200 ) FS ;
+- FILLER_36_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 133200 ) FS ;
+- FILLER_36_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 133200 ) FS ;
+- FILLER_36_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 133200 ) FS ;
+- FILLER_36_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 133200 ) FS ;
+- FILLER_36_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 133200 ) FS ;
+- FILLER_36_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 133200 ) FS ;
+- FILLER_36_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 133200 ) FS ;
+- FILLER_36_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 133200 ) FS ;
+- FILLER_37_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 136530 ) N ;
+- FILLER_37_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 136530 ) N ;
+- FILLER_37_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 136530 ) N ;
+- FILLER_37_24 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 17280 136530 ) N ;
+- FILLER_37_31 sky130_fd_sc_ls__decap_8 + PLACED ( 20640 136530 ) N ;
+- FILLER_37_39 sky130_fd_sc_ls__decap_8 + PLACED ( 24480 136530 ) N ;
+- FILLER_37_47 sky130_fd_sc_ls__decap_8 + PLACED ( 28320 136530 ) N ;
+- FILLER_37_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 136530 ) N ;
+- FILLER_37_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 136530 ) N ;
+- FILLER_37_89 sky130_fd_sc_ls__decap_8 + PLACED ( 48480 136530 ) N ;
+- FILLER_37_97 sky130_fd_sc_ls__decap_8 + PLACED ( 52320 136530 ) N ;
+- FILLER_37_105 sky130_fd_sc_ls__decap_4 + PLACED ( 56160 136530 ) N ;
+- FILLER_37_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 136530 ) N ;
+- FILLER_37_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 136530 ) N ;
+- FILLER_37_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 136530 ) N ;
+- FILLER_37_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 136530 ) N ;
+- FILLER_37_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 136530 ) N ;
+- FILLER_37_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 136530 ) N ;
+- FILLER_37_151 sky130_fd_sc_ls__fill_1 + PLACED ( 78240 136530 ) N ;
+- FILLER_37_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 136530 ) N ;
+- FILLER_37_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 136530 ) N ;
+- FILLER_37_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 136530 ) N ;
+- FILLER_37_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 136530 ) N ;
+- FILLER_37_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 136530 ) N ;
+- FILLER_37_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 136530 ) N ;
+- FILLER_37_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 136530 ) N ;
+- FILLER_37_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 136530 ) N ;
+- FILLER_37_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 136530 ) N ;
+- FILLER_37_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 136530 ) N ;
+- FILLER_37_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 136530 ) N ;
+- FILLER_37_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 136530 ) N ;
+- FILLER_37_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 136530 ) N ;
+- FILLER_37_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 136530 ) N ;
+- FILLER_37_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 136530 ) N ;
+- FILLER_37_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 136530 ) N ;
+- FILLER_37_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 136530 ) N ;
+- FILLER_37_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 136530 ) N ;
+- FILLER_37_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 136530 ) N ;
+- FILLER_37_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 136530 ) N ;
+- FILLER_37_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 136530 ) N ;
+- FILLER_37_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 136530 ) N ;
+- FILLER_37_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 136530 ) N ;
+- FILLER_37_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 136530 ) N ;
+- FILLER_37_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 136530 ) N ;
+- FILLER_37_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 136530 ) N ;
+- FILLER_37_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 136530 ) N ;
+- FILLER_37_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 136530 ) N ;
+- FILLER_37_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 136530 ) N ;
+- FILLER_37_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 136530 ) N ;
+- FILLER_37_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 136530 ) N ;
+- FILLER_37_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 136530 ) N ;
+- FILLER_37_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 136530 ) N ;
+- FILLER_37_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 136530 ) N ;
+- FILLER_37_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 136530 ) N ;
+- FILLER_37_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 136530 ) N ;
+- FILLER_37_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 136530 ) N ;
+- FILLER_37_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 136530 ) N ;
+- FILLER_37_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 136530 ) N ;
+- FILLER_37_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 136530 ) N ;
+- FILLER_37_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 136530 ) N ;
+- FILLER_37_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 136530 ) N ;
+- FILLER_37_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 136530 ) N ;
+- FILLER_37_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 136530 ) N ;
+- FILLER_37_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 136530 ) N ;
+- FILLER_37_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 136530 ) N ;
+- FILLER_37_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 136530 ) N ;
+- FILLER_37_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 136530 ) N ;
+- FILLER_37_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 136530 ) N ;
+- FILLER_37_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 136530 ) N ;
+- FILLER_37_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 136530 ) N ;
+- FILLER_37_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 136530 ) N ;
+- FILLER_37_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 136530 ) N ;
+- FILLER_37_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 136530 ) N ;
+- FILLER_37_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 136530 ) N ;
+- FILLER_37_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 136530 ) N ;
+- FILLER_37_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 136530 ) N ;
+- FILLER_37_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 136530 ) N ;
+- FILLER_37_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 136530 ) N ;
+- FILLER_37_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 136530 ) N ;
+- FILLER_37_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 136530 ) N ;
+- FILLER_37_578 sky130_fd_sc_ls__decap_8 + PLACED ( 283200 136530 ) N ;
+- FILLER_37_586 sky130_fd_sc_ls__decap_8 + PLACED ( 287040 136530 ) N ;
+- FILLER_37_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 136530 ) N ;
+- FILLER_37_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 136530 ) N ;
+- FILLER_38_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 139860 ) FS ;
+- FILLER_38_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 139860 ) FS ;
+- FILLER_38_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 139860 ) FS ;
+- FILLER_38_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 139860 ) FS ;
+- FILLER_38_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 139860 ) FS ;
+- FILLER_38_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 139860 ) FS ;
+- FILLER_38_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 139860 ) FS ;
+- FILLER_38_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 139860 ) FS ;
+- FILLER_38_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 139860 ) FS ;
+- FILLER_38_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 139860 ) FS ;
+- FILLER_38_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 139860 ) FS ;
+- FILLER_38_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 139860 ) FS ;
+- FILLER_38_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 139860 ) FS ;
+- FILLER_38_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 139860 ) FS ;
+- FILLER_38_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 139860 ) FS ;
+- FILLER_38_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 139860 ) FS ;
+- FILLER_38_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 139860 ) FS ;
+- FILLER_38_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 139860 ) FS ;
+- FILLER_38_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 139860 ) FS ;
+- FILLER_38_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 139860 ) FS ;
+- FILLER_38_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 139860 ) FS ;
+- FILLER_38_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 139860 ) FS ;
+- FILLER_38_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 139860 ) FS ;
+- FILLER_38_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 139860 ) FS ;
+- FILLER_38_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 139860 ) FS ;
+- FILLER_38_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 139860 ) FS ;
+- FILLER_38_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 139860 ) FS ;
+- FILLER_38_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 139860 ) FS ;
+- FILLER_38_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 139860 ) FS ;
+- FILLER_38_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 139860 ) FS ;
+- FILLER_38_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 139860 ) FS ;
+- FILLER_38_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 139860 ) FS ;
+- FILLER_38_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 139860 ) FS ;
+- FILLER_38_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 139860 ) FS ;
+- FILLER_38_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 139860 ) FS ;
+- FILLER_38_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 139860 ) FS ;
+- FILLER_38_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 139860 ) FS ;
+- FILLER_38_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 139860 ) FS ;
+- FILLER_38_273 sky130_fd_sc_ls__decap_4 + PLACED ( 136800 139860 ) FS ;
+- FILLER_38_277 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138720 139860 ) FS ;
+- FILLER_38_279 sky130_fd_sc_ls__fill_1 + PLACED ( 139680 139860 ) FS ;
+- FILLER_38_285 sky130_fd_sc_ls__decap_8 + PLACED ( 142560 139860 ) FS ;
+- FILLER_38_293 sky130_fd_sc_ls__decap_8 + PLACED ( 146400 139860 ) FS ;
+- FILLER_38_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 139860 ) FS ;
+- FILLER_38_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 139860 ) FS ;
+- FILLER_38_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 139860 ) FS ;
+- FILLER_38_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 139860 ) FS ;
+- FILLER_38_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 139860 ) FS ;
+- FILLER_38_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 139860 ) FS ;
+- FILLER_38_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 139860 ) FS ;
+- FILLER_38_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 139860 ) FS ;
+- FILLER_38_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 139860 ) FS ;
+- FILLER_38_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 139860 ) FS ;
+- FILLER_38_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 139860 ) FS ;
+- FILLER_38_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 139860 ) FS ;
+- FILLER_38_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 139860 ) FS ;
+- FILLER_38_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 139860 ) FS ;
+- FILLER_38_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 139860 ) FS ;
+- FILLER_38_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 139860 ) FS ;
+- FILLER_38_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 139860 ) FS ;
+- FILLER_38_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 139860 ) FS ;
+- FILLER_38_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 139860 ) FS ;
+- FILLER_38_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 139860 ) FS ;
+- FILLER_38_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 139860 ) FS ;
+- FILLER_38_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 139860 ) FS ;
+- FILLER_38_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 139860 ) FS ;
+- FILLER_38_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 139860 ) FS ;
+- FILLER_38_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 139860 ) FS ;
+- FILLER_38_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 139860 ) FS ;
+- FILLER_38_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 139860 ) FS ;
+- FILLER_38_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 139860 ) FS ;
+- FILLER_38_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 139860 ) FS ;
+- FILLER_38_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 139860 ) FS ;
+- FILLER_38_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 139860 ) FS ;
+- FILLER_38_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 139860 ) FS ;
+- FILLER_38_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 139860 ) FS ;
+- FILLER_38_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 139860 ) FS ;
+- FILLER_38_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 139860 ) FS ;
+- FILLER_38_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 139860 ) FS ;
+- FILLER_38_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 139860 ) FS ;
+- FILLER_38_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 139860 ) FS ;
+- FILLER_38_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 139860 ) FS ;
+- FILLER_38_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 139860 ) FS ;
+- FILLER_38_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 139860 ) FS ;
+- FILLER_38_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 139860 ) FS ;
+- FILLER_38_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 139860 ) FS ;
+- FILLER_38_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 139860 ) FS ;
+- FILLER_39_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 143190 ) N ;
+- FILLER_39_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 143190 ) N ;
+- FILLER_39_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 143190 ) N ;
+- FILLER_39_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 143190 ) N ;
+- FILLER_39_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 143190 ) N ;
+- FILLER_39_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 143190 ) N ;
+- FILLER_39_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 143190 ) N ;
+- FILLER_39_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 143190 ) N ;
+- FILLER_39_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 143190 ) N ;
+- FILLER_39_89 sky130_fd_sc_ls__decap_4 + PLACED ( 48480 143190 ) N ;
+- FILLER_39_93 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 50400 143190 ) N ;
+- FILLER_39_95 sky130_fd_sc_ls__fill_1 + PLACED ( 51360 143190 ) N ;
+- FILLER_39_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 143190 ) N ;
+- FILLER_39_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 143190 ) N ;
+- FILLER_39_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 143190 ) N ;
+- FILLER_39_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 143190 ) N ;
+- FILLER_39_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 143190 ) N ;
+- FILLER_39_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 143190 ) N ;
+- FILLER_39_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 143190 ) N ;
+- FILLER_39_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 143190 ) N ;
+- FILLER_39_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 143190 ) N ;
+- FILLER_39_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 143190 ) N ;
+- FILLER_39_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 143190 ) N ;
+- FILLER_39_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 143190 ) N ;
+- FILLER_39_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 143190 ) N ;
+- FILLER_39_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 143190 ) N ;
+- FILLER_39_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 143190 ) N ;
+- FILLER_39_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 143190 ) N ;
+- FILLER_39_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 143190 ) N ;
+- FILLER_39_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 143190 ) N ;
+- FILLER_39_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 143190 ) N ;
+- FILLER_39_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 143190 ) N ;
+- FILLER_39_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 143190 ) N ;
+- FILLER_39_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 143190 ) N ;
+- FILLER_39_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 143190 ) N ;
+- FILLER_39_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 143190 ) N ;
+- FILLER_39_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 143190 ) N ;
+- FILLER_39_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 143190 ) N ;
+- FILLER_39_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 143190 ) N ;
+- FILLER_39_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 143190 ) N ;
+- FILLER_39_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 143190 ) N ;
+- FILLER_39_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 143190 ) N ;
+- FILLER_39_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 143190 ) N ;
+- FILLER_39_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 143190 ) N ;
+- FILLER_39_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 143190 ) N ;
+- FILLER_39_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 143190 ) N ;
+- FILLER_39_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 143190 ) N ;
+- FILLER_39_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 143190 ) N ;
+- FILLER_39_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 143190 ) N ;
+- FILLER_39_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 143190 ) N ;
+- FILLER_39_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 143190 ) N ;
+- FILLER_39_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 143190 ) N ;
+- FILLER_39_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 143190 ) N ;
+- FILLER_39_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 143190 ) N ;
+- FILLER_39_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 143190 ) N ;
+- FILLER_39_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 143190 ) N ;
+- FILLER_39_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 143190 ) N ;
+- FILLER_39_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 143190 ) N ;
+- FILLER_39_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 143190 ) N ;
+- FILLER_39_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 143190 ) N ;
+- FILLER_39_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 143190 ) N ;
+- FILLER_39_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 143190 ) N ;
+- FILLER_39_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 143190 ) N ;
+- FILLER_39_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 143190 ) N ;
+- FILLER_39_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 143190 ) N ;
+- FILLER_39_461 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 227040 143190 ) N ;
+- FILLER_39_466 sky130_fd_sc_ls__decap_8 + PLACED ( 229440 143190 ) N ;
+- FILLER_39_474 sky130_fd_sc_ls__decap_8 + PLACED ( 233280 143190 ) N ;
+- FILLER_39_482 sky130_fd_sc_ls__decap_8 + PLACED ( 237120 143190 ) N ;
+- FILLER_39_490 sky130_fd_sc_ls__decap_4 + PLACED ( 240960 143190 ) N ;
+- FILLER_39_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 143190 ) N ;
+- FILLER_39_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 143190 ) N ;
+- FILLER_39_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 143190 ) N ;
+- FILLER_39_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 143190 ) N ;
+- FILLER_39_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 143190 ) N ;
+- FILLER_39_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 143190 ) N ;
+- FILLER_39_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 143190 ) N ;
+- FILLER_39_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 143190 ) N ;
+- FILLER_39_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 143190 ) N ;
+- FILLER_39_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 143190 ) N ;
+- FILLER_39_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 143190 ) N ;
+- FILLER_39_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 143190 ) N ;
+- FILLER_39_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 143190 ) N ;
+- FILLER_39_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 143190 ) N ;
+- FILLER_39_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 143190 ) N ;
+- FILLER_39_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 143190 ) N ;
+- FILLER_40_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 146520 ) FS ;
+- FILLER_40_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 146520 ) FS ;
+- FILLER_40_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 146520 ) FS ;
+- FILLER_40_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 146520 ) FS ;
+- FILLER_40_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 146520 ) FS ;
+- FILLER_40_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 146520 ) FS ;
+- FILLER_40_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 146520 ) FS ;
+- FILLER_40_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 146520 ) FS ;
+- FILLER_40_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 146520 ) FS ;
+- FILLER_40_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 146520 ) FS ;
+- FILLER_40_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 146520 ) FS ;
+- FILLER_40_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 146520 ) FS ;
+- FILLER_40_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 146520 ) FS ;
+- FILLER_40_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 146520 ) FS ;
+- FILLER_40_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 146520 ) FS ;
+- FILLER_40_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 146520 ) FS ;
+- FILLER_40_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 146520 ) FS ;
+- FILLER_40_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 146520 ) FS ;
+- FILLER_40_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 146520 ) FS ;
+- FILLER_40_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 146520 ) FS ;
+- FILLER_40_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 146520 ) FS ;
+- FILLER_40_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 146520 ) FS ;
+- FILLER_40_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 146520 ) FS ;
+- FILLER_40_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 146520 ) FS ;
+- FILLER_40_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 146520 ) FS ;
+- FILLER_40_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 146520 ) FS ;
+- FILLER_40_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 146520 ) FS ;
+- FILLER_40_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 146520 ) FS ;
+- FILLER_40_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 146520 ) FS ;
+- FILLER_40_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 146520 ) FS ;
+- FILLER_40_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 146520 ) FS ;
+- FILLER_40_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 146520 ) FS ;
+- FILLER_40_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 146520 ) FS ;
+- FILLER_40_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 146520 ) FS ;
+- FILLER_40_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 146520 ) FS ;
+- FILLER_40_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 146520 ) FS ;
+- FILLER_40_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 146520 ) FS ;
+- FILLER_40_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 146520 ) FS ;
+- FILLER_40_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 146520 ) FS ;
+- FILLER_40_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 146520 ) FS ;
+- FILLER_40_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 146520 ) FS ;
+- FILLER_40_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 146520 ) FS ;
+- FILLER_40_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 146520 ) FS ;
+- FILLER_40_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 146520 ) FS ;
+- FILLER_40_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 146520 ) FS ;
+- FILLER_40_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 146520 ) FS ;
+- FILLER_40_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 146520 ) FS ;
+- FILLER_40_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 146520 ) FS ;
+- FILLER_40_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 146520 ) FS ;
+- FILLER_40_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 146520 ) FS ;
+- FILLER_40_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 146520 ) FS ;
+- FILLER_40_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 146520 ) FS ;
+- FILLER_40_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 146520 ) FS ;
+- FILLER_40_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 146520 ) FS ;
+- FILLER_40_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 146520 ) FS ;
+- FILLER_40_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 146520 ) FS ;
+- FILLER_40_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 146520 ) FS ;
+- FILLER_40_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 146520 ) FS ;
+- FILLER_40_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 146520 ) FS ;
+- FILLER_40_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 146520 ) FS ;
+- FILLER_40_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 146520 ) FS ;
+- FILLER_40_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 146520 ) FS ;
+- FILLER_40_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 146520 ) FS ;
+- FILLER_40_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 146520 ) FS ;
+- FILLER_40_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 146520 ) FS ;
+- FILLER_40_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 146520 ) FS ;
+- FILLER_40_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 146520 ) FS ;
+- FILLER_40_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 146520 ) FS ;
+- FILLER_40_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 146520 ) FS ;
+- FILLER_40_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 146520 ) FS ;
+- FILLER_40_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 146520 ) FS ;
+- FILLER_40_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 146520 ) FS ;
+- FILLER_40_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 146520 ) FS ;
+- FILLER_40_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 146520 ) FS ;
+- FILLER_40_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 146520 ) FS ;
+- FILLER_40_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 146520 ) FS ;
+- FILLER_40_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 146520 ) FS ;
+- FILLER_40_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 146520 ) FS ;
+- FILLER_40_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 146520 ) FS ;
+- FILLER_40_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 146520 ) FS ;
+- FILLER_40_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 146520 ) FS ;
+- FILLER_40_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 146520 ) FS ;
+- FILLER_40_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 146520 ) FS ;
+- FILLER_40_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 146520 ) FS ;
+- FILLER_40_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 146520 ) FS ;
+- FILLER_40_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 146520 ) FS ;
+- FILLER_41_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 149850 ) N ;
+- FILLER_41_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 149850 ) N ;
+- FILLER_41_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 149850 ) N ;
+- FILLER_41_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 149850 ) N ;
+- FILLER_41_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 149850 ) N ;
+- FILLER_41_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 149850 ) N ;
+- FILLER_41_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 149850 ) N ;
+- FILLER_41_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 149850 ) N ;
+- FILLER_41_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 149850 ) N ;
+- FILLER_41_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 149850 ) N ;
+- FILLER_41_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 149850 ) N ;
+- FILLER_41_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 149850 ) N ;
+- FILLER_41_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 149850 ) N ;
+- FILLER_41_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 149850 ) N ;
+- FILLER_41_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 149850 ) N ;
+- FILLER_41_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 149850 ) N ;
+- FILLER_41_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 149850 ) N ;
+- FILLER_41_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 149850 ) N ;
+- FILLER_41_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 149850 ) N ;
+- FILLER_41_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 149850 ) N ;
+- FILLER_41_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 149850 ) N ;
+- FILLER_41_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 149850 ) N ;
+- FILLER_41_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 149850 ) N ;
+- FILLER_41_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 149850 ) N ;
+- FILLER_41_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 149850 ) N ;
+- FILLER_41_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 149850 ) N ;
+- FILLER_41_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 149850 ) N ;
+- FILLER_41_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 149850 ) N ;
+- FILLER_41_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 149850 ) N ;
+- FILLER_41_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 149850 ) N ;
+- FILLER_41_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 149850 ) N ;
+- FILLER_41_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 149850 ) N ;
+- FILLER_41_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 149850 ) N ;
+- FILLER_41_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 149850 ) N ;
+- FILLER_41_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 149850 ) N ;
+- FILLER_41_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 149850 ) N ;
+- FILLER_41_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 149850 ) N ;
+- FILLER_41_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 149850 ) N ;
+- FILLER_41_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 149850 ) N ;
+- FILLER_41_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 149850 ) N ;
+- FILLER_41_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 149850 ) N ;
+- FILLER_41_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 149850 ) N ;
+- FILLER_41_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 149850 ) N ;
+- FILLER_41_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 149850 ) N ;
+- FILLER_41_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 149850 ) N ;
+- FILLER_41_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 149850 ) N ;
+- FILLER_41_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 149850 ) N ;
+- FILLER_41_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 149850 ) N ;
+- FILLER_41_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 149850 ) N ;
+- FILLER_41_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 149850 ) N ;
+- FILLER_41_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 149850 ) N ;
+- FILLER_41_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 149850 ) N ;
+- FILLER_41_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 149850 ) N ;
+- FILLER_41_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 149850 ) N ;
+- FILLER_41_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 149850 ) N ;
+- FILLER_41_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 149850 ) N ;
+- FILLER_41_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 149850 ) N ;
+- FILLER_41_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 149850 ) N ;
+- FILLER_41_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 149850 ) N ;
+- FILLER_41_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 149850 ) N ;
+- FILLER_41_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 149850 ) N ;
+- FILLER_41_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 149850 ) N ;
+- FILLER_41_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 149850 ) N ;
+- FILLER_41_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 149850 ) N ;
+- FILLER_41_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 149850 ) N ;
+- FILLER_41_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 149850 ) N ;
+- FILLER_41_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 149850 ) N ;
+- FILLER_41_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 149850 ) N ;
+- FILLER_41_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 149850 ) N ;
+- FILLER_41_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 149850 ) N ;
+- FILLER_41_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 149850 ) N ;
+- FILLER_41_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 149850 ) N ;
+- FILLER_41_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 149850 ) N ;
+- FILLER_41_500 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 245760 149850 ) N ;
+- FILLER_41_502 sky130_fd_sc_ls__fill_1 + PLACED ( 246720 149850 ) N ;
+- FILLER_41_506 sky130_fd_sc_ls__decap_8 + PLACED ( 248640 149850 ) N ;
+- FILLER_41_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 149850 ) N ;
+- FILLER_41_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 149850 ) N ;
+- FILLER_41_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 149850 ) N ;
+- FILLER_41_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 149850 ) N ;
+- FILLER_41_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 149850 ) N ;
+- FILLER_41_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 149850 ) N ;
+- FILLER_41_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 149850 ) N ;
+- FILLER_41_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 149850 ) N ;
+- FILLER_41_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 149850 ) N ;
+- FILLER_41_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 149850 ) N ;
+- FILLER_41_587 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 287520 149850 ) N ;
+- FILLER_41_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 149850 ) N ;
+- FILLER_42_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 153180 ) FS ;
+- FILLER_42_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 153180 ) FS ;
+- FILLER_42_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 153180 ) FS ;
+- FILLER_42_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 153180 ) FS ;
+- FILLER_42_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 153180 ) FS ;
+- FILLER_42_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 153180 ) FS ;
+- FILLER_42_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 153180 ) FS ;
+- FILLER_42_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 153180 ) FS ;
+- FILLER_42_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 153180 ) FS ;
+- FILLER_42_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 153180 ) FS ;
+- FILLER_42_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 153180 ) FS ;
+- FILLER_42_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 153180 ) FS ;
+- FILLER_42_91 sky130_fd_sc_ls__decap_4 + PLACED ( 49440 153180 ) FS ;
+- FILLER_42_95 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 51360 153180 ) FS ;
+- FILLER_42_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 153180 ) FS ;
+- FILLER_42_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 153180 ) FS ;
+- FILLER_42_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 153180 ) FS ;
+- FILLER_42_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 153180 ) FS ;
+- FILLER_42_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 153180 ) FS ;
+- FILLER_42_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 153180 ) FS ;
+- FILLER_42_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 153180 ) FS ;
+- FILLER_42_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 153180 ) FS ;
+- FILLER_42_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 153180 ) FS ;
+- FILLER_42_163 sky130_fd_sc_ls__decap_4 + PLACED ( 84000 153180 ) FS ;
+- FILLER_42_167 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85920 153180 ) FS ;
+- FILLER_42_169 sky130_fd_sc_ls__fill_1 + PLACED ( 86880 153180 ) FS ;
+- FILLER_42_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 153180 ) FS ;
+- FILLER_42_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 153180 ) FS ;
+- FILLER_42_189 sky130_fd_sc_ls__decap_4 + PLACED ( 96480 153180 ) FS ;
+- FILLER_42_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 153180 ) FS ;
+- FILLER_42_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 153180 ) FS ;
+- FILLER_42_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 153180 ) FS ;
+- FILLER_42_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 153180 ) FS ;
+- FILLER_42_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 153180 ) FS ;
+- FILLER_42_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 153180 ) FS ;
+- FILLER_42_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 153180 ) FS ;
+- FILLER_42_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 153180 ) FS ;
+- FILLER_42_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 153180 ) FS ;
+- FILLER_42_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 153180 ) FS ;
+- FILLER_42_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 153180 ) FS ;
+- FILLER_42_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 153180 ) FS ;
+- FILLER_42_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 153180 ) FS ;
+- FILLER_42_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 153180 ) FS ;
+- FILLER_42_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 153180 ) FS ;
+- FILLER_42_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 153180 ) FS ;
+- FILLER_42_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 153180 ) FS ;
+- FILLER_42_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 153180 ) FS ;
+- FILLER_42_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 153180 ) FS ;
+- FILLER_42_323 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 160800 153180 ) FS ;
+- FILLER_42_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 153180 ) FS ;
+- FILLER_42_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 153180 ) FS ;
+- FILLER_42_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 153180 ) FS ;
+- FILLER_42_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 153180 ) FS ;
+- FILLER_42_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 153180 ) FS ;
+- FILLER_42_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 153180 ) FS ;
+- FILLER_42_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 153180 ) FS ;
+- FILLER_42_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 153180 ) FS ;
+- FILLER_42_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 153180 ) FS ;
+- FILLER_42_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 153180 ) FS ;
+- FILLER_42_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 153180 ) FS ;
+- FILLER_42_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 153180 ) FS ;
+- FILLER_42_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 153180 ) FS ;
+- FILLER_42_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 153180 ) FS ;
+- FILLER_42_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 153180 ) FS ;
+- FILLER_42_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 153180 ) FS ;
+- FILLER_42_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 153180 ) FS ;
+- FILLER_42_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 153180 ) FS ;
+- FILLER_42_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 153180 ) FS ;
+- FILLER_42_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 153180 ) FS ;
+- FILLER_42_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 153180 ) FS ;
+- FILLER_42_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 153180 ) FS ;
+- FILLER_42_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 153180 ) FS ;
+- FILLER_42_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 153180 ) FS ;
+- FILLER_42_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 153180 ) FS ;
+- FILLER_42_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 153180 ) FS ;
+- FILLER_42_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 153180 ) FS ;
+- FILLER_42_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 153180 ) FS ;
+- FILLER_42_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 153180 ) FS ;
+- FILLER_42_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 153180 ) FS ;
+- FILLER_42_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 153180 ) FS ;
+- FILLER_42_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 153180 ) FS ;
+- FILLER_42_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 153180 ) FS ;
+- FILLER_42_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 153180 ) FS ;
+- FILLER_42_564 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 276480 153180 ) FS ;
+- FILLER_42_569 sky130_fd_sc_ls__decap_8 + PLACED ( 278880 153180 ) FS ;
+- FILLER_42_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 153180 ) FS ;
+- FILLER_42_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 153180 ) FS ;
+- FILLER_42_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 153180 ) FS ;
+- FILLER_42_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 153180 ) FS ;
+- FILLER_43_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 156510 ) N ;
+- FILLER_43_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 156510 ) N ;
+- FILLER_43_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 156510 ) N ;
+- FILLER_43_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 156510 ) N ;
+- FILLER_43_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 156510 ) N ;
+- FILLER_43_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 156510 ) N ;
+- FILLER_43_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 156510 ) N ;
+- FILLER_43_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 156510 ) N ;
+- FILLER_43_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 156510 ) N ;
+- FILLER_43_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 156510 ) N ;
+- FILLER_43_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 156510 ) N ;
+- FILLER_43_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 156510 ) N ;
+- FILLER_43_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 156510 ) N ;
+- FILLER_43_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 156510 ) N ;
+- FILLER_43_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 156510 ) N ;
+- FILLER_43_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 156510 ) N ;
+- FILLER_43_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 156510 ) N ;
+- FILLER_43_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 156510 ) N ;
+- FILLER_43_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 156510 ) N ;
+- FILLER_43_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 156510 ) N ;
+- FILLER_43_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 156510 ) N ;
+- FILLER_43_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 156510 ) N ;
+- FILLER_43_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 156510 ) N ;
+- FILLER_43_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 156510 ) N ;
+- FILLER_43_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 156510 ) N ;
+- FILLER_43_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 156510 ) N ;
+- FILLER_43_182 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 93120 156510 ) N ;
+- FILLER_43_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 156510 ) N ;
+- FILLER_43_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 156510 ) N ;
+- FILLER_43_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 156510 ) N ;
+- FILLER_43_211 sky130_fd_sc_ls__decap_8 + PLACED ( 107040 156510 ) N ;
+- FILLER_43_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 156510 ) N ;
+- FILLER_43_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 156510 ) N ;
+- FILLER_43_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 156510 ) N ;
+- FILLER_43_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 156510 ) N ;
+- FILLER_43_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 156510 ) N ;
+- FILLER_43_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 156510 ) N ;
+- FILLER_43_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 156510 ) N ;
+- FILLER_43_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 156510 ) N ;
+- FILLER_43_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 156510 ) N ;
+- FILLER_43_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 156510 ) N ;
+- FILLER_43_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 156510 ) N ;
+- FILLER_43_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 156510 ) N ;
+- FILLER_43_296 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 147840 156510 ) N ;
+- FILLER_43_301 sky130_fd_sc_ls__decap_8 + PLACED ( 150240 156510 ) N ;
+- FILLER_43_309 sky130_fd_sc_ls__decap_8 + PLACED ( 154080 156510 ) N ;
+- FILLER_43_317 sky130_fd_sc_ls__decap_4 + PLACED ( 157920 156510 ) N ;
+- FILLER_43_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 156510 ) N ;
+- FILLER_43_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 156510 ) N ;
+- FILLER_43_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 156510 ) N ;
+- FILLER_43_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 156510 ) N ;
+- FILLER_43_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 156510 ) N ;
+- FILLER_43_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 156510 ) N ;
+- FILLER_43_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 156510 ) N ;
+- FILLER_43_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 156510 ) N ;
+- FILLER_43_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 156510 ) N ;
+- FILLER_43_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 156510 ) N ;
+- FILLER_43_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 156510 ) N ;
+- FILLER_43_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 156510 ) N ;
+- FILLER_43_402 sky130_fd_sc_ls__decap_4 + PLACED ( 198720 156510 ) N ;
+- FILLER_43_406 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 200640 156510 ) N ;
+- FILLER_43_408 sky130_fd_sc_ls__fill_1 + PLACED ( 201600 156510 ) N ;
+- FILLER_43_412 sky130_fd_sc_ls__decap_8 + PLACED ( 203520 156510 ) N ;
+- FILLER_43_420 sky130_fd_sc_ls__decap_8 + PLACED ( 207360 156510 ) N ;
+- FILLER_43_428 sky130_fd_sc_ls__decap_8 + PLACED ( 211200 156510 ) N ;
+- FILLER_43_436 sky130_fd_sc_ls__decap_4 + PLACED ( 215040 156510 ) N ;
+- FILLER_43_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 156510 ) N ;
+- FILLER_43_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 156510 ) N ;
+- FILLER_43_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 156510 ) N ;
+- FILLER_43_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 156510 ) N ;
+- FILLER_43_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 156510 ) N ;
+- FILLER_43_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 156510 ) N ;
+- FILLER_43_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 156510 ) N ;
+- FILLER_43_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 156510 ) N ;
+- FILLER_43_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 156510 ) N ;
+- FILLER_43_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 156510 ) N ;
+- FILLER_43_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 156510 ) N ;
+- FILLER_43_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 156510 ) N ;
+- FILLER_43_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 156510 ) N ;
+- FILLER_43_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 156510 ) N ;
+- FILLER_43_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 156510 ) N ;
+- FILLER_43_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 156510 ) N ;
+- FILLER_43_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 156510 ) N ;
+- FILLER_43_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 156510 ) N ;
+- FILLER_43_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 156510 ) N ;
+- FILLER_43_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 156510 ) N ;
+- FILLER_43_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 156510 ) N ;
+- FILLER_43_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 156510 ) N ;
+- FILLER_43_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 156510 ) N ;
+- FILLER_44_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 159840 ) FS ;
+- FILLER_44_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 159840 ) FS ;
+- FILLER_44_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 159840 ) FS ;
+- FILLER_44_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 159840 ) FS ;
+- FILLER_44_37 sky130_fd_sc_ls__fill_1 + PLACED ( 23520 159840 ) FS ;
+- FILLER_44_41 sky130_fd_sc_ls__decap_8 + PLACED ( 25440 159840 ) FS ;
+- FILLER_44_49 sky130_fd_sc_ls__decap_8 + PLACED ( 29280 159840 ) FS ;
+- FILLER_44_57 sky130_fd_sc_ls__decap_8 + PLACED ( 33120 159840 ) FS ;
+- FILLER_44_65 sky130_fd_sc_ls__decap_8 + PLACED ( 36960 159840 ) FS ;
+- FILLER_44_73 sky130_fd_sc_ls__decap_8 + PLACED ( 40800 159840 ) FS ;
+- FILLER_44_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 159840 ) FS ;
+- FILLER_44_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 159840 ) FS ;
+- FILLER_44_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 159840 ) FS ;
+- FILLER_44_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 159840 ) FS ;
+- FILLER_44_108 sky130_fd_sc_ls__decap_4 + PLACED ( 57600 159840 ) FS ;
+- FILLER_44_112 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 59520 159840 ) FS ;
+- FILLER_44_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 159840 ) FS ;
+- FILLER_44_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 159840 ) FS ;
+- FILLER_44_133 sky130_fd_sc_ls__decap_4 + PLACED ( 69600 159840 ) FS ;
+- FILLER_44_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 159840 ) FS ;
+- FILLER_44_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 159840 ) FS ;
+- FILLER_44_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 159840 ) FS ;
+- FILLER_44_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 159840 ) FS ;
+- FILLER_44_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 159840 ) FS ;
+- FILLER_44_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 159840 ) FS ;
+- FILLER_44_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 159840 ) FS ;
+- FILLER_44_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 159840 ) FS ;
+- FILLER_44_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 159840 ) FS ;
+- FILLER_44_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 159840 ) FS ;
+- FILLER_44_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 159840 ) FS ;
+- FILLER_44_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 159840 ) FS ;
+- FILLER_44_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 159840 ) FS ;
+- FILLER_44_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 159840 ) FS ;
+- FILLER_44_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 159840 ) FS ;
+- FILLER_44_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 159840 ) FS ;
+- FILLER_44_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 159840 ) FS ;
+- FILLER_44_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 159840 ) FS ;
+- FILLER_44_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 159840 ) FS ;
+- FILLER_44_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 159840 ) FS ;
+- FILLER_44_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 159840 ) FS ;
+- FILLER_44_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 159840 ) FS ;
+- FILLER_44_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 159840 ) FS ;
+- FILLER_44_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 159840 ) FS ;
+- FILLER_44_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 159840 ) FS ;
+- FILLER_44_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 159840 ) FS ;
+- FILLER_44_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 159840 ) FS ;
+- FILLER_44_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 159840 ) FS ;
+- FILLER_44_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 159840 ) FS ;
+- FILLER_44_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 159840 ) FS ;
+- FILLER_44_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 159840 ) FS ;
+- FILLER_44_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 159840 ) FS ;
+- FILLER_44_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 159840 ) FS ;
+- FILLER_44_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 159840 ) FS ;
+- FILLER_44_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 159840 ) FS ;
+- FILLER_44_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 159840 ) FS ;
+- FILLER_44_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 159840 ) FS ;
+- FILLER_44_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 159840 ) FS ;
+- FILLER_44_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 159840 ) FS ;
+- FILLER_44_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 159840 ) FS ;
+- FILLER_44_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 159840 ) FS ;
+- FILLER_44_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 159840 ) FS ;
+- FILLER_44_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 159840 ) FS ;
+- FILLER_44_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 159840 ) FS ;
+- FILLER_44_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 159840 ) FS ;
+- FILLER_44_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 159840 ) FS ;
+- FILLER_44_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 159840 ) FS ;
+- FILLER_44_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 159840 ) FS ;
+- FILLER_44_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 159840 ) FS ;
+- FILLER_44_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 159840 ) FS ;
+- FILLER_44_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 159840 ) FS ;
+- FILLER_44_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 159840 ) FS ;
+- FILLER_44_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 159840 ) FS ;
+- FILLER_44_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 159840 ) FS ;
+- FILLER_44_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 159840 ) FS ;
+- FILLER_44_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 159840 ) FS ;
+- FILLER_44_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 159840 ) FS ;
+- FILLER_44_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 159840 ) FS ;
+- FILLER_44_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 159840 ) FS ;
+- FILLER_44_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 159840 ) FS ;
+- FILLER_44_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 159840 ) FS ;
+- FILLER_44_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 159840 ) FS ;
+- FILLER_44_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 159840 ) FS ;
+- FILLER_44_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 159840 ) FS ;
+- FILLER_44_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 159840 ) FS ;
+- FILLER_44_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 159840 ) FS ;
+- FILLER_44_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 159840 ) FS ;
+- FILLER_44_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 159840 ) FS ;
+- FILLER_45_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 163170 ) N ;
+- FILLER_45_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 163170 ) N ;
+- FILLER_45_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 163170 ) N ;
+- FILLER_45_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 163170 ) N ;
+- FILLER_45_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 163170 ) N ;
+- FILLER_45_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 163170 ) N ;
+- FILLER_45_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 163170 ) N ;
+- FILLER_45_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 163170 ) N ;
+- FILLER_45_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 163170 ) N ;
+- FILLER_45_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 163170 ) N ;
+- FILLER_45_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 163170 ) N ;
+- FILLER_45_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 163170 ) N ;
+- FILLER_45_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 163170 ) N ;
+- FILLER_45_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 163170 ) N ;
+- FILLER_45_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 163170 ) N ;
+- FILLER_45_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 163170 ) N ;
+- FILLER_45_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 163170 ) N ;
+- FILLER_45_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 163170 ) N ;
+- FILLER_45_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 163170 ) N ;
+- FILLER_45_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 163170 ) N ;
+- FILLER_45_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 163170 ) N ;
+- FILLER_45_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 163170 ) N ;
+- FILLER_45_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 163170 ) N ;
+- FILLER_45_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 163170 ) N ;
+- FILLER_45_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 163170 ) N ;
+- FILLER_45_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 163170 ) N ;
+- FILLER_45_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 163170 ) N ;
+- FILLER_45_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 163170 ) N ;
+- FILLER_45_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 163170 ) N ;
+- FILLER_45_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 163170 ) N ;
+- FILLER_45_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 163170 ) N ;
+- FILLER_45_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 163170 ) N ;
+- FILLER_45_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 163170 ) N ;
+- FILLER_45_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 163170 ) N ;
+- FILLER_45_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 163170 ) N ;
+- FILLER_45_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 163170 ) N ;
+- FILLER_45_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 163170 ) N ;
+- FILLER_45_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 163170 ) N ;
+- FILLER_45_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 163170 ) N ;
+- FILLER_45_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 163170 ) N ;
+- FILLER_45_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 163170 ) N ;
+- FILLER_45_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 163170 ) N ;
+- FILLER_45_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 163170 ) N ;
+- FILLER_45_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 163170 ) N ;
+- FILLER_45_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 163170 ) N ;
+- FILLER_45_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 163170 ) N ;
+- FILLER_45_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 163170 ) N ;
+- FILLER_45_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 163170 ) N ;
+- FILLER_45_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 163170 ) N ;
+- FILLER_45_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 163170 ) N ;
+- FILLER_45_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 163170 ) N ;
+- FILLER_45_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 163170 ) N ;
+- FILLER_45_363 sky130_fd_sc_ls__fill_1 + PLACED ( 180000 163170 ) N ;
+- FILLER_45_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 163170 ) N ;
+- FILLER_45_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 163170 ) N ;
+- FILLER_45_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 163170 ) N ;
+- FILLER_45_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 163170 ) N ;
+- FILLER_45_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 163170 ) N ;
+- FILLER_45_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 163170 ) N ;
+- FILLER_45_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 163170 ) N ;
+- FILLER_45_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 163170 ) N ;
+- FILLER_45_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 163170 ) N ;
+- FILLER_45_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 163170 ) N ;
+- FILLER_45_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 163170 ) N ;
+- FILLER_45_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 163170 ) N ;
+- FILLER_45_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 163170 ) N ;
+- FILLER_45_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 163170 ) N ;
+- FILLER_45_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 163170 ) N ;
+- FILLER_45_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 163170 ) N ;
+- FILLER_45_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 163170 ) N ;
+- FILLER_45_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 163170 ) N ;
+- FILLER_45_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 163170 ) N ;
+- FILLER_45_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 163170 ) N ;
+- FILLER_45_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 163170 ) N ;
+- FILLER_45_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 163170 ) N ;
+- FILLER_45_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 163170 ) N ;
+- FILLER_45_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 163170 ) N ;
+- FILLER_45_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 163170 ) N ;
+- FILLER_45_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 163170 ) N ;
+- FILLER_45_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 163170 ) N ;
+- FILLER_45_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 163170 ) N ;
+- FILLER_45_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 163170 ) N ;
+- FILLER_45_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 163170 ) N ;
+- FILLER_45_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 163170 ) N ;
+- FILLER_45_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 163170 ) N ;
+- FILLER_45_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 163170 ) N ;
+- FILLER_45_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 163170 ) N ;
+- FILLER_46_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 166500 ) FS ;
+- FILLER_46_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 166500 ) FS ;
+- FILLER_46_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 166500 ) FS ;
+- FILLER_46_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 166500 ) FS ;
+- FILLER_46_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 166500 ) FS ;
+- FILLER_46_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 166500 ) FS ;
+- FILLER_46_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 166500 ) FS ;
+- FILLER_46_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 166500 ) FS ;
+- FILLER_46_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 166500 ) FS ;
+- FILLER_46_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 166500 ) FS ;
+- FILLER_46_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 166500 ) FS ;
+- FILLER_46_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 166500 ) FS ;
+- FILLER_46_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 166500 ) FS ;
+- FILLER_46_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 166500 ) FS ;
+- FILLER_46_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 166500 ) FS ;
+- FILLER_46_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 166500 ) FS ;
+- FILLER_46_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 166500 ) FS ;
+- FILLER_46_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 166500 ) FS ;
+- FILLER_46_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 166500 ) FS ;
+- FILLER_46_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 166500 ) FS ;
+- FILLER_46_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 166500 ) FS ;
+- FILLER_46_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 166500 ) FS ;
+- FILLER_46_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 166500 ) FS ;
+- FILLER_46_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 166500 ) FS ;
+- FILLER_46_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 166500 ) FS ;
+- FILLER_46_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 166500 ) FS ;
+- FILLER_46_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 166500 ) FS ;
+- FILLER_46_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 166500 ) FS ;
+- FILLER_46_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 166500 ) FS ;
+- FILLER_46_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 166500 ) FS ;
+- FILLER_46_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 166500 ) FS ;
+- FILLER_46_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 166500 ) FS ;
+- FILLER_46_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 166500 ) FS ;
+- FILLER_46_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 166500 ) FS ;
+- FILLER_46_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 166500 ) FS ;
+- FILLER_46_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 166500 ) FS ;
+- FILLER_46_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 166500 ) FS ;
+- FILLER_46_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 166500 ) FS ;
+- FILLER_46_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 166500 ) FS ;
+- FILLER_46_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 166500 ) FS ;
+- FILLER_46_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 166500 ) FS ;
+- FILLER_46_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 166500 ) FS ;
+- FILLER_46_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 166500 ) FS ;
+- FILLER_46_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 166500 ) FS ;
+- FILLER_46_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 166500 ) FS ;
+- FILLER_46_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 166500 ) FS ;
+- FILLER_46_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 166500 ) FS ;
+- FILLER_46_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 166500 ) FS ;
+- FILLER_46_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 166500 ) FS ;
+- FILLER_46_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 166500 ) FS ;
+- FILLER_46_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 166500 ) FS ;
+- FILLER_46_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 166500 ) FS ;
+- FILLER_46_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 166500 ) FS ;
+- FILLER_46_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 166500 ) FS ;
+- FILLER_46_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 166500 ) FS ;
+- FILLER_46_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 166500 ) FS ;
+- FILLER_46_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 166500 ) FS ;
+- FILLER_46_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 166500 ) FS ;
+- FILLER_46_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 166500 ) FS ;
+- FILLER_46_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 166500 ) FS ;
+- FILLER_46_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 166500 ) FS ;
+- FILLER_46_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 166500 ) FS ;
+- FILLER_46_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 166500 ) FS ;
+- FILLER_46_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 166500 ) FS ;
+- FILLER_46_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 166500 ) FS ;
+- FILLER_46_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 166500 ) FS ;
+- FILLER_46_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 166500 ) FS ;
+- FILLER_46_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 166500 ) FS ;
+- FILLER_46_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 166500 ) FS ;
+- FILLER_46_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 166500 ) FS ;
+- FILLER_46_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 166500 ) FS ;
+- FILLER_46_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 166500 ) FS ;
+- FILLER_46_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 166500 ) FS ;
+- FILLER_46_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 166500 ) FS ;
+- FILLER_46_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 166500 ) FS ;
+- FILLER_46_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 166500 ) FS ;
+- FILLER_46_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 166500 ) FS ;
+- FILLER_46_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 166500 ) FS ;
+- FILLER_46_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 166500 ) FS ;
+- FILLER_46_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 166500 ) FS ;
+- FILLER_46_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 166500 ) FS ;
+- FILLER_46_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 166500 ) FS ;
+- FILLER_46_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 166500 ) FS ;
+- FILLER_46_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 166500 ) FS ;
+- FILLER_46_583 sky130_fd_sc_ls__fill_1 + PLACED ( 285600 166500 ) FS ;
+- FILLER_46_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 166500 ) FS ;
+- FILLER_47_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 169830 ) N ;
+- FILLER_47_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 169830 ) N ;
+- FILLER_47_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 169830 ) N ;
+- FILLER_47_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 169830 ) N ;
+- FILLER_47_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 169830 ) N ;
+- FILLER_47_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 169830 ) N ;
+- FILLER_47_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 169830 ) N ;
+- FILLER_47_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 169830 ) N ;
+- FILLER_47_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 169830 ) N ;
+- FILLER_47_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 169830 ) N ;
+- FILLER_47_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 169830 ) N ;
+- FILLER_47_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 169830 ) N ;
+- FILLER_47_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 169830 ) N ;
+- FILLER_47_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 169830 ) N ;
+- FILLER_47_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 169830 ) N ;
+- FILLER_47_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 169830 ) N ;
+- FILLER_47_111 sky130_fd_sc_ls__decap_4 + PLACED ( 59040 169830 ) N ;
+- FILLER_47_120 sky130_fd_sc_ls__decap_8 + PLACED ( 63360 169830 ) N ;
+- FILLER_47_128 sky130_fd_sc_ls__decap_8 + PLACED ( 67200 169830 ) N ;
+- FILLER_47_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 169830 ) N ;
+- FILLER_47_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 169830 ) N ;
+- FILLER_47_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 169830 ) N ;
+- FILLER_47_160 sky130_fd_sc_ls__decap_4 + PLACED ( 82560 169830 ) N ;
+- FILLER_47_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 169830 ) N ;
+- FILLER_47_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 169830 ) N ;
+- FILLER_47_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 169830 ) N ;
+- FILLER_47_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 169830 ) N ;
+- FILLER_47_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 169830 ) N ;
+- FILLER_47_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 169830 ) N ;
+- FILLER_47_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 169830 ) N ;
+- FILLER_47_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 169830 ) N ;
+- FILLER_47_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 169830 ) N ;
+- FILLER_47_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 169830 ) N ;
+- FILLER_47_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 169830 ) N ;
+- FILLER_47_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 169830 ) N ;
+- FILLER_47_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 169830 ) N ;
+- FILLER_47_253 sky130_fd_sc_ls__decap_4 + PLACED ( 127200 169830 ) N ;
+- FILLER_47_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 169830 ) N ;
+- FILLER_47_268 sky130_fd_sc_ls__decap_4 + PLACED ( 134400 169830 ) N ;
+- FILLER_47_272 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136320 169830 ) N ;
+- FILLER_47_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 169830 ) N ;
+- FILLER_47_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 169830 ) N ;
+- FILLER_47_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 169830 ) N ;
+- FILLER_47_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 169830 ) N ;
+- FILLER_47_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 169830 ) N ;
+- FILLER_47_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 169830 ) N ;
+- FILLER_47_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 169830 ) N ;
+- FILLER_47_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 169830 ) N ;
+- FILLER_47_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 169830 ) N ;
+- FILLER_47_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 169830 ) N ;
+- FILLER_47_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 169830 ) N ;
+- FILLER_47_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 169830 ) N ;
+- FILLER_47_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 169830 ) N ;
+- FILLER_47_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 169830 ) N ;
+- FILLER_47_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 169830 ) N ;
+- FILLER_47_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 169830 ) N ;
+- FILLER_47_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 169830 ) N ;
+- FILLER_47_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 169830 ) N ;
+- FILLER_47_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 169830 ) N ;
+- FILLER_47_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 169830 ) N ;
+- FILLER_47_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 169830 ) N ;
+- FILLER_47_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 169830 ) N ;
+- FILLER_47_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 169830 ) N ;
+- FILLER_47_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 169830 ) N ;
+- FILLER_47_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 169830 ) N ;
+- FILLER_47_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 169830 ) N ;
+- FILLER_47_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 169830 ) N ;
+- FILLER_47_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 169830 ) N ;
+- FILLER_47_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 169830 ) N ;
+- FILLER_47_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 169830 ) N ;
+- FILLER_47_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 169830 ) N ;
+- FILLER_47_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 169830 ) N ;
+- FILLER_47_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 169830 ) N ;
+- FILLER_47_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 169830 ) N ;
+- FILLER_47_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 169830 ) N ;
+- FILLER_47_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 169830 ) N ;
+- FILLER_47_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 169830 ) N ;
+- FILLER_47_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 169830 ) N ;
+- FILLER_47_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 169830 ) N ;
+- FILLER_47_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 169830 ) N ;
+- FILLER_47_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 169830 ) N ;
+- FILLER_47_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 169830 ) N ;
+- FILLER_47_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 169830 ) N ;
+- FILLER_47_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 169830 ) N ;
+- FILLER_47_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 169830 ) N ;
+- FILLER_47_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 169830 ) N ;
+- FILLER_47_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 169830 ) N ;
+- FILLER_47_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 169830 ) N ;
+- FILLER_48_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 173160 ) FS ;
+- FILLER_48_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 173160 ) FS ;
+- FILLER_48_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 173160 ) FS ;
+- FILLER_48_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 173160 ) FS ;
+- FILLER_48_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 173160 ) FS ;
+- FILLER_48_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 173160 ) FS ;
+- FILLER_48_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 173160 ) FS ;
+- FILLER_48_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 173160 ) FS ;
+- FILLER_48_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 173160 ) FS ;
+- FILLER_48_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 173160 ) FS ;
+- FILLER_48_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 173160 ) FS ;
+- FILLER_48_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 173160 ) FS ;
+- FILLER_48_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 173160 ) FS ;
+- FILLER_48_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 173160 ) FS ;
+- FILLER_48_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 173160 ) FS ;
+- FILLER_48_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 173160 ) FS ;
+- FILLER_48_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 173160 ) FS ;
+- FILLER_48_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 173160 ) FS ;
+- FILLER_48_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 173160 ) FS ;
+- FILLER_48_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 173160 ) FS ;
+- FILLER_48_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 173160 ) FS ;
+- FILLER_48_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 173160 ) FS ;
+- FILLER_48_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 173160 ) FS ;
+- FILLER_48_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 173160 ) FS ;
+- FILLER_48_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 173160 ) FS ;
+- FILLER_48_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 173160 ) FS ;
+- FILLER_48_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 173160 ) FS ;
+- FILLER_48_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 173160 ) FS ;
+- FILLER_48_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 173160 ) FS ;
+- FILLER_48_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 173160 ) FS ;
+- FILLER_48_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 173160 ) FS ;
+- FILLER_48_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 173160 ) FS ;
+- FILLER_48_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 173160 ) FS ;
+- FILLER_48_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 173160 ) FS ;
+- FILLER_48_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 173160 ) FS ;
+- FILLER_48_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 173160 ) FS ;
+- FILLER_48_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 173160 ) FS ;
+- FILLER_48_270 sky130_fd_sc_ls__decap_8 + PLACED ( 135360 173160 ) FS ;
+- FILLER_48_278 sky130_fd_sc_ls__decap_8 + PLACED ( 139200 173160 ) FS ;
+- FILLER_48_286 sky130_fd_sc_ls__decap_8 + PLACED ( 143040 173160 ) FS ;
+- FILLER_48_294 sky130_fd_sc_ls__decap_8 + PLACED ( 146880 173160 ) FS ;
+- FILLER_48_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 173160 ) FS ;
+- FILLER_48_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 173160 ) FS ;
+- FILLER_48_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 173160 ) FS ;
+- FILLER_48_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 173160 ) FS ;
+- FILLER_48_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 173160 ) FS ;
+- FILLER_48_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 173160 ) FS ;
+- FILLER_48_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 173160 ) FS ;
+- FILLER_48_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 173160 ) FS ;
+- FILLER_48_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 173160 ) FS ;
+- FILLER_48_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 173160 ) FS ;
+- FILLER_48_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 173160 ) FS ;
+- FILLER_48_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 173160 ) FS ;
+- FILLER_48_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 173160 ) FS ;
+- FILLER_48_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 173160 ) FS ;
+- FILLER_48_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 173160 ) FS ;
+- FILLER_48_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 173160 ) FS ;
+- FILLER_48_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 173160 ) FS ;
+- FILLER_48_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 173160 ) FS ;
+- FILLER_48_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 173160 ) FS ;
+- FILLER_48_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 173160 ) FS ;
+- FILLER_48_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 173160 ) FS ;
+- FILLER_48_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 173160 ) FS ;
+- FILLER_48_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 173160 ) FS ;
+- FILLER_48_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 173160 ) FS ;
+- FILLER_48_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 173160 ) FS ;
+- FILLER_48_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 173160 ) FS ;
+- FILLER_48_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 173160 ) FS ;
+- FILLER_48_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 173160 ) FS ;
+- FILLER_48_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 173160 ) FS ;
+- FILLER_48_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 173160 ) FS ;
+- FILLER_48_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 173160 ) FS ;
+- FILLER_48_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 173160 ) FS ;
+- FILLER_48_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 173160 ) FS ;
+- FILLER_48_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 173160 ) FS ;
+- FILLER_48_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 173160 ) FS ;
+- FILLER_48_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 173160 ) FS ;
+- FILLER_48_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 173160 ) FS ;
+- FILLER_48_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 173160 ) FS ;
+- FILLER_48_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 173160 ) FS ;
+- FILLER_48_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 173160 ) FS ;
+- FILLER_48_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 173160 ) FS ;
+- FILLER_48_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 173160 ) FS ;
+- FILLER_48_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 173160 ) FS ;
+- FILLER_48_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 173160 ) FS ;
+- FILLER_48_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 173160 ) FS ;
+- FILLER_49_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 176490 ) N ;
+- FILLER_49_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 176490 ) N ;
+- FILLER_49_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 176490 ) N ;
+- FILLER_49_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 176490 ) N ;
+- FILLER_49_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 176490 ) N ;
+- FILLER_49_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 176490 ) N ;
+- FILLER_49_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 176490 ) N ;
+- FILLER_49_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 176490 ) N ;
+- FILLER_49_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 176490 ) N ;
+- FILLER_49_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 176490 ) N ;
+- FILLER_49_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 176490 ) N ;
+- FILLER_49_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 176490 ) N ;
+- FILLER_49_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 176490 ) N ;
+- FILLER_49_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 176490 ) N ;
+- FILLER_49_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 176490 ) N ;
+- FILLER_49_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 176490 ) N ;
+- FILLER_49_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 176490 ) N ;
+- FILLER_49_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 176490 ) N ;
+- FILLER_49_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 176490 ) N ;
+- FILLER_49_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 176490 ) N ;
+- FILLER_49_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 176490 ) N ;
+- FILLER_49_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 176490 ) N ;
+- FILLER_49_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 176490 ) N ;
+- FILLER_49_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 176490 ) N ;
+- FILLER_49_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 176490 ) N ;
+- FILLER_49_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 176490 ) N ;
+- FILLER_49_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 176490 ) N ;
+- FILLER_49_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 176490 ) N ;
+- FILLER_49_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 176490 ) N ;
+- FILLER_49_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 176490 ) N ;
+- FILLER_49_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 176490 ) N ;
+- FILLER_49_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 176490 ) N ;
+- FILLER_49_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 176490 ) N ;
+- FILLER_49_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 176490 ) N ;
+- FILLER_49_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 176490 ) N ;
+- FILLER_49_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 176490 ) N ;
+- FILLER_49_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 176490 ) N ;
+- FILLER_49_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 176490 ) N ;
+- FILLER_49_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 176490 ) N ;
+- FILLER_49_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 176490 ) N ;
+- FILLER_49_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 176490 ) N ;
+- FILLER_49_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 176490 ) N ;
+- FILLER_49_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 176490 ) N ;
+- FILLER_49_300 sky130_fd_sc_ls__fill_1 + PLACED ( 149760 176490 ) N ;
+- FILLER_49_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 176490 ) N ;
+- FILLER_49_306 sky130_fd_sc_ls__fill_1 + PLACED ( 152640 176490 ) N ;
+- FILLER_49_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 176490 ) N ;
+- FILLER_49_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 176490 ) N ;
+- FILLER_49_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 176490 ) N ;
+- FILLER_49_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 176490 ) N ;
+- FILLER_49_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 176490 ) N ;
+- FILLER_49_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 176490 ) N ;
+- FILLER_49_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 176490 ) N ;
+- FILLER_49_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 176490 ) N ;
+- FILLER_49_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 176490 ) N ;
+- FILLER_49_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 176490 ) N ;
+- FILLER_49_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 176490 ) N ;
+- FILLER_49_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 176490 ) N ;
+- FILLER_49_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 176490 ) N ;
+- FILLER_49_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 176490 ) N ;
+- FILLER_49_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 176490 ) N ;
+- FILLER_49_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 176490 ) N ;
+- FILLER_49_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 176490 ) N ;
+- FILLER_49_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 176490 ) N ;
+- FILLER_49_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 176490 ) N ;
+- FILLER_49_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 176490 ) N ;
+- FILLER_49_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 176490 ) N ;
+- FILLER_49_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 176490 ) N ;
+- FILLER_49_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 176490 ) N ;
+- FILLER_49_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 176490 ) N ;
+- FILLER_49_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 176490 ) N ;
+- FILLER_49_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 176490 ) N ;
+- FILLER_49_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 176490 ) N ;
+- FILLER_49_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 176490 ) N ;
+- FILLER_49_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 176490 ) N ;
+- FILLER_49_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 176490 ) N ;
+- FILLER_49_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 176490 ) N ;
+- FILLER_49_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 176490 ) N ;
+- FILLER_49_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 176490 ) N ;
+- FILLER_49_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 176490 ) N ;
+- FILLER_49_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 176490 ) N ;
+- FILLER_49_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 176490 ) N ;
+- FILLER_49_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 176490 ) N ;
+- FILLER_49_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 176490 ) N ;
+- FILLER_49_575 sky130_fd_sc_ls__decap_4 + PLACED ( 281760 176490 ) N ;
+- FILLER_49_579 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 283680 176490 ) N ;
+- FILLER_49_581 sky130_fd_sc_ls__fill_1 + PLACED ( 284640 176490 ) N ;
+- FILLER_49_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 176490 ) N ;
+- FILLER_49_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 176490 ) N ;
+- FILLER_50_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 179820 ) FS ;
+- FILLER_50_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 179820 ) FS ;
+- FILLER_50_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 179820 ) FS ;
+- FILLER_50_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 179820 ) FS ;
+- FILLER_50_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 179820 ) FS ;
+- FILLER_50_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 179820 ) FS ;
+- FILLER_50_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 179820 ) FS ;
+- FILLER_50_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 179820 ) FS ;
+- FILLER_50_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 179820 ) FS ;
+- FILLER_50_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 179820 ) FS ;
+- FILLER_50_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 179820 ) FS ;
+- FILLER_50_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 179820 ) FS ;
+- FILLER_50_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 179820 ) FS ;
+- FILLER_50_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 179820 ) FS ;
+- FILLER_50_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 179820 ) FS ;
+- FILLER_50_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 179820 ) FS ;
+- FILLER_50_124 sky130_fd_sc_ls__decap_4 + PLACED ( 65280 179820 ) FS ;
+- FILLER_50_133 sky130_fd_sc_ls__decap_4 + PLACED ( 69600 179820 ) FS ;
+- FILLER_50_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 179820 ) FS ;
+- FILLER_50_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 179820 ) FS ;
+- FILLER_50_147 sky130_fd_sc_ls__fill_1 + PLACED ( 76320 179820 ) FS ;
+- FILLER_50_153 sky130_fd_sc_ls__decap_8 + PLACED ( 79200 179820 ) FS ;
+- FILLER_50_161 sky130_fd_sc_ls__decap_8 + PLACED ( 83040 179820 ) FS ;
+- FILLER_50_169 sky130_fd_sc_ls__decap_8 + PLACED ( 86880 179820 ) FS ;
+- FILLER_50_177 sky130_fd_sc_ls__decap_8 + PLACED ( 90720 179820 ) FS ;
+- FILLER_50_185 sky130_fd_sc_ls__decap_8 + PLACED ( 94560 179820 ) FS ;
+- FILLER_50_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 179820 ) FS ;
+- FILLER_50_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 179820 ) FS ;
+- FILLER_50_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 179820 ) FS ;
+- FILLER_50_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 179820 ) FS ;
+- FILLER_50_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 179820 ) FS ;
+- FILLER_50_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 179820 ) FS ;
+- FILLER_50_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 179820 ) FS ;
+- FILLER_50_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 179820 ) FS ;
+- FILLER_50_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 179820 ) FS ;
+- FILLER_50_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 179820 ) FS ;
+- FILLER_50_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 179820 ) FS ;
+- FILLER_50_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 179820 ) FS ;
+- FILLER_50_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 179820 ) FS ;
+- FILLER_50_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 179820 ) FS ;
+- FILLER_50_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 179820 ) FS ;
+- FILLER_50_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 179820 ) FS ;
+- FILLER_50_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 179820 ) FS ;
+- FILLER_50_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 179820 ) FS ;
+- FILLER_50_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 179820 ) FS ;
+- FILLER_50_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 179820 ) FS ;
+- FILLER_50_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 179820 ) FS ;
+- FILLER_50_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 179820 ) FS ;
+- FILLER_50_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 179820 ) FS ;
+- FILLER_50_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 179820 ) FS ;
+- FILLER_50_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 179820 ) FS ;
+- FILLER_50_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 179820 ) FS ;
+- FILLER_50_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 179820 ) FS ;
+- FILLER_50_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 179820 ) FS ;
+- FILLER_50_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 179820 ) FS ;
+- FILLER_50_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 179820 ) FS ;
+- FILLER_50_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 179820 ) FS ;
+- FILLER_50_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 179820 ) FS ;
+- FILLER_50_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 179820 ) FS ;
+- FILLER_50_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 179820 ) FS ;
+- FILLER_50_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 179820 ) FS ;
+- FILLER_50_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 179820 ) FS ;
+- FILLER_50_434 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 214080 179820 ) FS ;
+- FILLER_50_436 sky130_fd_sc_ls__fill_1 + PLACED ( 215040 179820 ) FS ;
+- FILLER_50_440 sky130_fd_sc_ls__decap_8 + PLACED ( 216960 179820 ) FS ;
+- FILLER_50_448 sky130_fd_sc_ls__decap_8 + PLACED ( 220800 179820 ) FS ;
+- FILLER_50_456 sky130_fd_sc_ls__decap_8 + PLACED ( 224640 179820 ) FS ;
+- FILLER_50_464 sky130_fd_sc_ls__decap_4 + PLACED ( 228480 179820 ) FS ;
+- FILLER_50_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 179820 ) FS ;
+- FILLER_50_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 179820 ) FS ;
+- FILLER_50_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 179820 ) FS ;
+- FILLER_50_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 179820 ) FS ;
+- FILLER_50_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 179820 ) FS ;
+- FILLER_50_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 179820 ) FS ;
+- FILLER_50_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 179820 ) FS ;
+- FILLER_50_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 179820 ) FS ;
+- FILLER_50_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 179820 ) FS ;
+- FILLER_50_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 179820 ) FS ;
+- FILLER_50_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 179820 ) FS ;
+- FILLER_50_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 179820 ) FS ;
+- FILLER_50_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 179820 ) FS ;
+- FILLER_50_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 179820 ) FS ;
+- FILLER_50_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 179820 ) FS ;
+- FILLER_50_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 179820 ) FS ;
+- FILLER_50_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 179820 ) FS ;
+- FILLER_50_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 179820 ) FS ;
+- FILLER_50_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 179820 ) FS ;
+- FILLER_51_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 183150 ) N ;
+- FILLER_51_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 183150 ) N ;
+- FILLER_51_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 183150 ) N ;
+- FILLER_51_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 183150 ) N ;
+- FILLER_51_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 183150 ) N ;
+- FILLER_51_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 183150 ) N ;
+- FILLER_51_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 183150 ) N ;
+- FILLER_51_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 183150 ) N ;
+- FILLER_51_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 183150 ) N ;
+- FILLER_51_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 183150 ) N ;
+- FILLER_51_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 183150 ) N ;
+- FILLER_51_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 183150 ) N ;
+- FILLER_51_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 183150 ) N ;
+- FILLER_51_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 183150 ) N ;
+- FILLER_51_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 183150 ) N ;
+- FILLER_51_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 183150 ) N ;
+- FILLER_51_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 183150 ) N ;
+- FILLER_51_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 183150 ) N ;
+- FILLER_51_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 183150 ) N ;
+- FILLER_51_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 183150 ) N ;
+- FILLER_51_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 183150 ) N ;
+- FILLER_51_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 183150 ) N ;
+- FILLER_51_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 183150 ) N ;
+- FILLER_51_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 183150 ) N ;
+- FILLER_51_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 183150 ) N ;
+- FILLER_51_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 183150 ) N ;
+- FILLER_51_182 sky130_fd_sc_ls__decap_4 + PLACED ( 93120 183150 ) N ;
+- FILLER_51_186 sky130_fd_sc_ls__fill_1 + PLACED ( 95040 183150 ) N ;
+- FILLER_51_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 183150 ) N ;
+- FILLER_51_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 183150 ) N ;
+- FILLER_51_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 183150 ) N ;
+- FILLER_51_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 183150 ) N ;
+- FILLER_51_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 183150 ) N ;
+- FILLER_51_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 183150 ) N ;
+- FILLER_51_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 183150 ) N ;
+- FILLER_51_237 sky130_fd_sc_ls__fill_1 + PLACED ( 119520 183150 ) N ;
+- FILLER_51_243 sky130_fd_sc_ls__decap_8 + PLACED ( 122400 183150 ) N ;
+- FILLER_51_251 sky130_fd_sc_ls__decap_8 + PLACED ( 126240 183150 ) N ;
+- FILLER_51_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 183150 ) N ;
+- FILLER_51_267 sky130_fd_sc_ls__decap_8 + PLACED ( 133920 183150 ) N ;
+- FILLER_51_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 183150 ) N ;
+- FILLER_51_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 183150 ) N ;
+- FILLER_51_292 sky130_fd_sc_ls__fill_1 + PLACED ( 145920 183150 ) N ;
+- FILLER_51_296 sky130_fd_sc_ls__decap_8 + PLACED ( 147840 183150 ) N ;
+- FILLER_51_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 183150 ) N ;
+- FILLER_51_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 183150 ) N ;
+- FILLER_51_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 183150 ) N ;
+- FILLER_51_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 183150 ) N ;
+- FILLER_51_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 183150 ) N ;
+- FILLER_51_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 183150 ) N ;
+- FILLER_51_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 183150 ) N ;
+- FILLER_51_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 183150 ) N ;
+- FILLER_51_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 183150 ) N ;
+- FILLER_51_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 183150 ) N ;
+- FILLER_51_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 183150 ) N ;
+- FILLER_51_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 183150 ) N ;
+- FILLER_51_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 183150 ) N ;
+- FILLER_51_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 183150 ) N ;
+- FILLER_51_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 183150 ) N ;
+- FILLER_51_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 183150 ) N ;
+- FILLER_51_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 183150 ) N ;
+- FILLER_51_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 183150 ) N ;
+- FILLER_51_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 183150 ) N ;
+- FILLER_51_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 183150 ) N ;
+- FILLER_51_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 183150 ) N ;
+- FILLER_51_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 183150 ) N ;
+- FILLER_51_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 183150 ) N ;
+- FILLER_51_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 183150 ) N ;
+- FILLER_51_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 183150 ) N ;
+- FILLER_51_477 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 234720 183150 ) N ;
+- FILLER_51_482 sky130_fd_sc_ls__decap_8 + PLACED ( 237120 183150 ) N ;
+- FILLER_51_490 sky130_fd_sc_ls__decap_4 + PLACED ( 240960 183150 ) N ;
+- FILLER_51_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 183150 ) N ;
+- FILLER_51_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 183150 ) N ;
+- FILLER_51_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 183150 ) N ;
+- FILLER_51_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 183150 ) N ;
+- FILLER_51_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 183150 ) N ;
+- FILLER_51_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 183150 ) N ;
+- FILLER_51_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 183150 ) N ;
+- FILLER_51_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 183150 ) N ;
+- FILLER_51_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 183150 ) N ;
+- FILLER_51_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 183150 ) N ;
+- FILLER_51_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 183150 ) N ;
+- FILLER_51_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 183150 ) N ;
+- FILLER_51_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 183150 ) N ;
+- FILLER_51_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 183150 ) N ;
+- FILLER_51_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 183150 ) N ;
+- FILLER_51_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 183150 ) N ;
+- FILLER_52_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 186480 ) FS ;
+- FILLER_52_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 186480 ) FS ;
+- FILLER_52_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 186480 ) FS ;
+- FILLER_52_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 186480 ) FS ;
+- FILLER_52_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 186480 ) FS ;
+- FILLER_52_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 186480 ) FS ;
+- FILLER_52_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 186480 ) FS ;
+- FILLER_52_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 186480 ) FS ;
+- FILLER_52_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 186480 ) FS ;
+- FILLER_52_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 186480 ) FS ;
+- FILLER_52_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 186480 ) FS ;
+- FILLER_52_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 186480 ) FS ;
+- FILLER_52_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 186480 ) FS ;
+- FILLER_52_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 186480 ) FS ;
+- FILLER_52_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 186480 ) FS ;
+- FILLER_52_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 186480 ) FS ;
+- FILLER_52_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 186480 ) FS ;
+- FILLER_52_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 186480 ) FS ;
+- FILLER_52_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 186480 ) FS ;
+- FILLER_52_139 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 72480 186480 ) FS ;
+- FILLER_52_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 186480 ) FS ;
+- FILLER_52_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 186480 ) FS ;
+- FILLER_52_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 186480 ) FS ;
+- FILLER_52_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 186480 ) FS ;
+- FILLER_52_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 186480 ) FS ;
+- FILLER_52_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 186480 ) FS ;
+- FILLER_52_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 186480 ) FS ;
+- FILLER_52_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 186480 ) FS ;
+- FILLER_52_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 186480 ) FS ;
+- FILLER_52_202 sky130_fd_sc_ls__fill_1 + PLACED ( 102720 186480 ) FS ;
+- FILLER_52_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 186480 ) FS ;
+- FILLER_52_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 186480 ) FS ;
+- FILLER_52_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 186480 ) FS ;
+- FILLER_52_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 186480 ) FS ;
+- FILLER_52_238 sky130_fd_sc_ls__decap_8 + PLACED ( 120000 186480 ) FS ;
+- FILLER_52_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 186480 ) FS ;
+- FILLER_52_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 186480 ) FS ;
+- FILLER_52_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 186480 ) FS ;
+- FILLER_52_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 186480 ) FS ;
+- FILLER_52_272 sky130_fd_sc_ls__decap_8 + PLACED ( 136320 186480 ) FS ;
+- FILLER_52_280 sky130_fd_sc_ls__decap_8 + PLACED ( 140160 186480 ) FS ;
+- FILLER_52_288 sky130_fd_sc_ls__decap_8 + PLACED ( 144000 186480 ) FS ;
+- FILLER_52_296 sky130_fd_sc_ls__decap_4 + PLACED ( 147840 186480 ) FS ;
+- FILLER_52_300 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 149760 186480 ) FS ;
+- FILLER_52_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 186480 ) FS ;
+- FILLER_52_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 186480 ) FS ;
+- FILLER_52_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 186480 ) FS ;
+- FILLER_52_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 186480 ) FS ;
+- FILLER_52_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 186480 ) FS ;
+- FILLER_52_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 186480 ) FS ;
+- FILLER_52_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 186480 ) FS ;
+- FILLER_52_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 186480 ) FS ;
+- FILLER_52_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 186480 ) FS ;
+- FILLER_52_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 186480 ) FS ;
+- FILLER_52_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 186480 ) FS ;
+- FILLER_52_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 186480 ) FS ;
+- FILLER_52_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 186480 ) FS ;
+- FILLER_52_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 186480 ) FS ;
+- FILLER_52_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 186480 ) FS ;
+- FILLER_52_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 186480 ) FS ;
+- FILLER_52_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 186480 ) FS ;
+- FILLER_52_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 186480 ) FS ;
+- FILLER_52_418 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 206400 186480 ) FS ;
+- FILLER_52_425 sky130_fd_sc_ls__decap_8 + PLACED ( 209760 186480 ) FS ;
+- FILLER_52_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 186480 ) FS ;
+- FILLER_52_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 186480 ) FS ;
+- FILLER_52_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 186480 ) FS ;
+- FILLER_52_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 186480 ) FS ;
+- FILLER_52_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 186480 ) FS ;
+- FILLER_52_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 186480 ) FS ;
+- FILLER_52_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 186480 ) FS ;
+- FILLER_52_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 186480 ) FS ;
+- FILLER_52_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 186480 ) FS ;
+- FILLER_52_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 186480 ) FS ;
+- FILLER_52_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 186480 ) FS ;
+- FILLER_52_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 186480 ) FS ;
+- FILLER_52_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 186480 ) FS ;
+- FILLER_52_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 186480 ) FS ;
+- FILLER_52_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 186480 ) FS ;
+- FILLER_52_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 186480 ) FS ;
+- FILLER_52_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 186480 ) FS ;
+- FILLER_52_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 186480 ) FS ;
+- FILLER_52_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 186480 ) FS ;
+- FILLER_52_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 186480 ) FS ;
+- FILLER_52_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 186480 ) FS ;
+- FILLER_52_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 186480 ) FS ;
+- FILLER_52_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 186480 ) FS ;
+- FILLER_52_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 186480 ) FS ;
+- FILLER_52_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 186480 ) FS ;
+- FILLER_53_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 189810 ) N ;
+- FILLER_53_17 sky130_fd_sc_ls__decap_8 + PLACED ( 13920 189810 ) N ;
+- FILLER_53_25 sky130_fd_sc_ls__decap_8 + PLACED ( 17760 189810 ) N ;
+- FILLER_53_33 sky130_fd_sc_ls__decap_8 + PLACED ( 21600 189810 ) N ;
+- FILLER_53_41 sky130_fd_sc_ls__decap_8 + PLACED ( 25440 189810 ) N ;
+- FILLER_53_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 189810 ) N ;
+- FILLER_53_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 189810 ) N ;
+- FILLER_53_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 189810 ) N ;
+- FILLER_53_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 189810 ) N ;
+- FILLER_53_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 189810 ) N ;
+- FILLER_53_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 189810 ) N ;
+- FILLER_53_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 189810 ) N ;
+- FILLER_53_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 189810 ) N ;
+- FILLER_53_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 189810 ) N ;
+- FILLER_53_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 189810 ) N ;
+- FILLER_53_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 189810 ) N ;
+- FILLER_53_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 189810 ) N ;
+- FILLER_53_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 189810 ) N ;
+- FILLER_53_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 189810 ) N ;
+- FILLER_53_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 189810 ) N ;
+- FILLER_53_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 189810 ) N ;
+- FILLER_53_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 189810 ) N ;
+- FILLER_53_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 189810 ) N ;
+- FILLER_53_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 189810 ) N ;
+- FILLER_53_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 189810 ) N ;
+- FILLER_53_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 189810 ) N ;
+- FILLER_53_190 sky130_fd_sc_ls__decap_4 + PLACED ( 96960 189810 ) N ;
+- FILLER_53_194 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 98880 189810 ) N ;
+- FILLER_53_199 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 101280 189810 ) N ;
+- FILLER_53_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 189810 ) N ;
+- FILLER_53_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 189810 ) N ;
+- FILLER_53_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 189810 ) N ;
+- FILLER_53_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 189810 ) N ;
+- FILLER_53_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 189810 ) N ;
+- FILLER_53_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 189810 ) N ;
+- FILLER_53_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 189810 ) N ;
+- FILLER_53_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 189810 ) N ;
+- FILLER_53_261 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 131040 189810 ) N ;
+- FILLER_53_263 sky130_fd_sc_ls__fill_1 + PLACED ( 132000 189810 ) N ;
+- FILLER_53_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 189810 ) N ;
+- FILLER_53_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 189810 ) N ;
+- FILLER_53_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 189810 ) N ;
+- FILLER_53_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 189810 ) N ;
+- FILLER_53_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 189810 ) N ;
+- FILLER_53_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 189810 ) N ;
+- FILLER_53_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 189810 ) N ;
+- FILLER_53_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 189810 ) N ;
+- FILLER_53_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 189810 ) N ;
+- FILLER_53_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 189810 ) N ;
+- FILLER_53_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 189810 ) N ;
+- FILLER_53_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 189810 ) N ;
+- FILLER_53_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 189810 ) N ;
+- FILLER_53_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 189810 ) N ;
+- FILLER_53_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 189810 ) N ;
+- FILLER_53_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 189810 ) N ;
+- FILLER_53_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 189810 ) N ;
+- FILLER_53_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 189810 ) N ;
+- FILLER_53_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 189810 ) N ;
+- FILLER_53_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 189810 ) N ;
+- FILLER_53_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 189810 ) N ;
+- FILLER_53_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 189810 ) N ;
+- FILLER_53_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 189810 ) N ;
+- FILLER_53_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 189810 ) N ;
+- FILLER_53_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 189810 ) N ;
+- FILLER_53_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 189810 ) N ;
+- FILLER_53_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 189810 ) N ;
+- FILLER_53_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 189810 ) N ;
+- FILLER_53_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 189810 ) N ;
+- FILLER_53_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 189810 ) N ;
+- FILLER_53_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 189810 ) N ;
+- FILLER_53_475 sky130_fd_sc_ls__fill_1 + PLACED ( 233760 189810 ) N ;
+- FILLER_53_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 189810 ) N ;
+- FILLER_53_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 189810 ) N ;
+- FILLER_53_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 189810 ) N ;
+- FILLER_53_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 189810 ) N ;
+- FILLER_53_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 189810 ) N ;
+- FILLER_53_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 189810 ) N ;
+- FILLER_53_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 189810 ) N ;
+- FILLER_53_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 189810 ) N ;
+- FILLER_53_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 189810 ) N ;
+- FILLER_53_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 189810 ) N ;
+- FILLER_53_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 189810 ) N ;
+- FILLER_53_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 189810 ) N ;
+- FILLER_53_558 sky130_fd_sc_ls__decap_8 + PLACED ( 273600 189810 ) N ;
+- FILLER_53_566 sky130_fd_sc_ls__decap_8 + PLACED ( 277440 189810 ) N ;
+- FILLER_53_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 189810 ) N ;
+- FILLER_53_578 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 283200 189810 ) N ;
+- FILLER_53_580 sky130_fd_sc_ls__fill_1 + PLACED ( 284160 189810 ) N ;
+- FILLER_53_584 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 286080 189810 ) N ;
+- FILLER_53_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 189810 ) N ;
+- FILLER_53_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 189810 ) N ;
+- FILLER_54_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 193140 ) FS ;
+- FILLER_54_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 193140 ) FS ;
+- FILLER_54_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 193140 ) FS ;
+- FILLER_54_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 193140 ) FS ;
+- FILLER_54_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 193140 ) FS ;
+- FILLER_54_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 193140 ) FS ;
+- FILLER_54_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 193140 ) FS ;
+- FILLER_54_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 193140 ) FS ;
+- FILLER_54_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 193140 ) FS ;
+- FILLER_54_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 193140 ) FS ;
+- FILLER_54_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 193140 ) FS ;
+- FILLER_54_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 193140 ) FS ;
+- FILLER_54_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 193140 ) FS ;
+- FILLER_54_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 193140 ) FS ;
+- FILLER_54_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 193140 ) FS ;
+- FILLER_54_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 193140 ) FS ;
+- FILLER_54_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 193140 ) FS ;
+- FILLER_54_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 193140 ) FS ;
+- FILLER_54_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 193140 ) FS ;
+- FILLER_54_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 193140 ) FS ;
+- FILLER_54_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 193140 ) FS ;
+- FILLER_54_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 193140 ) FS ;
+- FILLER_54_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 193140 ) FS ;
+- FILLER_54_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 193140 ) FS ;
+- FILLER_54_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 193140 ) FS ;
+- FILLER_54_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 193140 ) FS ;
+- FILLER_54_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 193140 ) FS ;
+- FILLER_54_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 193140 ) FS ;
+- FILLER_54_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 193140 ) FS ;
+- FILLER_54_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 193140 ) FS ;
+- FILLER_54_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 193140 ) FS ;
+- FILLER_54_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 193140 ) FS ;
+- FILLER_54_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 193140 ) FS ;
+- FILLER_54_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 193140 ) FS ;
+- FILLER_54_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 193140 ) FS ;
+- FILLER_54_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 193140 ) FS ;
+- FILLER_54_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 193140 ) FS ;
+- FILLER_54_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 193140 ) FS ;
+- FILLER_54_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 193140 ) FS ;
+- FILLER_54_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 193140 ) FS ;
+- FILLER_54_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 193140 ) FS ;
+- FILLER_54_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 193140 ) FS ;
+- FILLER_54_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 193140 ) FS ;
+- FILLER_54_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 193140 ) FS ;
+- FILLER_54_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 193140 ) FS ;
+- FILLER_54_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 193140 ) FS ;
+- FILLER_54_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 193140 ) FS ;
+- FILLER_54_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 193140 ) FS ;
+- FILLER_54_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 193140 ) FS ;
+- FILLER_54_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 193140 ) FS ;
+- FILLER_54_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 193140 ) FS ;
+- FILLER_54_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 193140 ) FS ;
+- FILLER_54_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 193140 ) FS ;
+- FILLER_54_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 193140 ) FS ;
+- FILLER_54_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 193140 ) FS ;
+- FILLER_54_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 193140 ) FS ;
+- FILLER_54_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 193140 ) FS ;
+- FILLER_54_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 193140 ) FS ;
+- FILLER_54_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 193140 ) FS ;
+- FILLER_54_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 193140 ) FS ;
+- FILLER_54_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 193140 ) FS ;
+- FILLER_54_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 193140 ) FS ;
+- FILLER_54_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 193140 ) FS ;
+- FILLER_54_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 193140 ) FS ;
+- FILLER_54_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 193140 ) FS ;
+- FILLER_54_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 193140 ) FS ;
+- FILLER_54_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 193140 ) FS ;
+- FILLER_54_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 193140 ) FS ;
+- FILLER_54_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 193140 ) FS ;
+- FILLER_54_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 193140 ) FS ;
+- FILLER_54_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 193140 ) FS ;
+- FILLER_54_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 193140 ) FS ;
+- FILLER_54_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 193140 ) FS ;
+- FILLER_54_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 193140 ) FS ;
+- FILLER_54_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 193140 ) FS ;
+- FILLER_54_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 193140 ) FS ;
+- FILLER_54_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 193140 ) FS ;
+- FILLER_54_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 193140 ) FS ;
+- FILLER_54_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 193140 ) FS ;
+- FILLER_54_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 193140 ) FS ;
+- FILLER_54_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 193140 ) FS ;
+- FILLER_54_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 193140 ) FS ;
+- FILLER_54_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 193140 ) FS ;
+- FILLER_54_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 193140 ) FS ;
+- FILLER_54_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 193140 ) FS ;
+- FILLER_54_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 193140 ) FS ;
+- FILLER_55_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 196470 ) N ;
+- FILLER_55_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 196470 ) N ;
+- FILLER_55_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 196470 ) N ;
+- FILLER_55_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 196470 ) N ;
+- FILLER_55_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 196470 ) N ;
+- FILLER_55_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 196470 ) N ;
+- FILLER_55_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 196470 ) N ;
+- FILLER_55_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 196470 ) N ;
+- FILLER_55_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 196470 ) N ;
+- FILLER_55_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 196470 ) N ;
+- FILLER_55_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 196470 ) N ;
+- FILLER_55_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 196470 ) N ;
+- FILLER_55_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 196470 ) N ;
+- FILLER_55_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 196470 ) N ;
+- FILLER_55_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 196470 ) N ;
+- FILLER_55_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 196470 ) N ;
+- FILLER_55_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 196470 ) N ;
+- FILLER_55_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 196470 ) N ;
+- FILLER_55_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 196470 ) N ;
+- FILLER_55_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 196470 ) N ;
+- FILLER_55_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 196470 ) N ;
+- FILLER_55_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 196470 ) N ;
+- FILLER_55_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 196470 ) N ;
+- FILLER_55_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 196470 ) N ;
+- FILLER_55_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 196470 ) N ;
+- FILLER_55_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 196470 ) N ;
+- FILLER_55_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 196470 ) N ;
+- FILLER_55_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 196470 ) N ;
+- FILLER_55_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 196470 ) N ;
+- FILLER_55_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 196470 ) N ;
+- FILLER_55_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 196470 ) N ;
+- FILLER_55_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 196470 ) N ;
+- FILLER_55_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 196470 ) N ;
+- FILLER_55_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 196470 ) N ;
+- FILLER_55_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 196470 ) N ;
+- FILLER_55_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 196470 ) N ;
+- FILLER_55_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 196470 ) N ;
+- FILLER_55_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 196470 ) N ;
+- FILLER_55_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 196470 ) N ;
+- FILLER_55_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 196470 ) N ;
+- FILLER_55_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 196470 ) N ;
+- FILLER_55_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 196470 ) N ;
+- FILLER_55_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 196470 ) N ;
+- FILLER_55_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 196470 ) N ;
+- FILLER_55_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 196470 ) N ;
+- FILLER_55_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 196470 ) N ;
+- FILLER_55_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 196470 ) N ;
+- FILLER_55_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 196470 ) N ;
+- FILLER_55_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 196470 ) N ;
+- FILLER_55_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 196470 ) N ;
+- FILLER_55_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 196470 ) N ;
+- FILLER_55_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 196470 ) N ;
+- FILLER_55_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 196470 ) N ;
+- FILLER_55_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 196470 ) N ;
+- FILLER_55_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 196470 ) N ;
+- FILLER_55_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 196470 ) N ;
+- FILLER_55_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 196470 ) N ;
+- FILLER_55_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 196470 ) N ;
+- FILLER_55_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 196470 ) N ;
+- FILLER_55_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 196470 ) N ;
+- FILLER_55_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 196470 ) N ;
+- FILLER_55_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 196470 ) N ;
+- FILLER_55_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 196470 ) N ;
+- FILLER_55_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 196470 ) N ;
+- FILLER_55_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 196470 ) N ;
+- FILLER_55_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 196470 ) N ;
+- FILLER_55_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 196470 ) N ;
+- FILLER_55_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 196470 ) N ;
+- FILLER_55_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 196470 ) N ;
+- FILLER_55_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 196470 ) N ;
+- FILLER_55_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 196470 ) N ;
+- FILLER_55_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 196470 ) N ;
+- FILLER_55_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 196470 ) N ;
+- FILLER_55_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 196470 ) N ;
+- FILLER_55_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 196470 ) N ;
+- FILLER_55_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 196470 ) N ;
+- FILLER_55_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 196470 ) N ;
+- FILLER_55_536 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 263040 196470 ) N ;
+- FILLER_55_538 sky130_fd_sc_ls__fill_1 + PLACED ( 264000 196470 ) N ;
+- FILLER_55_542 sky130_fd_sc_ls__decap_8 + PLACED ( 265920 196470 ) N ;
+- FILLER_55_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 196470 ) N ;
+- FILLER_55_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 196470 ) N ;
+- FILLER_55_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 196470 ) N ;
+- FILLER_55_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 196470 ) N ;
+- FILLER_55_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 196470 ) N ;
+- FILLER_55_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 196470 ) N ;
+- FILLER_55_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 196470 ) N ;
+- FILLER_56_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 199800 ) FS ;
+- FILLER_56_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 199800 ) FS ;
+- FILLER_56_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 199800 ) FS ;
+- FILLER_56_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 199800 ) FS ;
+- FILLER_56_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 199800 ) FS ;
+- FILLER_56_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 199800 ) FS ;
+- FILLER_56_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 199800 ) FS ;
+- FILLER_56_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 199800 ) FS ;
+- FILLER_56_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 199800 ) FS ;
+- FILLER_56_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 199800 ) FS ;
+- FILLER_56_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 199800 ) FS ;
+- FILLER_56_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 199800 ) FS ;
+- FILLER_56_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 199800 ) FS ;
+- FILLER_56_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 199800 ) FS ;
+- FILLER_56_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 199800 ) FS ;
+- FILLER_56_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 199800 ) FS ;
+- FILLER_56_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 199800 ) FS ;
+- FILLER_56_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 199800 ) FS ;
+- FILLER_56_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 199800 ) FS ;
+- FILLER_56_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 199800 ) FS ;
+- FILLER_56_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 199800 ) FS ;
+- FILLER_56_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 199800 ) FS ;
+- FILLER_56_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 199800 ) FS ;
+- FILLER_56_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 199800 ) FS ;
+- FILLER_56_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 199800 ) FS ;
+- FILLER_56_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 199800 ) FS ;
+- FILLER_56_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 199800 ) FS ;
+- FILLER_56_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 199800 ) FS ;
+- FILLER_56_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 199800 ) FS ;
+- FILLER_56_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 199800 ) FS ;
+- FILLER_56_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 199800 ) FS ;
+- FILLER_56_220 sky130_fd_sc_ls__fill_1 + PLACED ( 111360 199800 ) FS ;
+- FILLER_56_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 199800 ) FS ;
+- FILLER_56_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 199800 ) FS ;
+- FILLER_56_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 199800 ) FS ;
+- FILLER_56_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 199800 ) FS ;
+- FILLER_56_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 199800 ) FS ;
+- FILLER_56_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 199800 ) FS ;
+- FILLER_56_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 199800 ) FS ;
+- FILLER_56_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 199800 ) FS ;
+- FILLER_56_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 199800 ) FS ;
+- FILLER_56_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 199800 ) FS ;
+- FILLER_56_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 199800 ) FS ;
+- FILLER_56_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 199800 ) FS ;
+- FILLER_56_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 199800 ) FS ;
+- FILLER_56_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 199800 ) FS ;
+- FILLER_56_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 199800 ) FS ;
+- FILLER_56_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 199800 ) FS ;
+- FILLER_56_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 199800 ) FS ;
+- FILLER_56_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 199800 ) FS ;
+- FILLER_56_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 199800 ) FS ;
+- FILLER_56_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 199800 ) FS ;
+- FILLER_56_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 199800 ) FS ;
+- FILLER_56_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 199800 ) FS ;
+- FILLER_56_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 199800 ) FS ;
+- FILLER_56_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 199800 ) FS ;
+- FILLER_56_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 199800 ) FS ;
+- FILLER_56_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 199800 ) FS ;
+- FILLER_56_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 199800 ) FS ;
+- FILLER_56_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 199800 ) FS ;
+- FILLER_56_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 199800 ) FS ;
+- FILLER_56_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 199800 ) FS ;
+- FILLER_56_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 199800 ) FS ;
+- FILLER_56_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 199800 ) FS ;
+- FILLER_56_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 199800 ) FS ;
+- FILLER_56_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 199800 ) FS ;
+- FILLER_56_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 199800 ) FS ;
+- FILLER_56_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 199800 ) FS ;
+- FILLER_56_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 199800 ) FS ;
+- FILLER_56_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 199800 ) FS ;
+- FILLER_56_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 199800 ) FS ;
+- FILLER_56_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 199800 ) FS ;
+- FILLER_56_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 199800 ) FS ;
+- FILLER_56_509 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 250080 199800 ) FS ;
+- FILLER_56_511 sky130_fd_sc_ls__fill_1 + PLACED ( 251040 199800 ) FS ;
+- FILLER_56_515 sky130_fd_sc_ls__decap_8 + PLACED ( 252960 199800 ) FS ;
+- FILLER_56_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 199800 ) FS ;
+- FILLER_56_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 199800 ) FS ;
+- FILLER_56_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 199800 ) FS ;
+- FILLER_56_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 199800 ) FS ;
+- FILLER_56_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 199800 ) FS ;
+- FILLER_56_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 199800 ) FS ;
+- FILLER_56_575 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281760 199800 ) FS ;
+- FILLER_56_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 199800 ) FS ;
+- FILLER_56_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 199800 ) FS ;
+- FILLER_56_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 199800 ) FS ;
+- FILLER_56_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 199800 ) FS ;
+- FILLER_57_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 203130 ) N ;
+- FILLER_57_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 203130 ) N ;
+- FILLER_57_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 203130 ) N ;
+- FILLER_57_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 203130 ) N ;
+- FILLER_57_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 203130 ) N ;
+- FILLER_57_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 203130 ) N ;
+- FILLER_57_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 203130 ) N ;
+- FILLER_57_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 203130 ) N ;
+- FILLER_57_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 203130 ) N ;
+- FILLER_57_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 203130 ) N ;
+- FILLER_57_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 203130 ) N ;
+- FILLER_57_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 203130 ) N ;
+- FILLER_57_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 203130 ) N ;
+- FILLER_57_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 203130 ) N ;
+- FILLER_57_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 203130 ) N ;
+- FILLER_57_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 203130 ) N ;
+- FILLER_57_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 203130 ) N ;
+- FILLER_57_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 203130 ) N ;
+- FILLER_57_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 203130 ) N ;
+- FILLER_57_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 203130 ) N ;
+- FILLER_57_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 203130 ) N ;
+- FILLER_57_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 203130 ) N ;
+- FILLER_57_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 203130 ) N ;
+- FILLER_57_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 203130 ) N ;
+- FILLER_57_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 203130 ) N ;
+- FILLER_57_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 203130 ) N ;
+- FILLER_57_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 203130 ) N ;
+- FILLER_57_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 203130 ) N ;
+- FILLER_57_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 203130 ) N ;
+- FILLER_57_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 203130 ) N ;
+- FILLER_57_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 203130 ) N ;
+- FILLER_57_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 203130 ) N ;
+- FILLER_57_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 203130 ) N ;
+- FILLER_57_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 203130 ) N ;
+- FILLER_57_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 203130 ) N ;
+- FILLER_57_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 203130 ) N ;
+- FILLER_57_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 203130 ) N ;
+- FILLER_57_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 203130 ) N ;
+- FILLER_57_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 203130 ) N ;
+- FILLER_57_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 203130 ) N ;
+- FILLER_57_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 203130 ) N ;
+- FILLER_57_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 203130 ) N ;
+- FILLER_57_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 203130 ) N ;
+- FILLER_57_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 203130 ) N ;
+- FILLER_57_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 203130 ) N ;
+- FILLER_57_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 203130 ) N ;
+- FILLER_57_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 203130 ) N ;
+- FILLER_57_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 203130 ) N ;
+- FILLER_57_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 203130 ) N ;
+- FILLER_57_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 203130 ) N ;
+- FILLER_57_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 203130 ) N ;
+- FILLER_57_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 203130 ) N ;
+- FILLER_57_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 203130 ) N ;
+- FILLER_57_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 203130 ) N ;
+- FILLER_57_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 203130 ) N ;
+- FILLER_57_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 203130 ) N ;
+- FILLER_57_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 203130 ) N ;
+- FILLER_57_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 203130 ) N ;
+- FILLER_57_402 sky130_fd_sc_ls__decap_4 + PLACED ( 198720 203130 ) N ;
+- FILLER_57_406 sky130_fd_sc_ls__fill_1 + PLACED ( 200640 203130 ) N ;
+- FILLER_57_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 203130 ) N ;
+- FILLER_57_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 203130 ) N ;
+- FILLER_57_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 203130 ) N ;
+- FILLER_57_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 203130 ) N ;
+- FILLER_57_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 203130 ) N ;
+- FILLER_57_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 203130 ) N ;
+- FILLER_57_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 203130 ) N ;
+- FILLER_57_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 203130 ) N ;
+- FILLER_57_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 203130 ) N ;
+- FILLER_57_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 203130 ) N ;
+- FILLER_57_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 203130 ) N ;
+- FILLER_57_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 203130 ) N ;
+- FILLER_57_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 203130 ) N ;
+- FILLER_57_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 203130 ) N ;
+- FILLER_57_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 203130 ) N ;
+- FILLER_57_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 203130 ) N ;
+- FILLER_57_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 203130 ) N ;
+- FILLER_57_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 203130 ) N ;
+- FILLER_57_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 203130 ) N ;
+- FILLER_57_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 203130 ) N ;
+- FILLER_57_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 203130 ) N ;
+- FILLER_57_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 203130 ) N ;
+- FILLER_57_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 203130 ) N ;
+- FILLER_57_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 203130 ) N ;
+- FILLER_57_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 203130 ) N ;
+- FILLER_57_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 203130 ) N ;
+- FILLER_57_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 203130 ) N ;
+- FILLER_57_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 203130 ) N ;
+- FILLER_58_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 206460 ) FS ;
+- FILLER_58_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 206460 ) FS ;
+- FILLER_58_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 206460 ) FS ;
+- FILLER_58_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 206460 ) FS ;
+- FILLER_58_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 206460 ) FS ;
+- FILLER_58_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 206460 ) FS ;
+- FILLER_58_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 206460 ) FS ;
+- FILLER_58_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 206460 ) FS ;
+- FILLER_58_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 206460 ) FS ;
+- FILLER_58_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 206460 ) FS ;
+- FILLER_58_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 206460 ) FS ;
+- FILLER_58_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 206460 ) FS ;
+- FILLER_58_91 sky130_fd_sc_ls__decap_8 + PLACED ( 49440 206460 ) FS ;
+- FILLER_58_99 sky130_fd_sc_ls__decap_8 + PLACED ( 53280 206460 ) FS ;
+- FILLER_58_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 206460 ) FS ;
+- FILLER_58_113 sky130_fd_sc_ls__decap_8 + PLACED ( 60000 206460 ) FS ;
+- FILLER_58_121 sky130_fd_sc_ls__decap_8 + PLACED ( 63840 206460 ) FS ;
+- FILLER_58_129 sky130_fd_sc_ls__decap_8 + PLACED ( 67680 206460 ) FS ;
+- FILLER_58_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 206460 ) FS ;
+- FILLER_58_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 206460 ) FS ;
+- FILLER_58_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 206460 ) FS ;
+- FILLER_58_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 206460 ) FS ;
+- FILLER_58_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 206460 ) FS ;
+- FILLER_58_165 sky130_fd_sc_ls__fill_1 + PLACED ( 84960 206460 ) FS ;
+- FILLER_58_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 206460 ) FS ;
+- FILLER_58_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 206460 ) FS ;
+- FILLER_58_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 206460 ) FS ;
+- FILLER_58_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 206460 ) FS ;
+- FILLER_58_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 206460 ) FS ;
+- FILLER_58_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 206460 ) FS ;
+- FILLER_58_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 206460 ) FS ;
+- FILLER_58_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 206460 ) FS ;
+- FILLER_58_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 206460 ) FS ;
+- FILLER_58_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 206460 ) FS ;
+- FILLER_58_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 206460 ) FS ;
+- FILLER_58_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 206460 ) FS ;
+- FILLER_58_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 206460 ) FS ;
+- FILLER_58_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 206460 ) FS ;
+- FILLER_58_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 206460 ) FS ;
+- FILLER_58_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 206460 ) FS ;
+- FILLER_58_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 206460 ) FS ;
+- FILLER_58_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 206460 ) FS ;
+- FILLER_58_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 206460 ) FS ;
+- FILLER_58_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 206460 ) FS ;
+- FILLER_58_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 206460 ) FS ;
+- FILLER_58_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 206460 ) FS ;
+- FILLER_58_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 206460 ) FS ;
+- FILLER_58_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 206460 ) FS ;
+- FILLER_58_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 206460 ) FS ;
+- FILLER_58_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 206460 ) FS ;
+- FILLER_58_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 206460 ) FS ;
+- FILLER_58_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 206460 ) FS ;
+- FILLER_58_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 206460 ) FS ;
+- FILLER_58_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 206460 ) FS ;
+- FILLER_58_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 206460 ) FS ;
+- FILLER_58_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 206460 ) FS ;
+- FILLER_58_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 206460 ) FS ;
+- FILLER_58_399 sky130_fd_sc_ls__decap_4 + PLACED ( 197280 206460 ) FS ;
+- FILLER_58_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 206460 ) FS ;
+- FILLER_58_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 206460 ) FS ;
+- FILLER_58_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 206460 ) FS ;
+- FILLER_58_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 206460 ) FS ;
+- FILLER_58_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 206460 ) FS ;
+- FILLER_58_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 206460 ) FS ;
+- FILLER_58_434 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 214080 206460 ) FS ;
+- FILLER_58_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 206460 ) FS ;
+- FILLER_58_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 206460 ) FS ;
+- FILLER_58_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 206460 ) FS ;
+- FILLER_58_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 206460 ) FS ;
+- FILLER_58_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 206460 ) FS ;
+- FILLER_58_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 206460 ) FS ;
+- FILLER_58_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 206460 ) FS ;
+- FILLER_58_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 206460 ) FS ;
+- FILLER_58_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 206460 ) FS ;
+- FILLER_58_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 206460 ) FS ;
+- FILLER_58_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 206460 ) FS ;
+- FILLER_58_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 206460 ) FS ;
+- FILLER_58_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 206460 ) FS ;
+- FILLER_58_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 206460 ) FS ;
+- FILLER_58_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 206460 ) FS ;
+- FILLER_58_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 206460 ) FS ;
+- FILLER_58_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 206460 ) FS ;
+- FILLER_58_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 206460 ) FS ;
+- FILLER_58_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 206460 ) FS ;
+- FILLER_58_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 206460 ) FS ;
+- FILLER_58_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 206460 ) FS ;
+- FILLER_58_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 206460 ) FS ;
+- FILLER_58_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 206460 ) FS ;
+- FILLER_58_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 206460 ) FS ;
+- FILLER_59_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 209790 ) N ;
+- FILLER_59_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 209790 ) N ;
+- FILLER_59_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 209790 ) N ;
+- FILLER_59_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 209790 ) N ;
+- FILLER_59_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 209790 ) N ;
+- FILLER_59_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 209790 ) N ;
+- FILLER_59_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 209790 ) N ;
+- FILLER_59_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 209790 ) N ;
+- FILLER_59_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 209790 ) N ;
+- FILLER_59_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 209790 ) N ;
+- FILLER_59_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 209790 ) N ;
+- FILLER_59_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 209790 ) N ;
+- FILLER_59_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 209790 ) N ;
+- FILLER_59_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 209790 ) N ;
+- FILLER_59_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 209790 ) N ;
+- FILLER_59_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 209790 ) N ;
+- FILLER_59_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 209790 ) N ;
+- FILLER_59_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 209790 ) N ;
+- FILLER_59_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 209790 ) N ;
+- FILLER_59_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 209790 ) N ;
+- FILLER_59_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 209790 ) N ;
+- FILLER_59_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 209790 ) N ;
+- FILLER_59_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 209790 ) N ;
+- FILLER_59_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 209790 ) N ;
+- FILLER_59_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 209790 ) N ;
+- FILLER_59_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 209790 ) N ;
+- FILLER_59_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 209790 ) N ;
+- FILLER_59_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 209790 ) N ;
+- FILLER_59_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 209790 ) N ;
+- FILLER_59_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 209790 ) N ;
+- FILLER_59_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 209790 ) N ;
+- FILLER_59_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 209790 ) N ;
+- FILLER_59_221 sky130_fd_sc_ls__decap_4 + PLACED ( 111840 209790 ) N ;
+- FILLER_59_225 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 113760 209790 ) N ;
+- FILLER_59_227 sky130_fd_sc_ls__fill_1 + PLACED ( 114720 209790 ) N ;
+- FILLER_59_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 209790 ) N ;
+- FILLER_59_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 209790 ) N ;
+- FILLER_59_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 209790 ) N ;
+- FILLER_59_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 209790 ) N ;
+- FILLER_59_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 209790 ) N ;
+- FILLER_59_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 209790 ) N ;
+- FILLER_59_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 209790 ) N ;
+- FILLER_59_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 209790 ) N ;
+- FILLER_59_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 209790 ) N ;
+- FILLER_59_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 209790 ) N ;
+- FILLER_59_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 209790 ) N ;
+- FILLER_59_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 209790 ) N ;
+- FILLER_59_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 209790 ) N ;
+- FILLER_59_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 209790 ) N ;
+- FILLER_59_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 209790 ) N ;
+- FILLER_59_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 209790 ) N ;
+- FILLER_59_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 209790 ) N ;
+- FILLER_59_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 209790 ) N ;
+- FILLER_59_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 209790 ) N ;
+- FILLER_59_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 209790 ) N ;
+- FILLER_59_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 209790 ) N ;
+- FILLER_59_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 209790 ) N ;
+- FILLER_59_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 209790 ) N ;
+- FILLER_59_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 209790 ) N ;
+- FILLER_59_402 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 198720 209790 ) N ;
+- FILLER_59_409 sky130_fd_sc_ls__decap_8 + PLACED ( 202080 209790 ) N ;
+- FILLER_59_417 sky130_fd_sc_ls__decap_8 + PLACED ( 205920 209790 ) N ;
+- FILLER_59_425 sky130_fd_sc_ls__decap_8 + PLACED ( 209760 209790 ) N ;
+- FILLER_59_433 sky130_fd_sc_ls__decap_4 + PLACED ( 213600 209790 ) N ;
+- FILLER_59_437 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 215520 209790 ) N ;
+- FILLER_59_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 209790 ) N ;
+- FILLER_59_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 209790 ) N ;
+- FILLER_59_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 209790 ) N ;
+- FILLER_59_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 209790 ) N ;
+- FILLER_59_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 209790 ) N ;
+- FILLER_59_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 209790 ) N ;
+- FILLER_59_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 209790 ) N ;
+- FILLER_59_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 209790 ) N ;
+- FILLER_59_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 209790 ) N ;
+- FILLER_59_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 209790 ) N ;
+- FILLER_59_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 209790 ) N ;
+- FILLER_59_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 209790 ) N ;
+- FILLER_59_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 209790 ) N ;
+- FILLER_59_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 209790 ) N ;
+- FILLER_59_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 209790 ) N ;
+- FILLER_59_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 209790 ) N ;
+- FILLER_59_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 209790 ) N ;
+- FILLER_59_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 209790 ) N ;
+- FILLER_59_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 209790 ) N ;
+- FILLER_59_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 209790 ) N ;
+- FILLER_59_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 209790 ) N ;
+- FILLER_59_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 209790 ) N ;
+- FILLER_59_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 209790 ) N ;
+- FILLER_59_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 209790 ) N ;
+- FILLER_60_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 213120 ) FS ;
+- FILLER_60_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 213120 ) FS ;
+- FILLER_60_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 213120 ) FS ;
+- FILLER_60_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 213120 ) FS ;
+- FILLER_60_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 213120 ) FS ;
+- FILLER_60_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 213120 ) FS ;
+- FILLER_60_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 213120 ) FS ;
+- FILLER_60_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 213120 ) FS ;
+- FILLER_60_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 213120 ) FS ;
+- FILLER_60_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 213120 ) FS ;
+- FILLER_60_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 213120 ) FS ;
+- FILLER_60_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 213120 ) FS ;
+- FILLER_60_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 213120 ) FS ;
+- FILLER_60_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 213120 ) FS ;
+- FILLER_60_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 213120 ) FS ;
+- FILLER_60_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 213120 ) FS ;
+- FILLER_60_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 213120 ) FS ;
+- FILLER_60_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 213120 ) FS ;
+- FILLER_60_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 213120 ) FS ;
+- FILLER_60_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 213120 ) FS ;
+- FILLER_60_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 213120 ) FS ;
+- FILLER_60_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 213120 ) FS ;
+- FILLER_60_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 213120 ) FS ;
+- FILLER_60_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 213120 ) FS ;
+- FILLER_60_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 213120 ) FS ;
+- FILLER_60_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 213120 ) FS ;
+- FILLER_60_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 213120 ) FS ;
+- FILLER_60_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 213120 ) FS ;
+- FILLER_60_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 213120 ) FS ;
+- FILLER_60_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 213120 ) FS ;
+- FILLER_60_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 213120 ) FS ;
+- FILLER_60_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 213120 ) FS ;
+- FILLER_60_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 213120 ) FS ;
+- FILLER_60_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 213120 ) FS ;
+- FILLER_60_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 213120 ) FS ;
+- FILLER_60_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 213120 ) FS ;
+- FILLER_60_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 213120 ) FS ;
+- FILLER_60_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 213120 ) FS ;
+- FILLER_60_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 213120 ) FS ;
+- FILLER_60_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 213120 ) FS ;
+- FILLER_60_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 213120 ) FS ;
+- FILLER_60_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 213120 ) FS ;
+- FILLER_60_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 213120 ) FS ;
+- FILLER_60_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 213120 ) FS ;
+- FILLER_60_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 213120 ) FS ;
+- FILLER_60_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 213120 ) FS ;
+- FILLER_60_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 213120 ) FS ;
+- FILLER_60_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 213120 ) FS ;
+- FILLER_60_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 213120 ) FS ;
+- FILLER_60_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 213120 ) FS ;
+- FILLER_60_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 213120 ) FS ;
+- FILLER_60_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 213120 ) FS ;
+- FILLER_60_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 213120 ) FS ;
+- FILLER_60_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 213120 ) FS ;
+- FILLER_60_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 213120 ) FS ;
+- FILLER_60_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 213120 ) FS ;
+- FILLER_60_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 213120 ) FS ;
+- FILLER_60_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 213120 ) FS ;
+- FILLER_60_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 213120 ) FS ;
+- FILLER_60_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 213120 ) FS ;
+- FILLER_60_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 213120 ) FS ;
+- FILLER_60_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 213120 ) FS ;
+- FILLER_60_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 213120 ) FS ;
+- FILLER_60_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 213120 ) FS ;
+- FILLER_60_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 213120 ) FS ;
+- FILLER_60_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 213120 ) FS ;
+- FILLER_60_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 213120 ) FS ;
+- FILLER_60_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 213120 ) FS ;
+- FILLER_60_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 213120 ) FS ;
+- FILLER_60_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 213120 ) FS ;
+- FILLER_60_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 213120 ) FS ;
+- FILLER_60_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 213120 ) FS ;
+- FILLER_60_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 213120 ) FS ;
+- FILLER_60_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 213120 ) FS ;
+- FILLER_60_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 213120 ) FS ;
+- FILLER_60_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 213120 ) FS ;
+- FILLER_60_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 213120 ) FS ;
+- FILLER_60_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 213120 ) FS ;
+- FILLER_60_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 213120 ) FS ;
+- FILLER_60_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 213120 ) FS ;
+- FILLER_60_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 213120 ) FS ;
+- FILLER_60_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 213120 ) FS ;
+- FILLER_60_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 213120 ) FS ;
+- FILLER_60_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 213120 ) FS ;
+- FILLER_60_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 213120 ) FS ;
+- FILLER_60_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 213120 ) FS ;
+- FILLER_60_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 213120 ) FS ;
+- FILLER_61_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 216450 ) N ;
+- FILLER_61_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 216450 ) N ;
+- FILLER_61_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 216450 ) N ;
+- FILLER_61_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 216450 ) N ;
+- FILLER_61_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 216450 ) N ;
+- FILLER_61_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 216450 ) N ;
+- FILLER_61_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 216450 ) N ;
+- FILLER_61_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 216450 ) N ;
+- FILLER_61_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 216450 ) N ;
+- FILLER_61_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 216450 ) N ;
+- FILLER_61_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 216450 ) N ;
+- FILLER_61_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 216450 ) N ;
+- FILLER_61_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 216450 ) N ;
+- FILLER_61_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 216450 ) N ;
+- FILLER_61_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 216450 ) N ;
+- FILLER_61_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 216450 ) N ;
+- FILLER_61_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 216450 ) N ;
+- FILLER_61_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 216450 ) N ;
+- FILLER_61_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 216450 ) N ;
+- FILLER_61_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 216450 ) N ;
+- FILLER_61_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 216450 ) N ;
+- FILLER_61_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 216450 ) N ;
+- FILLER_61_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 216450 ) N ;
+- FILLER_61_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 216450 ) N ;
+- FILLER_61_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 216450 ) N ;
+- FILLER_61_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 216450 ) N ;
+- FILLER_61_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 216450 ) N ;
+- FILLER_61_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 216450 ) N ;
+- FILLER_61_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 216450 ) N ;
+- FILLER_61_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 216450 ) N ;
+- FILLER_61_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 216450 ) N ;
+- FILLER_61_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 216450 ) N ;
+- FILLER_61_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 216450 ) N ;
+- FILLER_61_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 216450 ) N ;
+- FILLER_61_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 216450 ) N ;
+- FILLER_61_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 216450 ) N ;
+- FILLER_61_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 216450 ) N ;
+- FILLER_61_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 216450 ) N ;
+- FILLER_61_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 216450 ) N ;
+- FILLER_61_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 216450 ) N ;
+- FILLER_61_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 216450 ) N ;
+- FILLER_61_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 216450 ) N ;
+- FILLER_61_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 216450 ) N ;
+- FILLER_61_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 216450 ) N ;
+- FILLER_61_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 216450 ) N ;
+- FILLER_61_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 216450 ) N ;
+- FILLER_61_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 216450 ) N ;
+- FILLER_61_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 216450 ) N ;
+- FILLER_61_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 216450 ) N ;
+- FILLER_61_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 216450 ) N ;
+- FILLER_61_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 216450 ) N ;
+- FILLER_61_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 216450 ) N ;
+- FILLER_61_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 216450 ) N ;
+- FILLER_61_371 sky130_fd_sc_ls__decap_4 + PLACED ( 183840 216450 ) N ;
+- FILLER_61_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 216450 ) N ;
+- FILLER_61_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 216450 ) N ;
+- FILLER_61_381 sky130_fd_sc_ls__decap_4 + PLACED ( 188640 216450 ) N ;
+- FILLER_61_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 216450 ) N ;
+- FILLER_61_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 216450 ) N ;
+- FILLER_61_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 216450 ) N ;
+- FILLER_61_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 216450 ) N ;
+- FILLER_61_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 216450 ) N ;
+- FILLER_61_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 216450 ) N ;
+- FILLER_61_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 216450 ) N ;
+- FILLER_61_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 216450 ) N ;
+- FILLER_61_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 216450 ) N ;
+- FILLER_61_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 216450 ) N ;
+- FILLER_61_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 216450 ) N ;
+- FILLER_61_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 216450 ) N ;
+- FILLER_61_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 216450 ) N ;
+- FILLER_61_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 216450 ) N ;
+- FILLER_61_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 216450 ) N ;
+- FILLER_61_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 216450 ) N ;
+- FILLER_61_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 216450 ) N ;
+- FILLER_61_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 216450 ) N ;
+- FILLER_61_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 216450 ) N ;
+- FILLER_61_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 216450 ) N ;
+- FILLER_61_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 216450 ) N ;
+- FILLER_61_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 216450 ) N ;
+- FILLER_61_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 216450 ) N ;
+- FILLER_61_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 216450 ) N ;
+- FILLER_61_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 216450 ) N ;
+- FILLER_61_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 216450 ) N ;
+- FILLER_61_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 216450 ) N ;
+- FILLER_61_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 216450 ) N ;
+- FILLER_61_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 216450 ) N ;
+- FILLER_61_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 216450 ) N ;
+- FILLER_61_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 216450 ) N ;
+- FILLER_62_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 219780 ) FS ;
+- FILLER_62_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 219780 ) FS ;
+- FILLER_62_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 219780 ) FS ;
+- FILLER_62_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 219780 ) FS ;
+- FILLER_62_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 219780 ) FS ;
+- FILLER_62_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 219780 ) FS ;
+- FILLER_62_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 219780 ) FS ;
+- FILLER_62_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 219780 ) FS ;
+- FILLER_62_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 219780 ) FS ;
+- FILLER_62_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 219780 ) FS ;
+- FILLER_62_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 219780 ) FS ;
+- FILLER_62_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 219780 ) FS ;
+- FILLER_62_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 219780 ) FS ;
+- FILLER_62_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 219780 ) FS ;
+- FILLER_62_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 219780 ) FS ;
+- FILLER_62_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 219780 ) FS ;
+- FILLER_62_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 219780 ) FS ;
+- FILLER_62_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 219780 ) FS ;
+- FILLER_62_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 219780 ) FS ;
+- FILLER_62_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 219780 ) FS ;
+- FILLER_62_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 219780 ) FS ;
+- FILLER_62_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 219780 ) FS ;
+- FILLER_62_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 219780 ) FS ;
+- FILLER_62_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 219780 ) FS ;
+- FILLER_62_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 219780 ) FS ;
+- FILLER_62_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 219780 ) FS ;
+- FILLER_62_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 219780 ) FS ;
+- FILLER_62_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 219780 ) FS ;
+- FILLER_62_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 219780 ) FS ;
+- FILLER_62_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 219780 ) FS ;
+- FILLER_62_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 219780 ) FS ;
+- FILLER_62_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 219780 ) FS ;
+- FILLER_62_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 219780 ) FS ;
+- FILLER_62_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 219780 ) FS ;
+- FILLER_62_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 219780 ) FS ;
+- FILLER_62_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 219780 ) FS ;
+- FILLER_62_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 219780 ) FS ;
+- FILLER_62_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 219780 ) FS ;
+- FILLER_62_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 219780 ) FS ;
+- FILLER_62_280 sky130_fd_sc_ls__decap_8 + PLACED ( 140160 219780 ) FS ;
+- FILLER_62_288 sky130_fd_sc_ls__decap_8 + PLACED ( 144000 219780 ) FS ;
+- FILLER_62_296 sky130_fd_sc_ls__decap_4 + PLACED ( 147840 219780 ) FS ;
+- FILLER_62_300 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 149760 219780 ) FS ;
+- FILLER_62_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 219780 ) FS ;
+- FILLER_62_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 219780 ) FS ;
+- FILLER_62_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 219780 ) FS ;
+- FILLER_62_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 219780 ) FS ;
+- FILLER_62_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 219780 ) FS ;
+- FILLER_62_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 219780 ) FS ;
+- FILLER_62_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 219780 ) FS ;
+- FILLER_62_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 219780 ) FS ;
+- FILLER_62_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 219780 ) FS ;
+- FILLER_62_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 219780 ) FS ;
+- FILLER_62_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 219780 ) FS ;
+- FILLER_62_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 219780 ) FS ;
+- FILLER_62_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 219780 ) FS ;
+- FILLER_62_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 219780 ) FS ;
+- FILLER_62_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 219780 ) FS ;
+- FILLER_62_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 219780 ) FS ;
+- FILLER_62_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 219780 ) FS ;
+- FILLER_62_414 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 204480 219780 ) FS ;
+- FILLER_62_421 sky130_fd_sc_ls__decap_8 + PLACED ( 207840 219780 ) FS ;
+- FILLER_62_429 sky130_fd_sc_ls__decap_8 + PLACED ( 211680 219780 ) FS ;
+- FILLER_62_437 sky130_fd_sc_ls__decap_8 + PLACED ( 215520 219780 ) FS ;
+- FILLER_62_445 sky130_fd_sc_ls__decap_8 + PLACED ( 219360 219780 ) FS ;
+- FILLER_62_453 sky130_fd_sc_ls__decap_8 + PLACED ( 223200 219780 ) FS ;
+- FILLER_62_461 sky130_fd_sc_ls__decap_4 + PLACED ( 227040 219780 ) FS ;
+- FILLER_62_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 219780 ) FS ;
+- FILLER_62_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 219780 ) FS ;
+- FILLER_62_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 219780 ) FS ;
+- FILLER_62_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 219780 ) FS ;
+- FILLER_62_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 219780 ) FS ;
+- FILLER_62_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 219780 ) FS ;
+- FILLER_62_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 219780 ) FS ;
+- FILLER_62_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 219780 ) FS ;
+- FILLER_62_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 219780 ) FS ;
+- FILLER_62_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 219780 ) FS ;
+- FILLER_62_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 219780 ) FS ;
+- FILLER_62_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 219780 ) FS ;
+- FILLER_62_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 219780 ) FS ;
+- FILLER_62_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 219780 ) FS ;
+- FILLER_62_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 219780 ) FS ;
+- FILLER_62_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 219780 ) FS ;
+- FILLER_62_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 219780 ) FS ;
+- FILLER_62_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 219780 ) FS ;
+- FILLER_62_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 219780 ) FS ;
+- FILLER_62_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 219780 ) FS ;
+- FILLER_62_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 219780 ) FS ;
+- FILLER_63_8 sky130_fd_sc_ls__decap_8 + PLACED ( 9600 223110 ) N ;
+- FILLER_63_16 sky130_fd_sc_ls__decap_8 + PLACED ( 13440 223110 ) N ;
+- FILLER_63_24 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 17280 223110 ) N ;
+- FILLER_63_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 223110 ) N ;
+- FILLER_63_32 sky130_fd_sc_ls__decap_8 + PLACED ( 21120 223110 ) N ;
+- FILLER_63_40 sky130_fd_sc_ls__decap_8 + PLACED ( 24960 223110 ) N ;
+- FILLER_63_48 sky130_fd_sc_ls__decap_4 + PLACED ( 28800 223110 ) N ;
+- FILLER_63_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 223110 ) N ;
+- FILLER_63_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 223110 ) N ;
+- FILLER_63_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 223110 ) N ;
+- FILLER_63_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 223110 ) N ;
+- FILLER_63_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 223110 ) N ;
+- FILLER_63_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 223110 ) N ;
+- FILLER_63_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 223110 ) N ;
+- FILLER_63_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 223110 ) N ;
+- FILLER_63_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 223110 ) N ;
+- FILLER_63_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 223110 ) N ;
+- FILLER_63_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 223110 ) N ;
+- FILLER_63_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 223110 ) N ;
+- FILLER_63_132 sky130_fd_sc_ls__decap_8 + PLACED ( 69120 223110 ) N ;
+- FILLER_63_140 sky130_fd_sc_ls__decap_8 + PLACED ( 72960 223110 ) N ;
+- FILLER_63_148 sky130_fd_sc_ls__decap_8 + PLACED ( 76800 223110 ) N ;
+- FILLER_63_156 sky130_fd_sc_ls__decap_8 + PLACED ( 80640 223110 ) N ;
+- FILLER_63_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 223110 ) N ;
+- FILLER_63_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 223110 ) N ;
+- FILLER_63_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 223110 ) N ;
+- FILLER_63_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 223110 ) N ;
+- FILLER_63_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 223110 ) N ;
+- FILLER_63_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 223110 ) N ;
+- FILLER_63_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 223110 ) N ;
+- FILLER_63_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 223110 ) N ;
+- FILLER_63_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 223110 ) N ;
+- FILLER_63_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 223110 ) N ;
+- FILLER_63_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 223110 ) N ;
+- FILLER_63_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 223110 ) N ;
+- FILLER_63_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 223110 ) N ;
+- FILLER_63_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 223110 ) N ;
+- FILLER_63_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 223110 ) N ;
+- FILLER_63_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 223110 ) N ;
+- FILLER_63_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 223110 ) N ;
+- FILLER_63_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 223110 ) N ;
+- FILLER_63_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 223110 ) N ;
+- FILLER_63_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 223110 ) N ;
+- FILLER_63_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 223110 ) N ;
+- FILLER_63_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 223110 ) N ;
+- FILLER_63_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 223110 ) N ;
+- FILLER_63_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 223110 ) N ;
+- FILLER_63_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 223110 ) N ;
+- FILLER_63_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 223110 ) N ;
+- FILLER_63_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 223110 ) N ;
+- FILLER_63_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 223110 ) N ;
+- FILLER_63_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 223110 ) N ;
+- FILLER_63_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 223110 ) N ;
+- FILLER_63_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 223110 ) N ;
+- FILLER_63_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 223110 ) N ;
+- FILLER_63_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 223110 ) N ;
+- FILLER_63_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 223110 ) N ;
+- FILLER_63_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 223110 ) N ;
+- FILLER_63_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 223110 ) N ;
+- FILLER_63_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 223110 ) N ;
+- FILLER_63_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 223110 ) N ;
+- FILLER_63_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 223110 ) N ;
+- FILLER_63_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 223110 ) N ;
+- FILLER_63_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 223110 ) N ;
+- FILLER_63_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 223110 ) N ;
+- FILLER_63_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 223110 ) N ;
+- FILLER_63_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 223110 ) N ;
+- FILLER_63_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 223110 ) N ;
+- FILLER_63_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 223110 ) N ;
+- FILLER_63_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 223110 ) N ;
+- FILLER_63_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 223110 ) N ;
+- FILLER_63_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 223110 ) N ;
+- FILLER_63_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 223110 ) N ;
+- FILLER_63_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 223110 ) N ;
+- FILLER_63_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 223110 ) N ;
+- FILLER_63_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 223110 ) N ;
+- FILLER_63_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 223110 ) N ;
+- FILLER_63_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 223110 ) N ;
+- FILLER_63_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 223110 ) N ;
+- FILLER_63_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 223110 ) N ;
+- FILLER_63_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 223110 ) N ;
+- FILLER_63_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 223110 ) N ;
+- FILLER_63_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 223110 ) N ;
+- FILLER_63_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 223110 ) N ;
+- FILLER_63_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 223110 ) N ;
+- FILLER_63_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 223110 ) N ;
+- FILLER_63_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 223110 ) N ;
+- FILLER_64_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 226440 ) FS ;
+- FILLER_64_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 226440 ) FS ;
+- FILLER_64_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 226440 ) FS ;
+- FILLER_64_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 226440 ) FS ;
+- FILLER_64_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 226440 ) FS ;
+- FILLER_64_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 226440 ) FS ;
+- FILLER_64_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 226440 ) FS ;
+- FILLER_64_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 226440 ) FS ;
+- FILLER_64_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 226440 ) FS ;
+- FILLER_64_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 226440 ) FS ;
+- FILLER_64_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 226440 ) FS ;
+- FILLER_64_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 226440 ) FS ;
+- FILLER_64_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 226440 ) FS ;
+- FILLER_64_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 226440 ) FS ;
+- FILLER_64_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 226440 ) FS ;
+- FILLER_64_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 226440 ) FS ;
+- FILLER_64_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 226440 ) FS ;
+- FILLER_64_133 sky130_fd_sc_ls__decap_4 + PLACED ( 69600 226440 ) FS ;
+- FILLER_64_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 226440 ) FS ;
+- FILLER_64_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 226440 ) FS ;
+- FILLER_64_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 226440 ) FS ;
+- FILLER_64_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 226440 ) FS ;
+- FILLER_64_163 sky130_fd_sc_ls__decap_4 + PLACED ( 84000 226440 ) FS ;
+- FILLER_64_167 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85920 226440 ) FS ;
+- FILLER_64_169 sky130_fd_sc_ls__fill_1 + PLACED ( 86880 226440 ) FS ;
+- FILLER_64_175 sky130_fd_sc_ls__decap_8 + PLACED ( 89760 226440 ) FS ;
+- FILLER_64_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 226440 ) FS ;
+- FILLER_64_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 226440 ) FS ;
+- FILLER_64_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 226440 ) FS ;
+- FILLER_64_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 226440 ) FS ;
+- FILLER_64_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 226440 ) FS ;
+- FILLER_64_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 226440 ) FS ;
+- FILLER_64_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 226440 ) FS ;
+- FILLER_64_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 226440 ) FS ;
+- FILLER_64_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 226440 ) FS ;
+- FILLER_64_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 226440 ) FS ;
+- FILLER_64_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 226440 ) FS ;
+- FILLER_64_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 226440 ) FS ;
+- FILLER_64_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 226440 ) FS ;
+- FILLER_64_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 226440 ) FS ;
+- FILLER_64_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 226440 ) FS ;
+- FILLER_64_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 226440 ) FS ;
+- FILLER_64_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 226440 ) FS ;
+- FILLER_64_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 226440 ) FS ;
+- FILLER_64_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 226440 ) FS ;
+- FILLER_64_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 226440 ) FS ;
+- FILLER_64_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 226440 ) FS ;
+- FILLER_64_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 226440 ) FS ;
+- FILLER_64_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 226440 ) FS ;
+- FILLER_64_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 226440 ) FS ;
+- FILLER_64_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 226440 ) FS ;
+- FILLER_64_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 226440 ) FS ;
+- FILLER_64_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 226440 ) FS ;
+- FILLER_64_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 226440 ) FS ;
+- FILLER_64_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 226440 ) FS ;
+- FILLER_64_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 226440 ) FS ;
+- FILLER_64_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 226440 ) FS ;
+- FILLER_64_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 226440 ) FS ;
+- FILLER_64_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 226440 ) FS ;
+- FILLER_64_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 226440 ) FS ;
+- FILLER_64_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 226440 ) FS ;
+- FILLER_64_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 226440 ) FS ;
+- FILLER_64_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 226440 ) FS ;
+- FILLER_64_438 sky130_fd_sc_ls__decap_4 + PLACED ( 216000 226440 ) FS ;
+- FILLER_64_442 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 217920 226440 ) FS ;
+- FILLER_64_444 sky130_fd_sc_ls__fill_1 + PLACED ( 218880 226440 ) FS ;
+- FILLER_64_448 sky130_fd_sc_ls__decap_8 + PLACED ( 220800 226440 ) FS ;
+- FILLER_64_456 sky130_fd_sc_ls__decap_8 + PLACED ( 224640 226440 ) FS ;
+- FILLER_64_464 sky130_fd_sc_ls__decap_4 + PLACED ( 228480 226440 ) FS ;
+- FILLER_64_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 226440 ) FS ;
+- FILLER_64_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 226440 ) FS ;
+- FILLER_64_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 226440 ) FS ;
+- FILLER_64_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 226440 ) FS ;
+- FILLER_64_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 226440 ) FS ;
+- FILLER_64_509 sky130_fd_sc_ls__decap_4 + PLACED ( 250080 226440 ) FS ;
+- FILLER_64_513 sky130_fd_sc_ls__fill_1 + PLACED ( 252000 226440 ) FS ;
+- FILLER_64_519 sky130_fd_sc_ls__decap_4 + PLACED ( 254880 226440 ) FS ;
+- FILLER_64_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 226440 ) FS ;
+- FILLER_64_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 226440 ) FS ;
+- FILLER_64_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 226440 ) FS ;
+- FILLER_64_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 226440 ) FS ;
+- FILLER_64_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 226440 ) FS ;
+- FILLER_64_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 226440 ) FS ;
+- FILLER_64_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 226440 ) FS ;
+- FILLER_64_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 226440 ) FS ;
+- FILLER_64_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 226440 ) FS ;
+- FILLER_64_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 226440 ) FS ;
+- FILLER_64_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 226440 ) FS ;
+- FILLER_65_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 229770 ) N ;
+- FILLER_65_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 229770 ) N ;
+- FILLER_65_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 229770 ) N ;
+- FILLER_65_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 229770 ) N ;
+- FILLER_65_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 229770 ) N ;
+- FILLER_65_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 229770 ) N ;
+- FILLER_65_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 229770 ) N ;
+- FILLER_65_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 229770 ) N ;
+- FILLER_65_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 229770 ) N ;
+- FILLER_65_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 229770 ) N ;
+- FILLER_65_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 229770 ) N ;
+- FILLER_65_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 229770 ) N ;
+- FILLER_65_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 229770 ) N ;
+- FILLER_65_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 229770 ) N ;
+- FILLER_65_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 229770 ) N ;
+- FILLER_65_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 229770 ) N ;
+- FILLER_65_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 229770 ) N ;
+- FILLER_65_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 229770 ) N ;
+- FILLER_65_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 229770 ) N ;
+- FILLER_65_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 229770 ) N ;
+- FILLER_65_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 229770 ) N ;
+- FILLER_65_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 229770 ) N ;
+- FILLER_65_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 229770 ) N ;
+- FILLER_65_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 229770 ) N ;
+- FILLER_65_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 229770 ) N ;
+- FILLER_65_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 229770 ) N ;
+- FILLER_65_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 229770 ) N ;
+- FILLER_65_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 229770 ) N ;
+- FILLER_65_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 229770 ) N ;
+- FILLER_65_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 229770 ) N ;
+- FILLER_65_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 229770 ) N ;
+- FILLER_65_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 229770 ) N ;
+- FILLER_65_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 229770 ) N ;
+- FILLER_65_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 229770 ) N ;
+- FILLER_65_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 229770 ) N ;
+- FILLER_65_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 229770 ) N ;
+- FILLER_65_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 229770 ) N ;
+- FILLER_65_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 229770 ) N ;
+- FILLER_65_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 229770 ) N ;
+- FILLER_65_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 229770 ) N ;
+- FILLER_65_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 229770 ) N ;
+- FILLER_65_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 229770 ) N ;
+- FILLER_65_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 229770 ) N ;
+- FILLER_65_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 229770 ) N ;
+- FILLER_65_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 229770 ) N ;
+- FILLER_65_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 229770 ) N ;
+- FILLER_65_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 229770 ) N ;
+- FILLER_65_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 229770 ) N ;
+- FILLER_65_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 229770 ) N ;
+- FILLER_65_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 229770 ) N ;
+- FILLER_65_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 229770 ) N ;
+- FILLER_65_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 229770 ) N ;
+- FILLER_65_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 229770 ) N ;
+- FILLER_65_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 229770 ) N ;
+- FILLER_65_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 229770 ) N ;
+- FILLER_65_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 229770 ) N ;
+- FILLER_65_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 229770 ) N ;
+- FILLER_65_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 229770 ) N ;
+- FILLER_65_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 229770 ) N ;
+- FILLER_65_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 229770 ) N ;
+- FILLER_65_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 229770 ) N ;
+- FILLER_65_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 229770 ) N ;
+- FILLER_65_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 229770 ) N ;
+- FILLER_65_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 229770 ) N ;
+- FILLER_65_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 229770 ) N ;
+- FILLER_65_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 229770 ) N ;
+- FILLER_65_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 229770 ) N ;
+- FILLER_65_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 229770 ) N ;
+- FILLER_65_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 229770 ) N ;
+- FILLER_65_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 229770 ) N ;
+- FILLER_65_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 229770 ) N ;
+- FILLER_65_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 229770 ) N ;
+- FILLER_65_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 229770 ) N ;
+- FILLER_65_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 229770 ) N ;
+- FILLER_65_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 229770 ) N ;
+- FILLER_65_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 229770 ) N ;
+- FILLER_65_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 229770 ) N ;
+- FILLER_65_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 229770 ) N ;
+- FILLER_65_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 229770 ) N ;
+- FILLER_65_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 229770 ) N ;
+- FILLER_65_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 229770 ) N ;
+- FILLER_65_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 229770 ) N ;
+- FILLER_65_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 229770 ) N ;
+- FILLER_65_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 229770 ) N ;
+- FILLER_65_583 sky130_fd_sc_ls__fill_1 + PLACED ( 285600 229770 ) N ;
+- FILLER_65_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 229770 ) N ;
+- FILLER_66_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 233100 ) FS ;
+- FILLER_66_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 233100 ) FS ;
+- FILLER_66_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 233100 ) FS ;
+- FILLER_66_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 233100 ) FS ;
+- FILLER_66_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 233100 ) FS ;
+- FILLER_66_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 233100 ) FS ;
+- FILLER_66_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 233100 ) FS ;
+- FILLER_66_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 233100 ) FS ;
+- FILLER_66_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 233100 ) FS ;
+- FILLER_66_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 233100 ) FS ;
+- FILLER_66_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 233100 ) FS ;
+- FILLER_66_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 233100 ) FS ;
+- FILLER_66_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 233100 ) FS ;
+- FILLER_66_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 233100 ) FS ;
+- FILLER_66_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 233100 ) FS ;
+- FILLER_66_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 233100 ) FS ;
+- FILLER_66_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 233100 ) FS ;
+- FILLER_66_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 233100 ) FS ;
+- FILLER_66_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 233100 ) FS ;
+- FILLER_66_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 233100 ) FS ;
+- FILLER_66_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 233100 ) FS ;
+- FILLER_66_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 233100 ) FS ;
+- FILLER_66_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 233100 ) FS ;
+- FILLER_66_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 233100 ) FS ;
+- FILLER_66_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 233100 ) FS ;
+- FILLER_66_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 233100 ) FS ;
+- FILLER_66_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 233100 ) FS ;
+- FILLER_66_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 233100 ) FS ;
+- FILLER_66_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 233100 ) FS ;
+- FILLER_66_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 233100 ) FS ;
+- FILLER_66_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 233100 ) FS ;
+- FILLER_66_226 sky130_fd_sc_ls__decap_4 + PLACED ( 114240 233100 ) FS ;
+- FILLER_66_230 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 116160 233100 ) FS ;
+- FILLER_66_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 233100 ) FS ;
+- FILLER_66_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 233100 ) FS ;
+- FILLER_66_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 233100 ) FS ;
+- FILLER_66_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 233100 ) FS ;
+- FILLER_66_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 233100 ) FS ;
+- FILLER_66_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 233100 ) FS ;
+- FILLER_66_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 233100 ) FS ;
+- FILLER_66_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 233100 ) FS ;
+- FILLER_66_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 233100 ) FS ;
+- FILLER_66_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 233100 ) FS ;
+- FILLER_66_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 233100 ) FS ;
+- FILLER_66_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 233100 ) FS ;
+- FILLER_66_308 sky130_fd_sc_ls__fill_1 + PLACED ( 153600 233100 ) FS ;
+- FILLER_66_312 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 155520 233100 ) FS ;
+- FILLER_66_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 233100 ) FS ;
+- FILLER_66_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 233100 ) FS ;
+- FILLER_66_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 233100 ) FS ;
+- FILLER_66_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 233100 ) FS ;
+- FILLER_66_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 233100 ) FS ;
+- FILLER_66_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 233100 ) FS ;
+- FILLER_66_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 233100 ) FS ;
+- FILLER_66_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 233100 ) FS ;
+- FILLER_66_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 233100 ) FS ;
+- FILLER_66_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 233100 ) FS ;
+- FILLER_66_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 233100 ) FS ;
+- FILLER_66_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 233100 ) FS ;
+- FILLER_66_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 233100 ) FS ;
+- FILLER_66_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 233100 ) FS ;
+- FILLER_66_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 233100 ) FS ;
+- FILLER_66_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 233100 ) FS ;
+- FILLER_66_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 233100 ) FS ;
+- FILLER_66_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 233100 ) FS ;
+- FILLER_66_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 233100 ) FS ;
+- FILLER_66_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 233100 ) FS ;
+- FILLER_66_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 233100 ) FS ;
+- FILLER_66_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 233100 ) FS ;
+- FILLER_66_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 233100 ) FS ;
+- FILLER_66_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 233100 ) FS ;
+- FILLER_66_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 233100 ) FS ;
+- FILLER_66_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 233100 ) FS ;
+- FILLER_66_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 233100 ) FS ;
+- FILLER_66_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 233100 ) FS ;
+- FILLER_66_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 233100 ) FS ;
+- FILLER_66_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 233100 ) FS ;
+- FILLER_66_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 233100 ) FS ;
+- FILLER_66_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 233100 ) FS ;
+- FILLER_66_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 233100 ) FS ;
+- FILLER_66_536 sky130_fd_sc_ls__fill_1 + PLACED ( 263040 233100 ) FS ;
+- FILLER_66_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 233100 ) FS ;
+- FILLER_66_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 233100 ) FS ;
+- FILLER_66_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 233100 ) FS ;
+- FILLER_66_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 233100 ) FS ;
+- FILLER_66_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 233100 ) FS ;
+- FILLER_66_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 233100 ) FS ;
+- FILLER_66_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 233100 ) FS ;
+- FILLER_66_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 233100 ) FS ;
+- FILLER_66_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 233100 ) FS ;
+- FILLER_67_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 236430 ) N ;
+- FILLER_67_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 236430 ) N ;
+- FILLER_67_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 236430 ) N ;
+- FILLER_67_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 236430 ) N ;
+- FILLER_67_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 236430 ) N ;
+- FILLER_67_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 236430 ) N ;
+- FILLER_67_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 236430 ) N ;
+- FILLER_67_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 236430 ) N ;
+- FILLER_67_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 236430 ) N ;
+- FILLER_67_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 236430 ) N ;
+- FILLER_67_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 236430 ) N ;
+- FILLER_67_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 236430 ) N ;
+- FILLER_67_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 236430 ) N ;
+- FILLER_67_96 sky130_fd_sc_ls__decap_4 + PLACED ( 51840 236430 ) N ;
+- FILLER_67_100 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 53760 236430 ) N ;
+- FILLER_67_102 sky130_fd_sc_ls__fill_1 + PLACED ( 54720 236430 ) N ;
+- FILLER_67_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 236430 ) N ;
+- FILLER_67_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 236430 ) N ;
+- FILLER_67_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 236430 ) N ;
+- FILLER_67_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 236430 ) N ;
+- FILLER_67_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 236430 ) N ;
+- FILLER_67_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 236430 ) N ;
+- FILLER_67_151 sky130_fd_sc_ls__fill_1 + PLACED ( 78240 236430 ) N ;
+- FILLER_67_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 236430 ) N ;
+- FILLER_67_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 236430 ) N ;
+- FILLER_67_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 236430 ) N ;
+- FILLER_67_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 236430 ) N ;
+- FILLER_67_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 236430 ) N ;
+- FILLER_67_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 236430 ) N ;
+- FILLER_67_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 236430 ) N ;
+- FILLER_67_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 236430 ) N ;
+- FILLER_67_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 236430 ) N ;
+- FILLER_67_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 236430 ) N ;
+- FILLER_67_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 236430 ) N ;
+- FILLER_67_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 236430 ) N ;
+- FILLER_67_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 236430 ) N ;
+- FILLER_67_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 236430 ) N ;
+- FILLER_67_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 236430 ) N ;
+- FILLER_67_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 236430 ) N ;
+- FILLER_67_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 236430 ) N ;
+- FILLER_67_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 236430 ) N ;
+- FILLER_67_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 236430 ) N ;
+- FILLER_67_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 236430 ) N ;
+- FILLER_67_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 236430 ) N ;
+- FILLER_67_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 236430 ) N ;
+- FILLER_67_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 236430 ) N ;
+- FILLER_67_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 236430 ) N ;
+- FILLER_67_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 236430 ) N ;
+- FILLER_67_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 236430 ) N ;
+- FILLER_67_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 236430 ) N ;
+- FILLER_67_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 236430 ) N ;
+- FILLER_67_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 236430 ) N ;
+- FILLER_67_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 236430 ) N ;
+- FILLER_67_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 236430 ) N ;
+- FILLER_67_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 236430 ) N ;
+- FILLER_67_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 236430 ) N ;
+- FILLER_67_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 236430 ) N ;
+- FILLER_67_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 236430 ) N ;
+- FILLER_67_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 236430 ) N ;
+- FILLER_67_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 236430 ) N ;
+- FILLER_67_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 236430 ) N ;
+- FILLER_67_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 236430 ) N ;
+- FILLER_67_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 236430 ) N ;
+- FILLER_67_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 236430 ) N ;
+- FILLER_67_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 236430 ) N ;
+- FILLER_67_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 236430 ) N ;
+- FILLER_67_445 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 219360 236430 ) N ;
+- FILLER_67_447 sky130_fd_sc_ls__fill_1 + PLACED ( 220320 236430 ) N ;
+- FILLER_67_453 sky130_fd_sc_ls__decap_8 + PLACED ( 223200 236430 ) N ;
+- FILLER_67_461 sky130_fd_sc_ls__decap_8 + PLACED ( 227040 236430 ) N ;
+- FILLER_67_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 236430 ) N ;
+- FILLER_67_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 236430 ) N ;
+- FILLER_67_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 236430 ) N ;
+- FILLER_67_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 236430 ) N ;
+- FILLER_67_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 236430 ) N ;
+- FILLER_67_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 236430 ) N ;
+- FILLER_67_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 236430 ) N ;
+- FILLER_67_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 236430 ) N ;
+- FILLER_67_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 236430 ) N ;
+- FILLER_67_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 236430 ) N ;
+- FILLER_67_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 236430 ) N ;
+- FILLER_67_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 236430 ) N ;
+- FILLER_67_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 236430 ) N ;
+- FILLER_67_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 236430 ) N ;
+- FILLER_67_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 236430 ) N ;
+- FILLER_67_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 236430 ) N ;
+- FILLER_67_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 236430 ) N ;
+- FILLER_67_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 236430 ) N ;
+- FILLER_67_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 236430 ) N ;
+- FILLER_68_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 239760 ) FS ;
+- FILLER_68_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 239760 ) FS ;
+- FILLER_68_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 239760 ) FS ;
+- FILLER_68_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 239760 ) FS ;
+- FILLER_68_33 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 21600 239760 ) FS ;
+- FILLER_68_40 sky130_fd_sc_ls__decap_8 + PLACED ( 24960 239760 ) FS ;
+- FILLER_68_48 sky130_fd_sc_ls__decap_8 + PLACED ( 28800 239760 ) FS ;
+- FILLER_68_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 239760 ) FS ;
+- FILLER_68_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 239760 ) FS ;
+- FILLER_68_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 239760 ) FS ;
+- FILLER_68_80 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44160 239760 ) FS ;
+- FILLER_68_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 239760 ) FS ;
+- FILLER_68_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 239760 ) FS ;
+- FILLER_68_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 239760 ) FS ;
+- FILLER_68_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 239760 ) FS ;
+- FILLER_68_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 239760 ) FS ;
+- FILLER_68_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 239760 ) FS ;
+- FILLER_68_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 239760 ) FS ;
+- FILLER_68_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 239760 ) FS ;
+- FILLER_68_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 239760 ) FS ;
+- FILLER_68_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 239760 ) FS ;
+- FILLER_68_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 239760 ) FS ;
+- FILLER_68_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 239760 ) FS ;
+- FILLER_68_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 239760 ) FS ;
+- FILLER_68_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 239760 ) FS ;
+- FILLER_68_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 239760 ) FS ;
+- FILLER_68_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 239760 ) FS ;
+- FILLER_68_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 239760 ) FS ;
+- FILLER_68_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 239760 ) FS ;
+- FILLER_68_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 239760 ) FS ;
+- FILLER_68_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 239760 ) FS ;
+- FILLER_68_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 239760 ) FS ;
+- FILLER_68_226 sky130_fd_sc_ls__decap_4 + PLACED ( 114240 239760 ) FS ;
+- FILLER_68_230 sky130_fd_sc_ls__fill_1 + PLACED ( 116160 239760 ) FS ;
+- FILLER_68_236 sky130_fd_sc_ls__decap_8 + PLACED ( 119040 239760 ) FS ;
+- FILLER_68_244 sky130_fd_sc_ls__decap_4 + PLACED ( 122880 239760 ) FS ;
+- FILLER_68_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 239760 ) FS ;
+- FILLER_68_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 239760 ) FS ;
+- FILLER_68_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 239760 ) FS ;
+- FILLER_68_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 239760 ) FS ;
+- FILLER_68_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 239760 ) FS ;
+- FILLER_68_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 239760 ) FS ;
+- FILLER_68_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 239760 ) FS ;
+- FILLER_68_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 239760 ) FS ;
+- FILLER_68_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 239760 ) FS ;
+- FILLER_68_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 239760 ) FS ;
+- FILLER_68_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 239760 ) FS ;
+- FILLER_68_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 239760 ) FS ;
+- FILLER_68_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 239760 ) FS ;
+- FILLER_68_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 239760 ) FS ;
+- FILLER_68_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 239760 ) FS ;
+- FILLER_68_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 239760 ) FS ;
+- FILLER_68_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 239760 ) FS ;
+- FILLER_68_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 239760 ) FS ;
+- FILLER_68_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 239760 ) FS ;
+- FILLER_68_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 239760 ) FS ;
+- FILLER_68_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 239760 ) FS ;
+- FILLER_68_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 239760 ) FS ;
+- FILLER_68_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 239760 ) FS ;
+- FILLER_68_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 239760 ) FS ;
+- FILLER_68_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 239760 ) FS ;
+- FILLER_68_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 239760 ) FS ;
+- FILLER_68_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 239760 ) FS ;
+- FILLER_68_438 sky130_fd_sc_ls__decap_4 + PLACED ( 216000 239760 ) FS ;
+- FILLER_68_442 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 217920 239760 ) FS ;
+- FILLER_68_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 239760 ) FS ;
+- FILLER_68_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 239760 ) FS ;
+- FILLER_68_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 239760 ) FS ;
+- FILLER_68_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 239760 ) FS ;
+- FILLER_68_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 239760 ) FS ;
+- FILLER_68_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 239760 ) FS ;
+- FILLER_68_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 239760 ) FS ;
+- FILLER_68_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 239760 ) FS ;
+- FILLER_68_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 239760 ) FS ;
+- FILLER_68_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 239760 ) FS ;
+- FILLER_68_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 239760 ) FS ;
+- FILLER_68_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 239760 ) FS ;
+- FILLER_68_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 239760 ) FS ;
+- FILLER_68_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 239760 ) FS ;
+- FILLER_68_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 239760 ) FS ;
+- FILLER_68_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 239760 ) FS ;
+- FILLER_68_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 239760 ) FS ;
+- FILLER_68_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 239760 ) FS ;
+- FILLER_68_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 239760 ) FS ;
+- FILLER_68_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 239760 ) FS ;
+- FILLER_68_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 239760 ) FS ;
+- FILLER_68_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 239760 ) FS ;
+- FILLER_68_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 239760 ) FS ;
+- FILLER_69_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 243090 ) N ;
+- FILLER_69_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 243090 ) N ;
+- FILLER_69_25 sky130_fd_sc_ls__decap_8 + PLACED ( 17760 243090 ) N ;
+- FILLER_69_33 sky130_fd_sc_ls__decap_8 + PLACED ( 21600 243090 ) N ;
+- FILLER_69_41 sky130_fd_sc_ls__decap_8 + PLACED ( 25440 243090 ) N ;
+- FILLER_69_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 243090 ) N ;
+- FILLER_69_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 243090 ) N ;
+- FILLER_69_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 243090 ) N ;
+- FILLER_69_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 243090 ) N ;
+- FILLER_69_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 243090 ) N ;
+- FILLER_69_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 243090 ) N ;
+- FILLER_69_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 243090 ) N ;
+- FILLER_69_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 243090 ) N ;
+- FILLER_69_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 243090 ) N ;
+- FILLER_69_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 243090 ) N ;
+- FILLER_69_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 243090 ) N ;
+- FILLER_69_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 243090 ) N ;
+- FILLER_69_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 243090 ) N ;
+- FILLER_69_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 243090 ) N ;
+- FILLER_69_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 243090 ) N ;
+- FILLER_69_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 243090 ) N ;
+- FILLER_69_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 243090 ) N ;
+- FILLER_69_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 243090 ) N ;
+- FILLER_69_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 243090 ) N ;
+- FILLER_69_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 243090 ) N ;
+- FILLER_69_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 243090 ) N ;
+- FILLER_69_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 243090 ) N ;
+- FILLER_69_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 243090 ) N ;
+- FILLER_69_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 243090 ) N ;
+- FILLER_69_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 243090 ) N ;
+- FILLER_69_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 243090 ) N ;
+- FILLER_69_221 sky130_fd_sc_ls__decap_4 + PLACED ( 111840 243090 ) N ;
+- FILLER_69_225 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 113760 243090 ) N ;
+- FILLER_69_227 sky130_fd_sc_ls__fill_1 + PLACED ( 114720 243090 ) N ;
+- FILLER_69_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 243090 ) N ;
+- FILLER_69_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 243090 ) N ;
+- FILLER_69_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 243090 ) N ;
+- FILLER_69_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 243090 ) N ;
+- FILLER_69_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 243090 ) N ;
+- FILLER_69_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 243090 ) N ;
+- FILLER_69_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 243090 ) N ;
+- FILLER_69_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 243090 ) N ;
+- FILLER_69_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 243090 ) N ;
+- FILLER_69_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 243090 ) N ;
+- FILLER_69_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 243090 ) N ;
+- FILLER_69_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 243090 ) N ;
+- FILLER_69_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 243090 ) N ;
+- FILLER_69_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 243090 ) N ;
+- FILLER_69_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 243090 ) N ;
+- FILLER_69_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 243090 ) N ;
+- FILLER_69_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 243090 ) N ;
+- FILLER_69_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 243090 ) N ;
+- FILLER_69_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 243090 ) N ;
+- FILLER_69_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 243090 ) N ;
+- FILLER_69_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 243090 ) N ;
+- FILLER_69_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 243090 ) N ;
+- FILLER_69_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 243090 ) N ;
+- FILLER_69_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 243090 ) N ;
+- FILLER_69_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 243090 ) N ;
+- FILLER_69_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 243090 ) N ;
+- FILLER_69_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 243090 ) N ;
+- FILLER_69_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 243090 ) N ;
+- FILLER_69_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 243090 ) N ;
+- FILLER_69_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 243090 ) N ;
+- FILLER_69_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 243090 ) N ;
+- FILLER_69_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 243090 ) N ;
+- FILLER_69_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 243090 ) N ;
+- FILLER_69_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 243090 ) N ;
+- FILLER_69_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 243090 ) N ;
+- FILLER_69_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 243090 ) N ;
+- FILLER_69_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 243090 ) N ;
+- FILLER_69_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 243090 ) N ;
+- FILLER_69_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 243090 ) N ;
+- FILLER_69_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 243090 ) N ;
+- FILLER_69_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 243090 ) N ;
+- FILLER_69_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 243090 ) N ;
+- FILLER_69_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 243090 ) N ;
+- FILLER_69_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 243090 ) N ;
+- FILLER_69_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 243090 ) N ;
+- FILLER_69_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 243090 ) N ;
+- FILLER_69_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 243090 ) N ;
+- FILLER_69_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 243090 ) N ;
+- FILLER_69_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 243090 ) N ;
+- FILLER_69_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 243090 ) N ;
+- FILLER_69_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 243090 ) N ;
+- FILLER_69_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 243090 ) N ;
+- FILLER_69_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 243090 ) N ;
+- FILLER_70_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 246420 ) FS ;
+- FILLER_70_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 246420 ) FS ;
+- FILLER_70_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 246420 ) FS ;
+- FILLER_70_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 246420 ) FS ;
+- FILLER_70_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 246420 ) FS ;
+- FILLER_70_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 246420 ) FS ;
+- FILLER_70_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 246420 ) FS ;
+- FILLER_70_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 246420 ) FS ;
+- FILLER_70_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 246420 ) FS ;
+- FILLER_70_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 246420 ) FS ;
+- FILLER_70_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 246420 ) FS ;
+- FILLER_70_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 246420 ) FS ;
+- FILLER_70_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 246420 ) FS ;
+- FILLER_70_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 246420 ) FS ;
+- FILLER_70_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 246420 ) FS ;
+- FILLER_70_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 246420 ) FS ;
+- FILLER_70_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 246420 ) FS ;
+- FILLER_70_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 246420 ) FS ;
+- FILLER_70_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 246420 ) FS ;
+- FILLER_70_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 246420 ) FS ;
+- FILLER_70_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 246420 ) FS ;
+- FILLER_70_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 246420 ) FS ;
+- FILLER_70_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 246420 ) FS ;
+- FILLER_70_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 246420 ) FS ;
+- FILLER_70_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 246420 ) FS ;
+- FILLER_70_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 246420 ) FS ;
+- FILLER_70_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 246420 ) FS ;
+- FILLER_70_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 246420 ) FS ;
+- FILLER_70_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 246420 ) FS ;
+- FILLER_70_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 246420 ) FS ;
+- FILLER_70_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 246420 ) FS ;
+- FILLER_70_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 246420 ) FS ;
+- FILLER_70_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 246420 ) FS ;
+- FILLER_70_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 246420 ) FS ;
+- FILLER_70_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 246420 ) FS ;
+- FILLER_70_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 246420 ) FS ;
+- FILLER_70_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 246420 ) FS ;
+- FILLER_70_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 246420 ) FS ;
+- FILLER_70_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 246420 ) FS ;
+- FILLER_70_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 246420 ) FS ;
+- FILLER_70_289 sky130_fd_sc_ls__decap_4 + PLACED ( 144480 246420 ) FS ;
+- FILLER_70_296 sky130_fd_sc_ls__decap_4 + PLACED ( 147840 246420 ) FS ;
+- FILLER_70_300 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 149760 246420 ) FS ;
+- FILLER_70_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 246420 ) FS ;
+- FILLER_70_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 246420 ) FS ;
+- FILLER_70_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 246420 ) FS ;
+- FILLER_70_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 246420 ) FS ;
+- FILLER_70_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 246420 ) FS ;
+- FILLER_70_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 246420 ) FS ;
+- FILLER_70_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 246420 ) FS ;
+- FILLER_70_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 246420 ) FS ;
+- FILLER_70_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 246420 ) FS ;
+- FILLER_70_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 246420 ) FS ;
+- FILLER_70_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 246420 ) FS ;
+- FILLER_70_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 246420 ) FS ;
+- FILLER_70_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 246420 ) FS ;
+- FILLER_70_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 246420 ) FS ;
+- FILLER_70_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 246420 ) FS ;
+- FILLER_70_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 246420 ) FS ;
+- FILLER_70_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 246420 ) FS ;
+- FILLER_70_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 246420 ) FS ;
+- FILLER_70_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 246420 ) FS ;
+- FILLER_70_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 246420 ) FS ;
+- FILLER_70_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 246420 ) FS ;
+- FILLER_70_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 246420 ) FS ;
+- FILLER_70_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 246420 ) FS ;
+- FILLER_70_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 246420 ) FS ;
+- FILLER_70_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 246420 ) FS ;
+- FILLER_70_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 246420 ) FS ;
+- FILLER_70_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 246420 ) FS ;
+- FILLER_70_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 246420 ) FS ;
+- FILLER_70_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 246420 ) FS ;
+- FILLER_70_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 246420 ) FS ;
+- FILLER_70_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 246420 ) FS ;
+- FILLER_70_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 246420 ) FS ;
+- FILLER_70_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 246420 ) FS ;
+- FILLER_70_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 246420 ) FS ;
+- FILLER_70_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 246420 ) FS ;
+- FILLER_70_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 246420 ) FS ;
+- FILLER_70_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 246420 ) FS ;
+- FILLER_70_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 246420 ) FS ;
+- FILLER_70_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 246420 ) FS ;
+- FILLER_70_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 246420 ) FS ;
+- FILLER_70_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 246420 ) FS ;
+- FILLER_70_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 246420 ) FS ;
+- FILLER_70_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 246420 ) FS ;
+- FILLER_70_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 246420 ) FS ;
+- FILLER_71_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 249750 ) N ;
+- FILLER_71_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 249750 ) N ;
+- FILLER_71_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 249750 ) N ;
+- FILLER_71_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 249750 ) N ;
+- FILLER_71_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 249750 ) N ;
+- FILLER_71_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 249750 ) N ;
+- FILLER_71_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 249750 ) N ;
+- FILLER_71_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 249750 ) N ;
+- FILLER_71_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 249750 ) N ;
+- FILLER_71_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 249750 ) N ;
+- FILLER_71_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 249750 ) N ;
+- FILLER_71_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 249750 ) N ;
+- FILLER_71_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 249750 ) N ;
+- FILLER_71_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 249750 ) N ;
+- FILLER_71_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 249750 ) N ;
+- FILLER_71_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 249750 ) N ;
+- FILLER_71_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 249750 ) N ;
+- FILLER_71_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 249750 ) N ;
+- FILLER_71_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 249750 ) N ;
+- FILLER_71_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 249750 ) N ;
+- FILLER_71_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 249750 ) N ;
+- FILLER_71_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 249750 ) N ;
+- FILLER_71_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 249750 ) N ;
+- FILLER_71_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 249750 ) N ;
+- FILLER_71_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 249750 ) N ;
+- FILLER_71_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 249750 ) N ;
+- FILLER_71_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 249750 ) N ;
+- FILLER_71_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 249750 ) N ;
+- FILLER_71_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 249750 ) N ;
+- FILLER_71_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 249750 ) N ;
+- FILLER_71_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 249750 ) N ;
+- FILLER_71_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 249750 ) N ;
+- FILLER_71_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 249750 ) N ;
+- FILLER_71_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 249750 ) N ;
+- FILLER_71_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 249750 ) N ;
+- FILLER_71_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 249750 ) N ;
+- FILLER_71_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 249750 ) N ;
+- FILLER_71_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 249750 ) N ;
+- FILLER_71_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 249750 ) N ;
+- FILLER_71_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 249750 ) N ;
+- FILLER_71_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 249750 ) N ;
+- FILLER_71_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 249750 ) N ;
+- FILLER_71_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 249750 ) N ;
+- FILLER_71_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 249750 ) N ;
+- FILLER_71_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 249750 ) N ;
+- FILLER_71_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 249750 ) N ;
+- FILLER_71_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 249750 ) N ;
+- FILLER_71_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 249750 ) N ;
+- FILLER_71_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 249750 ) N ;
+- FILLER_71_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 249750 ) N ;
+- FILLER_71_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 249750 ) N ;
+- FILLER_71_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 249750 ) N ;
+- FILLER_71_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 249750 ) N ;
+- FILLER_71_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 249750 ) N ;
+- FILLER_71_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 249750 ) N ;
+- FILLER_71_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 249750 ) N ;
+- FILLER_71_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 249750 ) N ;
+- FILLER_71_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 249750 ) N ;
+- FILLER_71_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 249750 ) N ;
+- FILLER_71_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 249750 ) N ;
+- FILLER_71_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 249750 ) N ;
+- FILLER_71_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 249750 ) N ;
+- FILLER_71_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 249750 ) N ;
+- FILLER_71_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 249750 ) N ;
+- FILLER_71_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 249750 ) N ;
+- FILLER_71_445 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 219360 249750 ) N ;
+- FILLER_71_447 sky130_fd_sc_ls__fill_1 + PLACED ( 220320 249750 ) N ;
+- FILLER_71_453 sky130_fd_sc_ls__decap_8 + PLACED ( 223200 249750 ) N ;
+- FILLER_71_461 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 227040 249750 ) N ;
+- FILLER_71_463 sky130_fd_sc_ls__fill_1 + PLACED ( 228000 249750 ) N ;
+- FILLER_71_467 sky130_fd_sc_ls__decap_8 + PLACED ( 229920 249750 ) N ;
+- FILLER_71_475 sky130_fd_sc_ls__decap_8 + PLACED ( 233760 249750 ) N ;
+- FILLER_71_483 sky130_fd_sc_ls__decap_8 + PLACED ( 237600 249750 ) N ;
+- FILLER_71_491 sky130_fd_sc_ls__decap_4 + PLACED ( 241440 249750 ) N ;
+- FILLER_71_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 249750 ) N ;
+- FILLER_71_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 249750 ) N ;
+- FILLER_71_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 249750 ) N ;
+- FILLER_71_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 249750 ) N ;
+- FILLER_71_528 sky130_fd_sc_ls__decap_4 + PLACED ( 259200 249750 ) N ;
+- FILLER_71_532 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 261120 249750 ) N ;
+- FILLER_71_534 sky130_fd_sc_ls__fill_1 + PLACED ( 262080 249750 ) N ;
+- FILLER_71_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 249750 ) N ;
+- FILLER_71_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 249750 ) N ;
+- FILLER_71_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 249750 ) N ;
+- FILLER_71_560 sky130_fd_sc_ls__decap_4 + PLACED ( 274560 249750 ) N ;
+- FILLER_71_564 sky130_fd_sc_ls__fill_1 + PLACED ( 276480 249750 ) N ;
+- FILLER_71_570 sky130_fd_sc_ls__decap_8 + PLACED ( 279360 249750 ) N ;
+- FILLER_71_578 sky130_fd_sc_ls__decap_8 + PLACED ( 283200 249750 ) N ;
+- FILLER_71_586 sky130_fd_sc_ls__decap_8 + PLACED ( 287040 249750 ) N ;
+- FILLER_71_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 249750 ) N ;
+- FILLER_71_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 249750 ) N ;
+- FILLER_72_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 253080 ) FS ;
+- FILLER_72_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 253080 ) FS ;
+- FILLER_72_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 253080 ) FS ;
+- FILLER_72_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 253080 ) FS ;
+- FILLER_72_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 253080 ) FS ;
+- FILLER_72_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 253080 ) FS ;
+- FILLER_72_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 253080 ) FS ;
+- FILLER_72_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 253080 ) FS ;
+- FILLER_72_69 sky130_fd_sc_ls__decap_4 + PLACED ( 38880 253080 ) FS ;
+- FILLER_72_73 sky130_fd_sc_ls__fill_1 + PLACED ( 40800 253080 ) FS ;
+- FILLER_72_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 253080 ) FS ;
+- FILLER_72_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 253080 ) FS ;
+- FILLER_72_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 253080 ) FS ;
+- FILLER_72_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 253080 ) FS ;
+- FILLER_72_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 253080 ) FS ;
+- FILLER_72_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 253080 ) FS ;
+- FILLER_72_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 253080 ) FS ;
+- FILLER_72_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 253080 ) FS ;
+- FILLER_72_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 253080 ) FS ;
+- FILLER_72_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 253080 ) FS ;
+- FILLER_72_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 253080 ) FS ;
+- FILLER_72_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 253080 ) FS ;
+- FILLER_72_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 253080 ) FS ;
+- FILLER_72_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 253080 ) FS ;
+- FILLER_72_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 253080 ) FS ;
+- FILLER_72_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 253080 ) FS ;
+- FILLER_72_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 253080 ) FS ;
+- FILLER_72_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 253080 ) FS ;
+- FILLER_72_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 253080 ) FS ;
+- FILLER_72_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 253080 ) FS ;
+- FILLER_72_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 253080 ) FS ;
+- FILLER_72_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 253080 ) FS ;
+- FILLER_72_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 253080 ) FS ;
+- FILLER_72_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 253080 ) FS ;
+- FILLER_72_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 253080 ) FS ;
+- FILLER_72_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 253080 ) FS ;
+- FILLER_72_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 253080 ) FS ;
+- FILLER_72_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 253080 ) FS ;
+- FILLER_72_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 253080 ) FS ;
+- FILLER_72_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 253080 ) FS ;
+- FILLER_72_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 253080 ) FS ;
+- FILLER_72_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 253080 ) FS ;
+- FILLER_72_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 253080 ) FS ;
+- FILLER_72_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 253080 ) FS ;
+- FILLER_72_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 253080 ) FS ;
+- FILLER_72_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 253080 ) FS ;
+- FILLER_72_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 253080 ) FS ;
+- FILLER_72_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 253080 ) FS ;
+- FILLER_72_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 253080 ) FS ;
+- FILLER_72_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 253080 ) FS ;
+- FILLER_72_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 253080 ) FS ;
+- FILLER_72_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 253080 ) FS ;
+- FILLER_72_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 253080 ) FS ;
+- FILLER_72_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 253080 ) FS ;
+- FILLER_72_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 253080 ) FS ;
+- FILLER_72_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 253080 ) FS ;
+- FILLER_72_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 253080 ) FS ;
+- FILLER_72_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 253080 ) FS ;
+- FILLER_72_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 253080 ) FS ;
+- FILLER_72_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 253080 ) FS ;
+- FILLER_72_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 253080 ) FS ;
+- FILLER_72_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 253080 ) FS ;
+- FILLER_72_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 253080 ) FS ;
+- FILLER_72_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 253080 ) FS ;
+- FILLER_72_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 253080 ) FS ;
+- FILLER_72_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 253080 ) FS ;
+- FILLER_72_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 253080 ) FS ;
+- FILLER_72_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 253080 ) FS ;
+- FILLER_72_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 253080 ) FS ;
+- FILLER_72_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 253080 ) FS ;
+- FILLER_72_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 253080 ) FS ;
+- FILLER_72_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 253080 ) FS ;
+- FILLER_72_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 253080 ) FS ;
+- FILLER_72_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 253080 ) FS ;
+- FILLER_72_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 253080 ) FS ;
+- FILLER_72_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 253080 ) FS ;
+- FILLER_72_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 253080 ) FS ;
+- FILLER_72_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 253080 ) FS ;
+- FILLER_72_536 sky130_fd_sc_ls__fill_1 + PLACED ( 263040 253080 ) FS ;
+- FILLER_72_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 253080 ) FS ;
+- FILLER_72_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 253080 ) FS ;
+- FILLER_72_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 253080 ) FS ;
+- FILLER_72_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 253080 ) FS ;
+- FILLER_72_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 253080 ) FS ;
+- FILLER_72_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 253080 ) FS ;
+- FILLER_72_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 253080 ) FS ;
+- FILLER_72_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 253080 ) FS ;
+- FILLER_72_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 253080 ) FS ;
+- FILLER_73_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 256410 ) N ;
+- FILLER_73_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 256410 ) N ;
+- FILLER_73_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 256410 ) N ;
+- FILLER_73_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 256410 ) N ;
+- FILLER_73_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 256410 ) N ;
+- FILLER_73_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 256410 ) N ;
+- FILLER_73_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 256410 ) N ;
+- FILLER_73_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 256410 ) N ;
+- FILLER_73_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 256410 ) N ;
+- FILLER_73_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 256410 ) N ;
+- FILLER_73_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 256410 ) N ;
+- FILLER_73_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 256410 ) N ;
+- FILLER_73_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 256410 ) N ;
+- FILLER_73_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 256410 ) N ;
+- FILLER_73_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 256410 ) N ;
+- FILLER_73_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 256410 ) N ;
+- FILLER_73_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 256410 ) N ;
+- FILLER_73_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 256410 ) N ;
+- FILLER_73_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 256410 ) N ;
+- FILLER_73_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 256410 ) N ;
+- FILLER_73_143 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 74400 256410 ) N ;
+- FILLER_73_150 sky130_fd_sc_ls__decap_8 + PLACED ( 77760 256410 ) N ;
+- FILLER_73_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 256410 ) N ;
+- FILLER_73_162 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 83520 256410 ) N ;
+- FILLER_73_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 256410 ) N ;
+- FILLER_73_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 256410 ) N ;
+- FILLER_73_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 256410 ) N ;
+- FILLER_73_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 256410 ) N ;
+- FILLER_73_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 256410 ) N ;
+- FILLER_73_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 256410 ) N ;
+- FILLER_73_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 256410 ) N ;
+- FILLER_73_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 256410 ) N ;
+- FILLER_73_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 256410 ) N ;
+- FILLER_73_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 256410 ) N ;
+- FILLER_73_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 256410 ) N ;
+- FILLER_73_237 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 119520 256410 ) N ;
+- FILLER_73_239 sky130_fd_sc_ls__fill_1 + PLACED ( 120480 256410 ) N ;
+- FILLER_73_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 256410 ) N ;
+- FILLER_73_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 256410 ) N ;
+- FILLER_73_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 256410 ) N ;
+- FILLER_73_268 sky130_fd_sc_ls__decap_4 + PLACED ( 134400 256410 ) N ;
+- FILLER_73_272 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136320 256410 ) N ;
+- FILLER_73_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 256410 ) N ;
+- FILLER_73_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 256410 ) N ;
+- FILLER_73_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 256410 ) N ;
+- FILLER_73_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 256410 ) N ;
+- FILLER_73_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 256410 ) N ;
+- FILLER_73_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 256410 ) N ;
+- FILLER_73_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 256410 ) N ;
+- FILLER_73_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 256410 ) N ;
+- FILLER_73_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 256410 ) N ;
+- FILLER_73_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 256410 ) N ;
+- FILLER_73_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 256410 ) N ;
+- FILLER_73_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 256410 ) N ;
+- FILLER_73_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 256410 ) N ;
+- FILLER_73_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 256410 ) N ;
+- FILLER_73_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 256410 ) N ;
+- FILLER_73_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 256410 ) N ;
+- FILLER_73_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 256410 ) N ;
+- FILLER_73_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 256410 ) N ;
+- FILLER_73_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 256410 ) N ;
+- FILLER_73_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 256410 ) N ;
+- FILLER_73_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 256410 ) N ;
+- FILLER_73_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 256410 ) N ;
+- FILLER_73_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 256410 ) N ;
+- FILLER_73_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 256410 ) N ;
+- FILLER_73_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 256410 ) N ;
+- FILLER_73_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 256410 ) N ;
+- FILLER_73_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 256410 ) N ;
+- FILLER_73_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 256410 ) N ;
+- FILLER_73_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 256410 ) N ;
+- FILLER_73_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 256410 ) N ;
+- FILLER_73_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 256410 ) N ;
+- FILLER_73_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 256410 ) N ;
+- FILLER_73_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 256410 ) N ;
+- FILLER_73_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 256410 ) N ;
+- FILLER_73_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 256410 ) N ;
+- FILLER_73_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 256410 ) N ;
+- FILLER_73_520 sky130_fd_sc_ls__fill_1 + PLACED ( 255360 256410 ) N ;
+- FILLER_73_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 256410 ) N ;
+- FILLER_73_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 256410 ) N ;
+- FILLER_73_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 256410 ) N ;
+- FILLER_73_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 256410 ) N ;
+- FILLER_73_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 256410 ) N ;
+- FILLER_73_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 256410 ) N ;
+- FILLER_73_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 256410 ) N ;
+- FILLER_73_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 256410 ) N ;
+- FILLER_73_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 256410 ) N ;
+- FILLER_73_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 256410 ) N ;
+- FILLER_73_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 256410 ) N ;
+- FILLER_74_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 259740 ) FS ;
+- FILLER_74_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 259740 ) FS ;
+- FILLER_74_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 259740 ) FS ;
+- FILLER_74_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 259740 ) FS ;
+- FILLER_74_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 259740 ) FS ;
+- FILLER_74_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 259740 ) FS ;
+- FILLER_74_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 259740 ) FS ;
+- FILLER_74_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 259740 ) FS ;
+- FILLER_74_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 259740 ) FS ;
+- FILLER_74_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 259740 ) FS ;
+- FILLER_74_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 259740 ) FS ;
+- FILLER_74_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 259740 ) FS ;
+- FILLER_74_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 259740 ) FS ;
+- FILLER_74_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 259740 ) FS ;
+- FILLER_74_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 259740 ) FS ;
+- FILLER_74_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 259740 ) FS ;
+- FILLER_74_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 259740 ) FS ;
+- FILLER_74_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 259740 ) FS ;
+- FILLER_74_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 259740 ) FS ;
+- FILLER_74_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 259740 ) FS ;
+- FILLER_74_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 259740 ) FS ;
+- FILLER_74_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 259740 ) FS ;
+- FILLER_74_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 259740 ) FS ;
+- FILLER_74_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 259740 ) FS ;
+- FILLER_74_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 259740 ) FS ;
+- FILLER_74_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 259740 ) FS ;
+- FILLER_74_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 259740 ) FS ;
+- FILLER_74_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 259740 ) FS ;
+- FILLER_74_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 259740 ) FS ;
+- FILLER_74_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 259740 ) FS ;
+- FILLER_74_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 259740 ) FS ;
+- FILLER_74_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 259740 ) FS ;
+- FILLER_74_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 259740 ) FS ;
+- FILLER_74_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 259740 ) FS ;
+- FILLER_74_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 259740 ) FS ;
+- FILLER_74_249 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125280 259740 ) FS ;
+- FILLER_74_256 sky130_fd_sc_ls__decap_8 + PLACED ( 128640 259740 ) FS ;
+- FILLER_74_264 sky130_fd_sc_ls__decap_4 + PLACED ( 132480 259740 ) FS ;
+- FILLER_74_268 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 134400 259740 ) FS ;
+- FILLER_74_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 259740 ) FS ;
+- FILLER_74_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 259740 ) FS ;
+- FILLER_74_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 259740 ) FS ;
+- FILLER_74_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 259740 ) FS ;
+- FILLER_74_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 259740 ) FS ;
+- FILLER_74_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 259740 ) FS ;
+- FILLER_74_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 259740 ) FS ;
+- FILLER_74_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 259740 ) FS ;
+- FILLER_74_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 259740 ) FS ;
+- FILLER_74_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 259740 ) FS ;
+- FILLER_74_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 259740 ) FS ;
+- FILLER_74_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 259740 ) FS ;
+- FILLER_74_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 259740 ) FS ;
+- FILLER_74_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 259740 ) FS ;
+- FILLER_74_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 259740 ) FS ;
+- FILLER_74_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 259740 ) FS ;
+- FILLER_74_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 259740 ) FS ;
+- FILLER_74_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 259740 ) FS ;
+- FILLER_74_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 259740 ) FS ;
+- FILLER_74_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 259740 ) FS ;
+- FILLER_74_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 259740 ) FS ;
+- FILLER_74_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 259740 ) FS ;
+- FILLER_74_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 259740 ) FS ;
+- FILLER_74_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 259740 ) FS ;
+- FILLER_74_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 259740 ) FS ;
+- FILLER_74_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 259740 ) FS ;
+- FILLER_74_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 259740 ) FS ;
+- FILLER_74_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 259740 ) FS ;
+- FILLER_74_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 259740 ) FS ;
+- FILLER_74_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 259740 ) FS ;
+- FILLER_74_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 259740 ) FS ;
+- FILLER_74_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 259740 ) FS ;
+- FILLER_74_491 sky130_fd_sc_ls__decap_8 + PLACED ( 241440 259740 ) FS ;
+- FILLER_74_499 sky130_fd_sc_ls__decap_8 + PLACED ( 245280 259740 ) FS ;
+- FILLER_74_507 sky130_fd_sc_ls__decap_8 + PLACED ( 249120 259740 ) FS ;
+- FILLER_74_515 sky130_fd_sc_ls__decap_8 + PLACED ( 252960 259740 ) FS ;
+- FILLER_74_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 259740 ) FS ;
+- FILLER_74_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 259740 ) FS ;
+- FILLER_74_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 259740 ) FS ;
+- FILLER_74_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 259740 ) FS ;
+- FILLER_74_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 259740 ) FS ;
+- FILLER_74_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 259740 ) FS ;
+- FILLER_74_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 259740 ) FS ;
+- FILLER_74_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 259740 ) FS ;
+- FILLER_74_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 259740 ) FS ;
+- FILLER_74_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 259740 ) FS ;
+- FILLER_74_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 259740 ) FS ;
+- FILLER_75_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 263070 ) N ;
+- FILLER_75_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 263070 ) N ;
+- FILLER_75_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 263070 ) N ;
+- FILLER_75_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 263070 ) N ;
+- FILLER_75_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 263070 ) N ;
+- FILLER_75_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 263070 ) N ;
+- FILLER_75_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 263070 ) N ;
+- FILLER_75_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 263070 ) N ;
+- FILLER_75_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 263070 ) N ;
+- FILLER_75_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 263070 ) N ;
+- FILLER_75_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 263070 ) N ;
+- FILLER_75_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 263070 ) N ;
+- FILLER_75_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 263070 ) N ;
+- FILLER_75_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 263070 ) N ;
+- FILLER_75_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 263070 ) N ;
+- FILLER_75_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 263070 ) N ;
+- FILLER_75_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 263070 ) N ;
+- FILLER_75_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 263070 ) N ;
+- FILLER_75_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 263070 ) N ;
+- FILLER_75_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 263070 ) N ;
+- FILLER_75_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 263070 ) N ;
+- FILLER_75_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 263070 ) N ;
+- FILLER_75_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 263070 ) N ;
+- FILLER_75_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 263070 ) N ;
+- FILLER_75_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 263070 ) N ;
+- FILLER_75_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 263070 ) N ;
+- FILLER_75_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 263070 ) N ;
+- FILLER_75_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 263070 ) N ;
+- FILLER_75_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 263070 ) N ;
+- FILLER_75_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 263070 ) N ;
+- FILLER_75_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 263070 ) N ;
+- FILLER_75_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 263070 ) N ;
+- FILLER_75_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 263070 ) N ;
+- FILLER_75_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 263070 ) N ;
+- FILLER_75_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 263070 ) N ;
+- FILLER_75_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 263070 ) N ;
+- FILLER_75_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 263070 ) N ;
+- FILLER_75_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 263070 ) N ;
+- FILLER_75_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 263070 ) N ;
+- FILLER_75_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 263070 ) N ;
+- FILLER_75_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 263070 ) N ;
+- FILLER_75_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 263070 ) N ;
+- FILLER_75_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 263070 ) N ;
+- FILLER_75_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 263070 ) N ;
+- FILLER_75_308 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 153600 263070 ) N ;
+- FILLER_75_310 sky130_fd_sc_ls__fill_1 + PLACED ( 154560 263070 ) N ;
+- FILLER_75_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 263070 ) N ;
+- FILLER_75_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 263070 ) N ;
+- FILLER_75_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 263070 ) N ;
+- FILLER_75_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 263070 ) N ;
+- FILLER_75_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 263070 ) N ;
+- FILLER_75_346 sky130_fd_sc_ls__decap_8 + PLACED ( 171840 263070 ) N ;
+- FILLER_75_354 sky130_fd_sc_ls__decap_8 + PLACED ( 175680 263070 ) N ;
+- FILLER_75_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 263070 ) N ;
+- FILLER_75_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 263070 ) N ;
+- FILLER_75_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 263070 ) N ;
+- FILLER_75_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 263070 ) N ;
+- FILLER_75_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 263070 ) N ;
+- FILLER_75_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 263070 ) N ;
+- FILLER_75_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 263070 ) N ;
+- FILLER_75_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 263070 ) N ;
+- FILLER_75_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 263070 ) N ;
+- FILLER_75_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 263070 ) N ;
+- FILLER_75_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 263070 ) N ;
+- FILLER_75_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 263070 ) N ;
+- FILLER_75_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 263070 ) N ;
+- FILLER_75_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 263070 ) N ;
+- FILLER_75_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 263070 ) N ;
+- FILLER_75_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 263070 ) N ;
+- FILLER_75_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 263070 ) N ;
+- FILLER_75_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 263070 ) N ;
+- FILLER_75_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 263070 ) N ;
+- FILLER_75_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 263070 ) N ;
+- FILLER_75_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 263070 ) N ;
+- FILLER_75_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 263070 ) N ;
+- FILLER_75_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 263070 ) N ;
+- FILLER_75_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 263070 ) N ;
+- FILLER_75_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 263070 ) N ;
+- FILLER_75_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 263070 ) N ;
+- FILLER_75_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 263070 ) N ;
+- FILLER_75_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 263070 ) N ;
+- FILLER_75_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 263070 ) N ;
+- FILLER_75_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 263070 ) N ;
+- FILLER_75_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 263070 ) N ;
+- FILLER_75_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 263070 ) N ;
+- FILLER_75_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 263070 ) N ;
+- FILLER_75_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 263070 ) N ;
+- FILLER_75_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 263070 ) N ;
+- FILLER_75_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 263070 ) N ;
+- FILLER_76_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 266400 ) FS ;
+- FILLER_76_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 266400 ) FS ;
+- FILLER_76_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 266400 ) FS ;
+- FILLER_76_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 266400 ) FS ;
+- FILLER_76_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 266400 ) FS ;
+- FILLER_76_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 266400 ) FS ;
+- FILLER_76_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 266400 ) FS ;
+- FILLER_76_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 266400 ) FS ;
+- FILLER_76_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 266400 ) FS ;
+- FILLER_76_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 266400 ) FS ;
+- FILLER_76_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 266400 ) FS ;
+- FILLER_76_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 266400 ) FS ;
+- FILLER_76_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 266400 ) FS ;
+- FILLER_76_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 266400 ) FS ;
+- FILLER_76_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 266400 ) FS ;
+- FILLER_76_113 sky130_fd_sc_ls__decap_8 + PLACED ( 60000 266400 ) FS ;
+- FILLER_76_121 sky130_fd_sc_ls__decap_8 + PLACED ( 63840 266400 ) FS ;
+- FILLER_76_129 sky130_fd_sc_ls__decap_8 + PLACED ( 67680 266400 ) FS ;
+- FILLER_76_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 266400 ) FS ;
+- FILLER_76_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 266400 ) FS ;
+- FILLER_76_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 266400 ) FS ;
+- FILLER_76_155 sky130_fd_sc_ls__decap_4 + PLACED ( 80160 266400 ) FS ;
+- FILLER_76_159 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 82080 266400 ) FS ;
+- FILLER_76_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 266400 ) FS ;
+- FILLER_76_165 sky130_fd_sc_ls__decap_8 + PLACED ( 84960 266400 ) FS ;
+- FILLER_76_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 266400 ) FS ;
+- FILLER_76_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 266400 ) FS ;
+- FILLER_76_189 sky130_fd_sc_ls__decap_4 + PLACED ( 96480 266400 ) FS ;
+- FILLER_76_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 266400 ) FS ;
+- FILLER_76_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 266400 ) FS ;
+- FILLER_76_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 266400 ) FS ;
+- FILLER_76_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 266400 ) FS ;
+- FILLER_76_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 266400 ) FS ;
+- FILLER_76_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 266400 ) FS ;
+- FILLER_76_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 266400 ) FS ;
+- FILLER_76_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 266400 ) FS ;
+- FILLER_76_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 266400 ) FS ;
+- FILLER_76_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 266400 ) FS ;
+- FILLER_76_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 266400 ) FS ;
+- FILLER_76_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 266400 ) FS ;
+- FILLER_76_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 266400 ) FS ;
+- FILLER_76_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 266400 ) FS ;
+- FILLER_76_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 266400 ) FS ;
+- FILLER_76_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 266400 ) FS ;
+- FILLER_76_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 266400 ) FS ;
+- FILLER_76_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 266400 ) FS ;
+- FILLER_76_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 266400 ) FS ;
+- FILLER_76_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 266400 ) FS ;
+- FILLER_76_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 266400 ) FS ;
+- FILLER_76_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 266400 ) FS ;
+- FILLER_76_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 266400 ) FS ;
+- FILLER_76_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 266400 ) FS ;
+- FILLER_76_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 266400 ) FS ;
+- FILLER_76_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 266400 ) FS ;
+- FILLER_76_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 266400 ) FS ;
+- FILLER_76_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 266400 ) FS ;
+- FILLER_76_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 266400 ) FS ;
+- FILLER_76_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 266400 ) FS ;
+- FILLER_76_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 266400 ) FS ;
+- FILLER_76_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 266400 ) FS ;
+- FILLER_76_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 266400 ) FS ;
+- FILLER_76_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 266400 ) FS ;
+- FILLER_76_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 266400 ) FS ;
+- FILLER_76_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 266400 ) FS ;
+- FILLER_76_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 266400 ) FS ;
+- FILLER_76_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 266400 ) FS ;
+- FILLER_76_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 266400 ) FS ;
+- FILLER_76_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 266400 ) FS ;
+- FILLER_76_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 266400 ) FS ;
+- FILLER_76_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 266400 ) FS ;
+- FILLER_76_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 266400 ) FS ;
+- FILLER_76_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 266400 ) FS ;
+- FILLER_76_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 266400 ) FS ;
+- FILLER_76_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 266400 ) FS ;
+- FILLER_76_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 266400 ) FS ;
+- FILLER_76_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 266400 ) FS ;
+- FILLER_76_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 266400 ) FS ;
+- FILLER_76_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 266400 ) FS ;
+- FILLER_76_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 266400 ) FS ;
+- FILLER_76_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 266400 ) FS ;
+- FILLER_76_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 266400 ) FS ;
+- FILLER_76_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 266400 ) FS ;
+- FILLER_76_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 266400 ) FS ;
+- FILLER_76_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 266400 ) FS ;
+- FILLER_76_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 266400 ) FS ;
+- FILLER_76_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 266400 ) FS ;
+- FILLER_77_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 269730 ) N ;
+- FILLER_77_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 269730 ) N ;
+- FILLER_77_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 269730 ) N ;
+- FILLER_77_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 269730 ) N ;
+- FILLER_77_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 269730 ) N ;
+- FILLER_77_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 269730 ) N ;
+- FILLER_77_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 269730 ) N ;
+- FILLER_77_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 269730 ) N ;
+- FILLER_77_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 269730 ) N ;
+- FILLER_77_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 269730 ) N ;
+- FILLER_77_66 sky130_fd_sc_ls__fill_1 + PLACED ( 37440 269730 ) N ;
+- FILLER_77_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 269730 ) N ;
+- FILLER_77_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 269730 ) N ;
+- FILLER_77_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 269730 ) N ;
+- FILLER_77_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 269730 ) N ;
+- FILLER_77_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 269730 ) N ;
+- FILLER_77_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 269730 ) N ;
+- FILLER_77_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 269730 ) N ;
+- FILLER_77_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 269730 ) N ;
+- FILLER_77_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 269730 ) N ;
+- FILLER_77_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 269730 ) N ;
+- FILLER_77_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 269730 ) N ;
+- FILLER_77_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 269730 ) N ;
+- FILLER_77_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 269730 ) N ;
+- FILLER_77_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 269730 ) N ;
+- FILLER_77_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 269730 ) N ;
+- FILLER_77_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 269730 ) N ;
+- FILLER_77_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 269730 ) N ;
+- FILLER_77_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 269730 ) N ;
+- FILLER_77_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 269730 ) N ;
+- FILLER_77_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 269730 ) N ;
+- FILLER_77_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 269730 ) N ;
+- FILLER_77_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 269730 ) N ;
+- FILLER_77_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 269730 ) N ;
+- FILLER_77_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 269730 ) N ;
+- FILLER_77_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 269730 ) N ;
+- FILLER_77_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 269730 ) N ;
+- FILLER_77_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 269730 ) N ;
+- FILLER_77_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 269730 ) N ;
+- FILLER_77_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 269730 ) N ;
+- FILLER_77_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 269730 ) N ;
+- FILLER_77_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 269730 ) N ;
+- FILLER_77_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 269730 ) N ;
+- FILLER_77_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 269730 ) N ;
+- FILLER_77_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 269730 ) N ;
+- FILLER_77_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 269730 ) N ;
+- FILLER_77_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 269730 ) N ;
+- FILLER_77_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 269730 ) N ;
+- FILLER_77_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 269730 ) N ;
+- FILLER_77_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 269730 ) N ;
+- FILLER_77_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 269730 ) N ;
+- FILLER_77_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 269730 ) N ;
+- FILLER_77_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 269730 ) N ;
+- FILLER_77_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 269730 ) N ;
+- FILLER_77_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 269730 ) N ;
+- FILLER_77_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 269730 ) N ;
+- FILLER_77_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 269730 ) N ;
+- FILLER_77_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 269730 ) N ;
+- FILLER_77_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 269730 ) N ;
+- FILLER_77_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 269730 ) N ;
+- FILLER_77_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 269730 ) N ;
+- FILLER_77_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 269730 ) N ;
+- FILLER_77_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 269730 ) N ;
+- FILLER_77_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 269730 ) N ;
+- FILLER_77_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 269730 ) N ;
+- FILLER_77_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 269730 ) N ;
+- FILLER_77_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 269730 ) N ;
+- FILLER_77_449 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 221280 269730 ) N ;
+- FILLER_77_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 269730 ) N ;
+- FILLER_77_461 sky130_fd_sc_ls__decap_8 + PLACED ( 227040 269730 ) N ;
+- FILLER_77_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 269730 ) N ;
+- FILLER_77_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 269730 ) N ;
+- FILLER_77_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 269730 ) N ;
+- FILLER_77_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 269730 ) N ;
+- FILLER_77_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 269730 ) N ;
+- FILLER_77_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 269730 ) N ;
+- FILLER_77_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 269730 ) N ;
+- FILLER_77_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 269730 ) N ;
+- FILLER_77_528 sky130_fd_sc_ls__decap_4 + PLACED ( 259200 269730 ) N ;
+- FILLER_77_537 sky130_fd_sc_ls__decap_8 + PLACED ( 263520 269730 ) N ;
+- FILLER_77_545 sky130_fd_sc_ls__decap_4 + PLACED ( 267360 269730 ) N ;
+- FILLER_77_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 269730 ) N ;
+- FILLER_77_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 269730 ) N ;
+- FILLER_77_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 269730 ) N ;
+- FILLER_77_567 sky130_fd_sc_ls__decap_4 + PLACED ( 277920 269730 ) N ;
+- FILLER_77_571 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 279840 269730 ) N ;
+- FILLER_77_573 sky130_fd_sc_ls__fill_1 + PLACED ( 280800 269730 ) N ;
+- FILLER_77_577 sky130_fd_sc_ls__decap_8 + PLACED ( 282720 269730 ) N ;
+- FILLER_77_585 sky130_fd_sc_ls__decap_4 + PLACED ( 286560 269730 ) N ;
+- FILLER_77_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 269730 ) N ;
+- FILLER_78_4 sky130_fd_sc_ls__decap_4 + PLACED ( 7680 273060 ) FS ;
+- FILLER_78_8 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 9600 273060 ) FS ;
+- FILLER_78_10 sky130_fd_sc_ls__fill_1 + PLACED ( 10560 273060 ) FS ;
+- FILLER_78_16 sky130_fd_sc_ls__decap_8 + PLACED ( 13440 273060 ) FS ;
+- FILLER_78_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 273060 ) FS ;
+- FILLER_78_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 273060 ) FS ;
+- FILLER_78_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 273060 ) FS ;
+- FILLER_78_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 273060 ) FS ;
+- FILLER_78_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 273060 ) FS ;
+- FILLER_78_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 273060 ) FS ;
+- FILLER_78_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 273060 ) FS ;
+- FILLER_78_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 273060 ) FS ;
+- FILLER_78_80 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44160 273060 ) FS ;
+- FILLER_78_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 273060 ) FS ;
+- FILLER_78_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 273060 ) FS ;
+- FILLER_78_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 273060 ) FS ;
+- FILLER_78_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 273060 ) FS ;
+- FILLER_78_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 273060 ) FS ;
+- FILLER_78_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 273060 ) FS ;
+- FILLER_78_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 273060 ) FS ;
+- FILLER_78_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 273060 ) FS ;
+- FILLER_78_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 273060 ) FS ;
+- FILLER_78_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 273060 ) FS ;
+- FILLER_78_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 273060 ) FS ;
+- FILLER_78_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 273060 ) FS ;
+- FILLER_78_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 273060 ) FS ;
+- FILLER_78_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 273060 ) FS ;
+- FILLER_78_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 273060 ) FS ;
+- FILLER_78_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 273060 ) FS ;
+- FILLER_78_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 273060 ) FS ;
+- FILLER_78_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 273060 ) FS ;
+- FILLER_78_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 273060 ) FS ;
+- FILLER_78_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 273060 ) FS ;
+- FILLER_78_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 273060 ) FS ;
+- FILLER_78_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 273060 ) FS ;
+- FILLER_78_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 273060 ) FS ;
+- FILLER_78_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 273060 ) FS ;
+- FILLER_78_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 273060 ) FS ;
+- FILLER_78_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 273060 ) FS ;
+- FILLER_78_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 273060 ) FS ;
+- FILLER_78_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 273060 ) FS ;
+- FILLER_78_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 273060 ) FS ;
+- FILLER_78_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 273060 ) FS ;
+- FILLER_78_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 273060 ) FS ;
+- FILLER_78_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 273060 ) FS ;
+- FILLER_78_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 273060 ) FS ;
+- FILLER_78_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 273060 ) FS ;
+- FILLER_78_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 273060 ) FS ;
+- FILLER_78_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 273060 ) FS ;
+- FILLER_78_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 273060 ) FS ;
+- FILLER_78_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 273060 ) FS ;
+- FILLER_78_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 273060 ) FS ;
+- FILLER_78_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 273060 ) FS ;
+- FILLER_78_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 273060 ) FS ;
+- FILLER_78_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 273060 ) FS ;
+- FILLER_78_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 273060 ) FS ;
+- FILLER_78_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 273060 ) FS ;
+- FILLER_78_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 273060 ) FS ;
+- FILLER_78_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 273060 ) FS ;
+- FILLER_78_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 273060 ) FS ;
+- FILLER_78_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 273060 ) FS ;
+- FILLER_78_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 273060 ) FS ;
+- FILLER_78_414 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 204480 273060 ) FS ;
+- FILLER_78_421 sky130_fd_sc_ls__decap_8 + PLACED ( 207840 273060 ) FS ;
+- FILLER_78_429 sky130_fd_sc_ls__decap_8 + PLACED ( 211680 273060 ) FS ;
+- FILLER_78_437 sky130_fd_sc_ls__decap_8 + PLACED ( 215520 273060 ) FS ;
+- FILLER_78_445 sky130_fd_sc_ls__decap_8 + PLACED ( 219360 273060 ) FS ;
+- FILLER_78_453 sky130_fd_sc_ls__decap_8 + PLACED ( 223200 273060 ) FS ;
+- FILLER_78_461 sky130_fd_sc_ls__decap_4 + PLACED ( 227040 273060 ) FS ;
+- FILLER_78_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 273060 ) FS ;
+- FILLER_78_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 273060 ) FS ;
+- FILLER_78_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 273060 ) FS ;
+- FILLER_78_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 273060 ) FS ;
+- FILLER_78_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 273060 ) FS ;
+- FILLER_78_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 273060 ) FS ;
+- FILLER_78_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 273060 ) FS ;
+- FILLER_78_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 273060 ) FS ;
+- FILLER_78_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 273060 ) FS ;
+- FILLER_78_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 273060 ) FS ;
+- FILLER_78_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 273060 ) FS ;
+- FILLER_78_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 273060 ) FS ;
+- FILLER_78_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 273060 ) FS ;
+- FILLER_78_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 273060 ) FS ;
+- FILLER_78_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 273060 ) FS ;
+- FILLER_78_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 273060 ) FS ;
+- FILLER_78_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 273060 ) FS ;
+- FILLER_78_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 273060 ) FS ;
+- FILLER_78_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 273060 ) FS ;
+- FILLER_78_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 273060 ) FS ;
+- FILLER_79_8 sky130_fd_sc_ls__decap_8 + PLACED ( 9600 276390 ) N ;
+- FILLER_79_16 sky130_fd_sc_ls__decap_8 + PLACED ( 13440 276390 ) N ;
+- FILLER_79_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 276390 ) N ;
+- FILLER_79_28 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19200 276390 ) N ;
+- FILLER_79_30 sky130_fd_sc_ls__fill_1 + PLACED ( 20160 276390 ) N ;
+- FILLER_79_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 276390 ) N ;
+- FILLER_79_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 276390 ) N ;
+- FILLER_79_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 276390 ) N ;
+- FILLER_79_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 276390 ) N ;
+- FILLER_79_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 276390 ) N ;
+- FILLER_79_70 sky130_fd_sc_ls__decap_4 + PLACED ( 39360 276390 ) N ;
+- FILLER_79_79 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 43680 276390 ) N ;
+- FILLER_79_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 276390 ) N ;
+- FILLER_79_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 276390 ) N ;
+- FILLER_79_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 276390 ) N ;
+- FILLER_79_107 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57120 276390 ) N ;
+- FILLER_79_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 276390 ) N ;
+- FILLER_79_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 276390 ) N ;
+- FILLER_79_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 276390 ) N ;
+- FILLER_79_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 276390 ) N ;
+- FILLER_79_131 sky130_fd_sc_ls__fill_1 + PLACED ( 68640 276390 ) N ;
+- FILLER_79_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 276390 ) N ;
+- FILLER_79_144 sky130_fd_sc_ls__decap_4 + PLACED ( 74880 276390 ) N ;
+- FILLER_79_148 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 76800 276390 ) N ;
+- FILLER_79_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 276390 ) N ;
+- FILLER_79_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 276390 ) N ;
+- FILLER_79_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 276390 ) N ;
+- FILLER_79_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 276390 ) N ;
+- FILLER_79_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 276390 ) N ;
+- FILLER_79_190 sky130_fd_sc_ls__decap_4 + PLACED ( 96960 276390 ) N ;
+- FILLER_79_194 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 98880 276390 ) N ;
+- FILLER_79_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 276390 ) N ;
+- FILLER_79_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 276390 ) N ;
+- FILLER_79_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 276390 ) N ;
+- FILLER_79_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 276390 ) N ;
+- FILLER_79_235 sky130_fd_sc_ls__decap_8 + PLACED ( 118560 276390 ) N ;
+- FILLER_79_243 sky130_fd_sc_ls__decap_4 + PLACED ( 122400 276390 ) N ;
+- FILLER_79_251 sky130_fd_sc_ls__decap_8 + PLACED ( 126240 276390 ) N ;
+- FILLER_79_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 276390 ) N ;
+- FILLER_79_267 sky130_fd_sc_ls__decap_8 + PLACED ( 133920 276390 ) N ;
+- FILLER_79_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 276390 ) N ;
+- FILLER_79_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 276390 ) N ;
+- FILLER_79_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 276390 ) N ;
+- FILLER_79_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 276390 ) N ;
+- FILLER_79_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 276390 ) N ;
+- FILLER_79_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 276390 ) N ;
+- FILLER_79_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 276390 ) N ;
+- FILLER_79_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 276390 ) N ;
+- FILLER_79_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 276390 ) N ;
+- FILLER_79_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 276390 ) N ;
+- FILLER_79_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 276390 ) N ;
+- FILLER_79_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 276390 ) N ;
+- FILLER_79_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 276390 ) N ;
+- FILLER_79_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 276390 ) N ;
+- FILLER_79_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 276390 ) N ;
+- FILLER_79_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 276390 ) N ;
+- FILLER_79_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 276390 ) N ;
+- FILLER_79_390 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 192960 276390 ) N ;
+- FILLER_79_392 sky130_fd_sc_ls__fill_1 + PLACED ( 193920 276390 ) N ;
+- FILLER_79_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 276390 ) N ;
+- FILLER_79_407 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 201120 276390 ) N ;
+- FILLER_79_409 sky130_fd_sc_ls__fill_1 + PLACED ( 202080 276390 ) N ;
+- FILLER_79_416 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 205440 276390 ) N ;
+- FILLER_79_418 sky130_fd_sc_ls__fill_1 + PLACED ( 206400 276390 ) N ;
+- FILLER_79_424 sky130_fd_sc_ls__decap_8 + PLACED ( 209280 276390 ) N ;
+- FILLER_79_432 sky130_fd_sc_ls__decap_8 + PLACED ( 213120 276390 ) N ;
+- FILLER_79_441 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 217440 276390 ) N ;
+- FILLER_79_448 sky130_fd_sc_ls__decap_8 + PLACED ( 220800 276390 ) N ;
+- FILLER_79_456 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 224640 276390 ) N ;
+- FILLER_79_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 276390 ) N ;
+- FILLER_79_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 276390 ) N ;
+- FILLER_79_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 276390 ) N ;
+- FILLER_79_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 276390 ) N ;
+- FILLER_79_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 276390 ) N ;
+- FILLER_79_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 276390 ) N ;
+- FILLER_79_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 276390 ) N ;
+- FILLER_79_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 276390 ) N ;
+- FILLER_79_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 276390 ) N ;
+- FILLER_79_520 sky130_fd_sc_ls__decap_4 + PLACED ( 255360 276390 ) N ;
+- FILLER_79_524 sky130_fd_sc_ls__fill_1 + PLACED ( 257280 276390 ) N ;
+- FILLER_79_533 sky130_fd_sc_ls__decap_8 + PLACED ( 261600 276390 ) N ;
+- FILLER_79_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 276390 ) N ;
+- FILLER_79_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 276390 ) N ;
+- FILLER_79_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 276390 ) N ;
+- FILLER_79_558 sky130_fd_sc_ls__decap_8 + PLACED ( 273600 276390 ) N ;
+- FILLER_79_566 sky130_fd_sc_ls__decap_8 + PLACED ( 277440 276390 ) N ;
+- FILLER_79_574 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281280 276390 ) N ;
+- FILLER_79_580 sky130_fd_sc_ls__decap_4 + PLACED ( 284160 276390 ) N ;
+- FILLER_79_584 sky130_fd_sc_ls__fill_1 + PLACED ( 286080 276390 ) N ;
+- FILLER_79_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 276390 ) N ;
+- FILLER_79_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 276390 ) N ;
+- FILLER_80_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 279720 ) FS ;
+- FILLER_80_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 279720 ) FS ;
+- FILLER_80_29 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19680 279720 ) FS ;
+- FILLER_80_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 279720 ) FS ;
+- FILLER_80_41 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 25440 279720 ) FS ;
+- FILLER_80_43 sky130_fd_sc_ls__fill_1 + PLACED ( 26400 279720 ) FS ;
+- FILLER_80_48 sky130_fd_sc_ls__decap_4 + PLACED ( 28800 279720 ) FS ;
+- FILLER_80_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 279720 ) FS ;
+- FILLER_80_60 sky130_fd_sc_ls__fill_1 + PLACED ( 34560 279720 ) FS ;
+- FILLER_80_65 sky130_fd_sc_ls__decap_8 + PLACED ( 36960 279720 ) FS ;
+- FILLER_80_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 279720 ) FS ;
+- FILLER_80_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 279720 ) FS ;
+- FILLER_80_92 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 49920 279720 ) FS ;
+- FILLER_80_98 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 52800 279720 ) FS ;
+- FILLER_80_106 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 56640 279720 ) FS ;
+- FILLER_80_114 sky130_fd_sc_ls__decap_4 + PLACED ( 60480 279720 ) FS ;
+- FILLER_80_122 sky130_fd_sc_ls__decap_4 + PLACED ( 64320 279720 ) FS ;
+- FILLER_80_126 sky130_fd_sc_ls__fill_1 + PLACED ( 66240 279720 ) FS ;
+- FILLER_80_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 279720 ) FS ;
+- FILLER_80_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 279720 ) FS ;
+- FILLER_80_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 279720 ) FS ;
+- FILLER_80_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 279720 ) FS ;
+- FILLER_80_147 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 76320 279720 ) FS ;
+- FILLER_80_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 279720 ) FS ;
+- FILLER_80_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 279720 ) FS ;
+- FILLER_80_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 279720 ) FS ;
+- FILLER_80_173 sky130_fd_sc_ls__fill_1 + PLACED ( 88800 279720 ) FS ;
+- FILLER_80_180 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 92160 279720 ) FS ;
+- FILLER_80_188 sky130_fd_sc_ls__decap_4 + PLACED ( 96000 279720 ) FS ;
+- FILLER_80_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 279720 ) FS ;
+- FILLER_80_194 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 98880 279720 ) FS ;
+- FILLER_80_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 279720 ) FS ;
+- FILLER_80_206 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 104640 279720 ) FS ;
+- FILLER_80_208 sky130_fd_sc_ls__fill_1 + PLACED ( 105600 279720 ) FS ;
+- FILLER_80_213 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 108000 279720 ) FS ;
+- FILLER_80_221 sky130_fd_sc_ls__decap_4 + PLACED ( 111840 279720 ) FS ;
+- FILLER_80_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 279720 ) FS ;
+- FILLER_80_237 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 119520 279720 ) FS ;
+- FILLER_80_239 sky130_fd_sc_ls__fill_1 + PLACED ( 120480 279720 ) FS ;
+- FILLER_80_244 sky130_fd_sc_ls__decap_4 + PLACED ( 122880 279720 ) FS ;
+- FILLER_80_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 279720 ) FS ;
+- FILLER_80_257 sky130_fd_sc_ls__fill_1 + PLACED ( 129120 279720 ) FS ;
+- FILLER_80_262 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 131520 279720 ) FS ;
+- FILLER_80_270 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 135360 279720 ) FS ;
+- FILLER_80_272 sky130_fd_sc_ls__fill_1 + PLACED ( 136320 279720 ) FS ;
+- FILLER_80_279 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 139680 279720 ) FS ;
+- FILLER_80_287 sky130_fd_sc_ls__decap_4 + PLACED ( 143520 279720 ) FS ;
+- FILLER_80_291 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 145440 279720 ) FS ;
+- FILLER_80_299 sky130_fd_sc_ls__decap_4 + PLACED ( 149280 279720 ) FS ;
+- FILLER_80_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 279720 ) FS ;
+- FILLER_80_312 sky130_fd_sc_ls__decap_4 + PLACED ( 155520 279720 ) FS ;
+- FILLER_80_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 279720 ) FS ;
+- FILLER_80_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 279720 ) FS ;
+- FILLER_80_336 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 167040 279720 ) FS ;
+- FILLER_80_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 279720 ) FS ;
+- FILLER_80_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 279720 ) FS ;
+- FILLER_80_359 sky130_fd_sc_ls__decap_4 + PLACED ( 178080 279720 ) FS ;
+- FILLER_80_367 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 181920 279720 ) FS ;
+- FILLER_80_375 sky130_fd_sc_ls__decap_4 + PLACED ( 185760 279720 ) FS ;
+- FILLER_80_383 sky130_fd_sc_ls__decap_4 + PLACED ( 189600 279720 ) FS ;
+- FILLER_80_387 sky130_fd_sc_ls__fill_1 + PLACED ( 191520 279720 ) FS ;
+- FILLER_80_396 sky130_fd_sc_ls__decap_8 + PLACED ( 195840 279720 ) FS ;
+- FILLER_80_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 279720 ) FS ;
+- FILLER_80_409 sky130_fd_sc_ls__decap_4 + PLACED ( 202080 279720 ) FS ;
+- FILLER_80_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 279720 ) FS ;
+- FILLER_80_422 sky130_fd_sc_ls__fill_1 + PLACED ( 208320 279720 ) FS ;
+- FILLER_80_427 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 210720 279720 ) FS ;
+- FILLER_80_435 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 214560 279720 ) FS ;
+- FILLER_80_443 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 218400 279720 ) FS ;
+- FILLER_80_451 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 222240 279720 ) FS ;
+- FILLER_80_453 sky130_fd_sc_ls__fill_1 + PLACED ( 223200 279720 ) FS ;
+- FILLER_80_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 279720 ) FS ;
+- FILLER_80_469 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 230880 279720 ) FS ;
+- FILLER_80_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 279720 ) FS ;
+- FILLER_80_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 279720 ) FS ;
+- FILLER_80_500 sky130_fd_sc_ls__decap_4 + PLACED ( 245760 279720 ) FS ;
+- FILLER_80_504 sky130_fd_sc_ls__fill_1 + PLACED ( 247680 279720 ) FS ;
+- FILLER_80_509 sky130_fd_sc_ls__decap_4 + PLACED ( 250080 279720 ) FS ;
+- FILLER_80_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 279720 ) FS ;
+- FILLER_80_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 279720 ) FS ;
+- FILLER_80_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 279720 ) FS ;
+- FILLER_80_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 279720 ) FS ;
+- FILLER_80_542 sky130_fd_sc_ls__decap_4 + PLACED ( 265920 279720 ) FS ;
+- FILLER_80_550 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 269760 279720 ) FS ;
+- FILLER_80_558 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 273600 279720 ) FS ;
+- FILLER_80_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 279720 ) FS ;
+- FILLER_80_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 279720 ) FS ;
+- FILLER_80_587 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 287520 279720 ) FS ;
+- FILLER_80_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 279720 ) FS ;
+- FILLER_81_9 sky130_fd_sc_ls__decap_4 + PLACED ( 10080 283050 ) N ;
+- FILLER_81_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 283050 ) N ;
+- FILLER_81_26 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 18240 283050 ) N ;
+- FILLER_81_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 283050 ) N ;
+- FILLER_81_37 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 23520 283050 ) N ;
+- FILLER_81_44 sky130_fd_sc_ls__decap_4 + PLACED ( 26880 283050 ) N ;
+- FILLER_81_52 sky130_fd_sc_ls__decap_4 + PLACED ( 30720 283050 ) N ;
+- FILLER_81_57 sky130_fd_sc_ls__decap_4 + PLACED ( 33120 283050 ) N ;
+- FILLER_81_66 sky130_fd_sc_ls__decap_4 + PLACED ( 37440 283050 ) N ;
+- FILLER_81_70 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 39360 283050 ) N ;
+- FILLER_81_76 sky130_fd_sc_ls__decap_8 + PLACED ( 42240 283050 ) N ;
+- FILLER_81_85 sky130_fd_sc_ls__decap_4 + PLACED ( 46560 283050 ) N ;
+- FILLER_81_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 283050 ) N ;
+- FILLER_81_101 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 54240 283050 ) N ;
+- FILLER_81_108 sky130_fd_sc_ls__decap_4 + PLACED ( 57600 283050 ) N ;
+- FILLER_81_113 sky130_fd_sc_ls__decap_8 + PLACED ( 60000 283050 ) N ;
+- FILLER_81_126 sky130_fd_sc_ls__decap_4 + PLACED ( 66240 283050 ) N ;
+- FILLER_81_136 sky130_fd_sc_ls__decap_4 + PLACED ( 71040 283050 ) N ;
+- FILLER_81_141 sky130_fd_sc_ls__decap_4 + PLACED ( 73440 283050 ) N ;
+- FILLER_81_149 sky130_fd_sc_ls__decap_4 + PLACED ( 77280 283050 ) N ;
+- FILLER_81_153 sky130_fd_sc_ls__fill_1 + PLACED ( 79200 283050 ) N ;
+- FILLER_81_159 sky130_fd_sc_ls__decap_8 + PLACED ( 82080 283050 ) N ;
+- FILLER_81_167 sky130_fd_sc_ls__fill_1 + PLACED ( 85920 283050 ) N ;
+- FILLER_81_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 283050 ) N ;
+- FILLER_81_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 283050 ) N ;
+- FILLER_81_186 sky130_fd_sc_ls__fill_1 + PLACED ( 95040 283050 ) N ;
+- FILLER_81_192 sky130_fd_sc_ls__decap_4 + PLACED ( 97920 283050 ) N ;
+- FILLER_81_197 sky130_fd_sc_ls__decap_4 + PLACED ( 100320 283050 ) N ;
+- FILLER_81_201 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 102240 283050 ) N ;
+- FILLER_81_208 sky130_fd_sc_ls__decap_8 + PLACED ( 105600 283050 ) N ;
+- FILLER_81_220 sky130_fd_sc_ls__decap_4 + PLACED ( 111360 283050 ) N ;
+- FILLER_81_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 283050 ) N ;
+- FILLER_81_233 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 117600 283050 ) N ;
+- FILLER_81_235 sky130_fd_sc_ls__fill_1 + PLACED ( 118560 283050 ) N ;
+- FILLER_81_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 283050 ) N ;
+- FILLER_81_249 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125280 283050 ) N ;
+- FILLER_81_251 sky130_fd_sc_ls__fill_1 + PLACED ( 126240 283050 ) N ;
+- FILLER_81_253 sky130_fd_sc_ls__decap_4 + PLACED ( 127200 283050 ) N ;
+- FILLER_81_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 283050 ) N ;
+- FILLER_81_274 sky130_fd_sc_ls__decap_4 + PLACED ( 137280 283050 ) N ;
+- FILLER_81_278 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 139200 283050 ) N ;
+- FILLER_81_281 sky130_fd_sc_ls__decap_4 + PLACED ( 140640 283050 ) N ;
+- FILLER_81_285 sky130_fd_sc_ls__fill_1 + PLACED ( 142560 283050 ) N ;
+- FILLER_81_290 sky130_fd_sc_ls__decap_8 + PLACED ( 144960 283050 ) N ;
+- FILLER_81_298 sky130_fd_sc_ls__fill_1 + PLACED ( 148800 283050 ) N ;
+- FILLER_81_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 283050 ) N ;
+- FILLER_81_309 sky130_fd_sc_ls__decap_8 + PLACED ( 154080 283050 ) N ;
+- FILLER_81_317 sky130_fd_sc_ls__fill_1 + PLACED ( 157920 283050 ) N ;
+- FILLER_81_322 sky130_fd_sc_ls__decap_4 + PLACED ( 160320 283050 ) N ;
+- FILLER_81_330 sky130_fd_sc_ls__decap_4 + PLACED ( 164160 283050 ) N ;
+- FILLER_81_334 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 166080 283050 ) N ;
+- FILLER_81_337 sky130_fd_sc_ls__decap_4 + PLACED ( 167520 283050 ) N ;
+- FILLER_81_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 283050 ) N ;
+- FILLER_81_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 283050 ) N ;
+- FILLER_81_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 283050 ) N ;
+- FILLER_81_363 sky130_fd_sc_ls__fill_1 + PLACED ( 180000 283050 ) N ;
+- FILLER_81_365 sky130_fd_sc_ls__decap_4 + PLACED ( 180960 283050 ) N ;
+- FILLER_81_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 283050 ) N ;
+- FILLER_81_383 sky130_fd_sc_ls__fill_1 + PLACED ( 189600 283050 ) N ;
+- FILLER_81_388 sky130_fd_sc_ls__decap_4 + PLACED ( 192000 283050 ) N ;
+- FILLER_81_393 sky130_fd_sc_ls__decap_8 + PLACED ( 194400 283050 ) N ;
+- FILLER_81_407 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 201120 283050 ) N ;
+- FILLER_81_415 sky130_fd_sc_ls__decap_4 + PLACED ( 204960 283050 ) N ;
+- FILLER_81_419 sky130_fd_sc_ls__fill_1 + PLACED ( 206880 283050 ) N ;
+- FILLER_81_421 sky130_fd_sc_ls__decap_4 + PLACED ( 207840 283050 ) N ;
+- FILLER_81_429 sky130_fd_sc_ls__decap_4 + PLACED ( 211680 283050 ) N ;
+- FILLER_81_433 sky130_fd_sc_ls__fill_1 + PLACED ( 213600 283050 ) N ;
+- FILLER_81_440 sky130_fd_sc_ls__decap_8 + PLACED ( 216960 283050 ) N ;
+- FILLER_81_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 283050 ) N ;
+- FILLER_81_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 283050 ) N ;
+- FILLER_81_465 sky130_fd_sc_ls__fill_1 + PLACED ( 228960 283050 ) N ;
+- FILLER_81_472 sky130_fd_sc_ls__decap_4 + PLACED ( 232320 283050 ) N ;
+- FILLER_81_477 sky130_fd_sc_ls__decap_4 + PLACED ( 234720 283050 ) N ;
+- FILLER_81_481 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 236640 283050 ) N ;
+- FILLER_81_487 sky130_fd_sc_ls__decap_4 + PLACED ( 239520 283050 ) N ;
+- FILLER_81_491 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241440 283050 ) N ;
+- FILLER_81_493 sky130_fd_sc_ls__fill_1 + PLACED ( 242400 283050 ) N ;
+- FILLER_81_500 sky130_fd_sc_ls__decap_4 + PLACED ( 245760 283050 ) N ;
+- FILLER_81_505 sky130_fd_sc_ls__decap_8 + PLACED ( 248160 283050 ) N ;
+- FILLER_81_513 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 252000 283050 ) N ;
+- FILLER_81_515 sky130_fd_sc_ls__fill_1 + PLACED ( 252960 283050 ) N ;
+- FILLER_81_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 283050 ) N ;
+- FILLER_81_530 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 260160 283050 ) N ;
+- FILLER_81_533 sky130_fd_sc_ls__decap_4 + PLACED ( 261600 283050 ) N ;
+- FILLER_81_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 283050 ) N ;
+- FILLER_81_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 283050 ) N ;
+- FILLER_81_553 sky130_fd_sc_ls__decap_4 + PLACED ( 271200 283050 ) N ;
+- FILLER_81_557 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 273120 283050 ) N ;
+- FILLER_81_559 sky130_fd_sc_ls__fill_1 + PLACED ( 274080 283050 ) N ;
+- FILLER_81_561 sky130_fd_sc_ls__decap_4 + PLACED ( 275040 283050 ) N ;
+- FILLER_81_571 sky130_fd_sc_ls__decap_4 + PLACED ( 279840 283050 ) N ;
+- FILLER_81_575 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281760 283050 ) N ;
+- FILLER_81_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 283050 ) N ;
+- FILLER_81_584 sky130_fd_sc_ls__decap_4 + PLACED ( 286080 283050 ) N ;
+- FILLER_81_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 283050 ) N ;
+END COMPONENTS
+
+PINS 623 ;
+- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 1200 298000 ) N ;
+- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 79920 298000 ) N ;
+- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 87600 298000 ) N ;
+- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 95760 298000 ) N ;
+- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 103440 298000 ) N ;
+- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 111600 298000 ) N ;
+- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 119280 298000 ) N ;
+- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 127440 298000 ) N ;
+- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 135120 298000 ) N ;
+- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 143280 298000 ) N ;
+- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 150960 298000 ) N ;
+- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 8880 298000 ) N ;
+- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 158640 298000 ) N ;
+- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 166800 298000 ) N ;
+- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 174480 298000 ) N ;
+- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 182640 298000 ) N ;
+- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 190320 298000 ) N ;
+- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 198480 298000 ) N ;
+- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 206160 298000 ) N ;
+- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 214320 298000 ) N ;
+- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 222000 298000 ) N ;
+- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 229680 298000 ) N ;
+- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 16560 298000 ) N ;
+- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 237840 298000 ) N ;
+- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 245520 298000 ) N ;
+- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 253680 298000 ) N ;
+- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 261360 298000 ) N ;
+- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 269520 298000 ) N ;
+- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 277200 298000 ) N ;
+- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 285360 298000 ) N ;
+- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 293040 298000 ) N ;
+- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 24720 298000 ) N ;
+- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 32400 298000 ) N ;
+- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 40560 298000 ) N ;
+- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 48240 298000 ) N ;
+- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 56400 298000 ) N ;
+- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 64080 298000 ) N ;
+- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 72240 298000 ) N ;
+- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 3600 298000 ) N ;
+- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 82320 298000 ) N ;
+- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 90480 298000 ) N ;
+- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 98160 298000 ) N ;
+- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 106320 298000 ) N ;
+- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 114000 298000 ) N ;
+- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 122160 298000 ) N ;
+- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 129840 298000 ) N ;
+- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 138000 298000 ) N ;
+- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 145680 298000 ) N ;
+- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 153360 298000 ) N ;
+- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 11280 298000 ) N ;
+- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 161520 298000 ) N ;
+- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 169200 298000 ) N ;
+- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 177360 298000 ) N ;
+- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 185040 298000 ) N ;
+- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 193200 298000 ) N ;
+- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 200880 298000 ) N ;
+- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 209040 298000 ) N ;
+- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 216720 298000 ) N ;
+- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 224880 298000 ) N ;
+- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 232560 298000 ) N ;
+- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 19440 298000 ) N ;
+- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 240240 298000 ) N ;
+- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 248400 298000 ) N ;
+- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 256080 298000 ) N ;
+- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 264240 298000 ) N ;
+- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 271920 298000 ) N ;
+- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 280080 298000 ) N ;
+- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 287760 298000 ) N ;
+- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 295920 298000 ) N ;
+- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 27120 298000 ) N ;
+- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 35280 298000 ) N ;
+- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 42960 298000 ) N ;
+- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 51120 298000 ) N ;
+- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 58800 298000 ) N ;
+- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 66960 298000 ) N ;
+- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 74640 298000 ) N ;
+- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 6000 298000 ) N ;
+- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 85200 298000 ) N ;
+- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 92880 298000 ) N ;
+- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 101040 298000 ) N ;
+- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 108720 298000 ) N ;
+- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 116880 298000 ) N ;
+- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 124560 298000 ) N ;
+- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 132720 298000 ) N ;
+- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 140400 298000 ) N ;
+- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 148560 298000 ) N ;
+- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 156240 298000 ) N ;
+- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 14160 298000 ) N ;
+- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 163920 298000 ) N ;
+- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 172080 298000 ) N ;
+- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 179760 298000 ) N ;
+- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 187920 298000 ) N ;
+- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 195600 298000 ) N ;
+- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 203760 298000 ) N ;
+- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 211440 298000 ) N ;
+- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 219600 298000 ) N ;
+- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 227280 298000 ) N ;
+- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 234960 298000 ) N ;
+- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 21840 298000 ) N ;
+- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 243120 298000 ) N ;
+- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 250800 298000 ) N ;
+- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 258960 298000 ) N ;
+- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 266640 298000 ) N ;
+- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 274800 298000 ) N ;
+- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 282480 298000 ) N ;
+- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 290640 298000 ) N ;
+- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 298320 298000 ) N ;
+- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 30000 298000 ) N ;
+- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 37680 298000 ) N ;
+- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 45840 298000 ) N ;
+- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 53520 298000 ) N ;
+- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 61680 298000 ) N ;
+- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 69360 298000 ) N ;
+- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 77040 298000 ) N ;
+- irq[0] + NET irq[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 2000 75110 ) N ;
+- irq[1] + NET irq[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 298000 149850 ) N ;
+- irq[2] + NET irq[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 2000 224590 ) N ;
+- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 65040 2000 ) N ;
+- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 248400 2000 ) N ;
+- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 250320 2000 ) N ;
+- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 252240 2000 ) N ;
+- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 254160 2000 ) N ;
+- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 256080 2000 ) N ;
+- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 257520 2000 ) N ;
+- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 259440 2000 ) N ;
+- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 261360 2000 ) N ;
+- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 263280 2000 ) N ;
+- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 265200 2000 ) N ;
+- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 83280 2000 ) N ;
+- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 267120 2000 ) N ;
+- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 268560 2000 ) N ;
+- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 270480 2000 ) N ;
+- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 272400 2000 ) N ;
+- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 274320 2000 ) N ;
+- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 276240 2000 ) N ;
+- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 278160 2000 ) N ;
+- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 279600 2000 ) N ;
+- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 281520 2000 ) N ;
+- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 283440 2000 ) N ;
+- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 85200 2000 ) N ;
+- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 285360 2000 ) N ;
+- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 287280 2000 ) N ;
+- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 289200 2000 ) N ;
+- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 290640 2000 ) N ;
+- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 292560 2000 ) N ;
+- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 294480 2000 ) N ;
+- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 296400 2000 ) N ;
+- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 298320 2000 ) N ;
+- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 87120 2000 ) N ;
+- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 88560 2000 ) N ;
+- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 90480 2000 ) N ;
+- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 92400 2000 ) N ;
+- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 94320 2000 ) N ;
+- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 96240 2000 ) N ;
+- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 98160 2000 ) N ;
+- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 99600 2000 ) N ;
+- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 66960 2000 ) N ;
+- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 101520 2000 ) N ;
+- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 103440 2000 ) N ;
+- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 105360 2000 ) N ;
+- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 107280 2000 ) N ;
+- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 109200 2000 ) N ;
+- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 110640 2000 ) N ;
+- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 112560 2000 ) N ;
+- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 114480 2000 ) N ;
+- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 116400 2000 ) N ;
+- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 118320 2000 ) N ;
+- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 68400 2000 ) N ;
+- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 120240 2000 ) N ;
+- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 121680 2000 ) N ;
+- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 123600 2000 ) N ;
+- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 125520 2000 ) N ;
+- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 127440 2000 ) N ;
+- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 129360 2000 ) N ;
+- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 130800 2000 ) N ;
+- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 132720 2000 ) N ;
+- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 134640 2000 ) N ;
+- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 136560 2000 ) N ;
+- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 70320 2000 ) N ;
+- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 138480 2000 ) N ;
+- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 140400 2000 ) N ;
+- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 141840 2000 ) N ;
+- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 143760 2000 ) N ;
+- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 145680 2000 ) N ;
+- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 147600 2000 ) N ;
+- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 149520 2000 ) N ;
+- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 151440 2000 ) N ;
+- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 152880 2000 ) N ;
+- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 154800 2000 ) N ;
+- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 72240 2000 ) N ;
+- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 156720 2000 ) N ;
+- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 158640 2000 ) N ;
+- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 160560 2000 ) N ;
+- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 162480 2000 ) N ;
+- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 163920 2000 ) N ;
+- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 165840 2000 ) N ;
+- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 167760 2000 ) N ;
+- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 169680 2000 ) N ;
+- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 171600 2000 ) N ;
+- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 173040 2000 ) N ;
+- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 74160 2000 ) N ;
+- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 174960 2000 ) N ;
+- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 176880 2000 ) N ;
+- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 178800 2000 ) N ;
+- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 180720 2000 ) N ;
+- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 182640 2000 ) N ;
+- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 184080 2000 ) N ;
+- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 186000 2000 ) N ;
+- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 187920 2000 ) N ;
+- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 189840 2000 ) N ;
+- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 191760 2000 ) N ;
+- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 76080 2000 ) N ;
+- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 193680 2000 ) N ;
+- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 195120 2000 ) N ;
+- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 197040 2000 ) N ;
+- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 198960 2000 ) N ;
+- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 200880 2000 ) N ;
+- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 202800 2000 ) N ;
+- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 204720 2000 ) N ;
+- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 206160 2000 ) N ;
+- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 208080 2000 ) N ;
+- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 210000 2000 ) N ;
+- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 77520 2000 ) N ;
+- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 211920 2000 ) N ;
+- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 213840 2000 ) N ;
+- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 215280 2000 ) N ;
+- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 217200 2000 ) N ;
+- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 219120 2000 ) N ;
+- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 221040 2000 ) N ;
+- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 222960 2000 ) N ;
+- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 224880 2000 ) N ;
+- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 226320 2000 ) N ;
+- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 228240 2000 ) N ;
+- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 79440 2000 ) N ;
+- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 230160 2000 ) N ;
+- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 232080 2000 ) N ;
+- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 234000 2000 ) N ;
+- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 235920 2000 ) N ;
+- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 237360 2000 ) N ;
+- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 239280 2000 ) N ;
+- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 241200 2000 ) N ;
+- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 243120 2000 ) N ;
+- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 245040 2000 ) N ;
+- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 246960 2000 ) N ;
+- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 81360 2000 ) N ;
+- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 65520 2000 ) N ;
+- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 249360 2000 ) N ;
+- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 250800 2000 ) N ;
+- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 252720 2000 ) N ;
+- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 254640 2000 ) N ;
+- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 256560 2000 ) N ;
+- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 258480 2000 ) N ;
+- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 260400 2000 ) N ;
+- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 261840 2000 ) N ;
+- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 263760 2000 ) N ;
+- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 265680 2000 ) N ;
+- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 83760 2000 ) N ;
+- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 267600 2000 ) N ;
+- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 269520 2000 ) N ;
+- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 271440 2000 ) N ;
+- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 272880 2000 ) N ;
+- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 274800 2000 ) N ;
+- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 276720 2000 ) N ;
+- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 278640 2000 ) N ;
+- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 280560 2000 ) N ;
+- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 282480 2000 ) N ;
+- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 283920 2000 ) N ;
+- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 85680 2000 ) N ;
+- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 285840 2000 ) N ;
+- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 287760 2000 ) N ;
+- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 289680 2000 ) N ;
+- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 291600 2000 ) N ;
+- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 293040 2000 ) N ;
+- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 294960 2000 ) N ;
+- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 296880 2000 ) N ;
+- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 298800 2000 ) N ;
+- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 87600 2000 ) N ;
+- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 89520 2000 ) N ;
+- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 91440 2000 ) N ;
+- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 92880 2000 ) N ;
+- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 94800 2000 ) N ;
+- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 96720 2000 ) N ;
+- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 98640 2000 ) N ;
+- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 100560 2000 ) N ;
+- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 67440 2000 ) N ;
+- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 102480 2000 ) N ;
+- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 103920 2000 ) N ;
+- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 105840 2000 ) N ;
+- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 107760 2000 ) N ;
+- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 109680 2000 ) N ;
+- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 111600 2000 ) N ;
+- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 113040 2000 ) N ;
+- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 114960 2000 ) N ;
+- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 116880 2000 ) N ;
+- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 118800 2000 ) N ;
+- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 69360 2000 ) N ;
+- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 120720 2000 ) N ;
+- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 122640 2000 ) N ;
+- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 124080 2000 ) N ;
+- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 126000 2000 ) N ;
+- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 127920 2000 ) N ;
+- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 129840 2000 ) N ;
+- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 131760 2000 ) N ;
+- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 133680 2000 ) N ;
+- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 135120 2000 ) N ;
+- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 137040 2000 ) N ;
+- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 70800 2000 ) N ;
+- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 138960 2000 ) N ;
+- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 140880 2000 ) N ;
+- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 142800 2000 ) N ;
+- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 144720 2000 ) N ;
+- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 146160 2000 ) N ;
+- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 148080 2000 ) N ;
+- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 150000 2000 ) N ;
+- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 151920 2000 ) N ;
+- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 153840 2000 ) N ;
+- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 155280 2000 ) N ;
+- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 72720 2000 ) N ;
+- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 157200 2000 ) N ;
+- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 159120 2000 ) N ;
+- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 161040 2000 ) N ;
+- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 162960 2000 ) N ;
+- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 164880 2000 ) N ;
+- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 166320 2000 ) N ;
+- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 168240 2000 ) N ;
+- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 170160 2000 ) N ;
+- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 172080 2000 ) N ;
+- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 174000 2000 ) N ;
+- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 74640 2000 ) N ;
+- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 175920 2000 ) N ;
+- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 177360 2000 ) N ;
+- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 179280 2000 ) N ;
+- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 181200 2000 ) N ;
+- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 183120 2000 ) N ;
+- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 185040 2000 ) N ;
+- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 186960 2000 ) N ;
+- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 188400 2000 ) N ;
+- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 190320 2000 ) N ;
+- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 192240 2000 ) N ;
+- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 76560 2000 ) N ;
+- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 194160 2000 ) N ;
+- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 196080 2000 ) N ;
+- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 197520 2000 ) N ;
+- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 199440 2000 ) N ;
+- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 201360 2000 ) N ;
+- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 203280 2000 ) N ;
+- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 205200 2000 ) N ;
+- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 207120 2000 ) N ;
+- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 208560 2000 ) N ;
+- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 210480 2000 ) N ;
+- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 78480 2000 ) N ;
+- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 212400 2000 ) N ;
+- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 214320 2000 ) N ;
+- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 216240 2000 ) N ;
+- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 218160 2000 ) N ;
+- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 219600 2000 ) N ;
+- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 221520 2000 ) N ;
+- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 223440 2000 ) N ;
+- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 225360 2000 ) N ;
+- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 227280 2000 ) N ;
+- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 229200 2000 ) N ;
+- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 80400 2000 ) N ;
+- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 230640 2000 ) N ;
+- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 232560 2000 ) N ;
+- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 234480 2000 ) N ;
+- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 236400 2000 ) N ;
+- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 238320 2000 ) N ;
+- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 240240 2000 ) N ;
+- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 241680 2000 ) N ;
+- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 243600 2000 ) N ;
+- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 245520 2000 ) N ;
+- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 247440 2000 ) N ;
+- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 81840 2000 ) N ;
+- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 66000 2000 ) N ;
+- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 249840 2000 ) N ;
+- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 251760 2000 ) N ;
+- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 253680 2000 ) N ;
+- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 255120 2000 ) N ;
+- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 257040 2000 ) N ;
+- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 258960 2000 ) N ;
+- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 260880 2000 ) N ;
+- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 262800 2000 ) N ;
+- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 264720 2000 ) N ;
+- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 266160 2000 ) N ;
+- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 84720 2000 ) N ;
+- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 268080 2000 ) N ;
+- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 270000 2000 ) N ;
+- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 271920 2000 ) N ;
+- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 273840 2000 ) N ;
+- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 275280 2000 ) N ;
+- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 277200 2000 ) N ;
+- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 279120 2000 ) N ;
+- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 281040 2000 ) N ;
+- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 282960 2000 ) N ;
+- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 284880 2000 ) N ;
+- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 86160 2000 ) N ;
+- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 286320 2000 ) N ;
+- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 288240 2000 ) N ;
+- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 290160 2000 ) N ;
+- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 292080 2000 ) N ;
+- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 294000 2000 ) N ;
+- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 295920 2000 ) N ;
+- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 297360 2000 ) N ;
+- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 299280 2000 ) N ;
+- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 88080 2000 ) N ;
+- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 90000 2000 ) N ;
+- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 91920 2000 ) N ;
+- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 93840 2000 ) N ;
+- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 95280 2000 ) N ;
+- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 97200 2000 ) N ;
+- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 99120 2000 ) N ;
+- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 101040 2000 ) N ;
+- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 67920 2000 ) N ;
+- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 102960 2000 ) N ;
+- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 104880 2000 ) N ;
+- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 106320 2000 ) N ;
+- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 108240 2000 ) N ;
+- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 110160 2000 ) N ;
+- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 112080 2000 ) N ;
+- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 114000 2000 ) N ;
+- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 115920 2000 ) N ;
+- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 117360 2000 ) N ;
+- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 119280 2000 ) N ;
+- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 69840 2000 ) N ;
+- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 121200 2000 ) N ;
+- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 123120 2000 ) N ;
+- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 125040 2000 ) N ;
+- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 126960 2000 ) N ;
+- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 128400 2000 ) N ;
+- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 130320 2000 ) N ;
+- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 132240 2000 ) N ;
+- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 134160 2000 ) N ;
+- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 136080 2000 ) N ;
+- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 137520 2000 ) N ;
+- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 71760 2000 ) N ;
+- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 139440 2000 ) N ;
+- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 141360 2000 ) N ;
+- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 143280 2000 ) N ;
+- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 145200 2000 ) N ;
+- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 147120 2000 ) N ;
+- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 148560 2000 ) N ;
+- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 150480 2000 ) N ;
+- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 152400 2000 ) N ;
+- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 154320 2000 ) N ;
+- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 156240 2000 ) N ;
+- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 73680 2000 ) N ;
+- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 158160 2000 ) N ;
+- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 159600 2000 ) N ;
+- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 161520 2000 ) N ;
+- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 163440 2000 ) N ;
+- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 165360 2000 ) N ;
+- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 167280 2000 ) N ;
+- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 169200 2000 ) N ;
+- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 170640 2000 ) N ;
+- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 172560 2000 ) N ;
+- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 174480 2000 ) N ;
+- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 75120 2000 ) N ;
+- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 176400 2000 ) N ;
+- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 178320 2000 ) N ;
+- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 180240 2000 ) N ;
+- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 181680 2000 ) N ;
+- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 183600 2000 ) N ;
+- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 185520 2000 ) N ;
+- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 187440 2000 ) N ;
+- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 189360 2000 ) N ;
+- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 190800 2000 ) N ;
+- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 192720 2000 ) N ;
+- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 77040 2000 ) N ;
+- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 194640 2000 ) N ;
+- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 196560 2000 ) N ;
+- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 198480 2000 ) N ;
+- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 200400 2000 ) N ;
+- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 201840 2000 ) N ;
+- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 203760 2000 ) N ;
+- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 205680 2000 ) N ;
+- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 207600 2000 ) N ;
+- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 209520 2000 ) N ;
+- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 211440 2000 ) N ;
+- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 78960 2000 ) N ;
+- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 212880 2000 ) N ;
+- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 214800 2000 ) N ;
+- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 216720 2000 ) N ;
+- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 218640 2000 ) N ;
+- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 220560 2000 ) N ;
+- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 222480 2000 ) N ;
+- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 223920 2000 ) N ;
+- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 225840 2000 ) N ;
+- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 227760 2000 ) N ;
+- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 229680 2000 ) N ;
+- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 80880 2000 ) N ;
+- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 231600 2000 ) N ;
+- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 233040 2000 ) N ;
+- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 234960 2000 ) N ;
+- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 236880 2000 ) N ;
+- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 238800 2000 ) N ;
+- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 240720 2000 ) N ;
+- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 242640 2000 ) N ;
+- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 244080 2000 ) N ;
+- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 246000 2000 ) N ;
+- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 247920 2000 ) N ;
+- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 82800 2000 ) N ;
+- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 240 2000 ) N ;
+- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 720 2000 ) N ;
+- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 1200 2000 ) N ;
+- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 3600 2000 ) N ;
+- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 24720 2000 ) N ;
+- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 26160 2000 ) N ;
+- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 28080 2000 ) N ;
+- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 30000 2000 ) N ;
+- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 31920 2000 ) N ;
+- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 33840 2000 ) N ;
+- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 35280 2000 ) N ;
+- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 37200 2000 ) N ;
+- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 39120 2000 ) N ;
+- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 41040 2000 ) N ;
+- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 6000 2000 ) N ;
+- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 42960 2000 ) N ;
+- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 44880 2000 ) N ;
+- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 46320 2000 ) N ;
+- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 48240 2000 ) N ;
+- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 50160 2000 ) N ;
+- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 52080 2000 ) N ;
+- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 54000 2000 ) N ;
+- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 55920 2000 ) N ;
+- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 57360 2000 ) N ;
+- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 59280 2000 ) N ;
+- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 8400 2000 ) N ;
+- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 61200 2000 ) N ;
+- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 63120 2000 ) N ;
+- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 10800 2000 ) N ;
+- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 13680 2000 ) N ;
+- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 15120 2000 ) N ;
+- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 17040 2000 ) N ;
+- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 18960 2000 ) N ;
+- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 20880 2000 ) N ;
+- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 22800 2000 ) N ;
+- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 1680 2000 ) N ;
+- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 4080 2000 ) N ;
+- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 25200 2000 ) N ;
+- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 27120 2000 ) N ;
+- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 28560 2000 ) N ;
+- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 30480 2000 ) N ;
+- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 32400 2000 ) N ;
+- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 34320 2000 ) N ;
+- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 36240 2000 ) N ;
+- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 38160 2000 ) N ;
+- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 39600 2000 ) N ;
+- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 41520 2000 ) N ;
+- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 6960 2000 ) N ;
+- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 43440 2000 ) N ;
+- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 45360 2000 ) N ;
+- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 47280 2000 ) N ;
+- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 49200 2000 ) N ;
+- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 50640 2000 ) N ;
+- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 52560 2000 ) N ;
+- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 54480 2000 ) N ;
+- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 56400 2000 ) N ;
+- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 58320 2000 ) N ;
+- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 60240 2000 ) N ;
+- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 9360 2000 ) N ;
+- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 61680 2000 ) N ;
+- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 63600 2000 ) N ;
+- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 11760 2000 ) N ;
+- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 14160 2000 ) N ;
+- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 16080 2000 ) N ;
+- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 17520 2000 ) N ;
+- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 19440 2000 ) N ;
+- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 21360 2000 ) N ;
+- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 23280 2000 ) N ;
+- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 5040 2000 ) N ;
+- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 25680 2000 ) N ;
+- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 27600 2000 ) N ;
+- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 29520 2000 ) N ;
+- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 31440 2000 ) N ;
+- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 32880 2000 ) N ;
+- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 34800 2000 ) N ;
+- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 36720 2000 ) N ;
+- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 38640 2000 ) N ;
+- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 40560 2000 ) N ;
+- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 42480 2000 ) N ;
+- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 7440 2000 ) N ;
+- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 43920 2000 ) N ;
+- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 45840 2000 ) N ;
+- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 47760 2000 ) N ;
+- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 49680 2000 ) N ;
+- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 51600 2000 ) N ;
+- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 53040 2000 ) N ;
+- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 54960 2000 ) N ;
+- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 56880 2000 ) N ;
+- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 58800 2000 ) N ;
+- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 60720 2000 ) N ;
+- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 9840 2000 ) N ;
+- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 62640 2000 ) N ;
+- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 64080 2000 ) N ;
+- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 12240 2000 ) N ;
+- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 14640 2000 ) N ;
+- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 16560 2000 ) N ;
+- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 18480 2000 ) N ;
+- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 20400 2000 ) N ;
+- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 21840 2000 ) N ;
+- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 23760 2000 ) N ;
+- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 5520 2000 ) N ;
+- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 7920 2000 ) N ;
+- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 10320 2000 ) N ;
+- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 12720 2000 ) N ;
+- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 2640 2000 ) N ;
+- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 3120 2000 ) N ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -800 -136770 ) ( 800 136770 )
+  + FIXED ( 175680 149850 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -800 -136770 ) ( 800 136770 )
+  + FIXED ( 22080 149850 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -800 -136770 ) ( 800 136770 )
+  + FIXED ( 252480 149850 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -800 -136770 ) ( 800 136770 )
+  + FIXED ( 98880 149850 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 178980 149850 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 25380 149850 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 255780 149850 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 102180 149850 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 182280 149850 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 28680 149850 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 259080 149850 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 105480 149850 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 185580 149850 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 31980 149850 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 262380 149850 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -800 -136530 ) ( 800 136530 )
+  + FIXED ( 108780 149850 ) N + SPECIAL ;
+END PINS
+
+SPECIALNETS 8 ;
+- vccd1 ( PIN vccd1 ) 
+  + ROUTED met3 0 + SHAPE STRIPE ( 175680 286380 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 286380 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 286380 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 286380 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 286380 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 286380 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 279720 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 279720 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 279720 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 279720 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 279720 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 279720 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 273060 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 273060 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 273060 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 273060 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 273060 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 273060 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 266400 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 266400 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 266400 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 266400 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 266400 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 266400 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 259740 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 259740 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 259740 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 259740 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 259740 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 259740 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 253080 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 253080 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 253080 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 253080 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 253080 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 253080 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 246420 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 246420 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 246420 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 246420 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 246420 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 246420 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 239760 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 239760 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 239760 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 239760 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 239760 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 239760 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 233100 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 233100 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 233100 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 233100 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 233100 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 233100 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 226440 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 226440 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 226440 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 226440 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 226440 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 226440 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 219780 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 219780 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 219780 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 219780 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 219780 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 219780 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 213120 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 213120 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 213120 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 213120 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 213120 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 213120 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 206460 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 206460 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 206460 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 206460 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 206460 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 206460 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 199800 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 199800 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 199800 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 199800 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 199800 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 199800 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 193140 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 193140 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 193140 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 193140 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 193140 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 193140 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 186480 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 186480 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 186480 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 186480 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 186480 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 186480 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 179820 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 179820 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 179820 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 179820 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 179820 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 179820 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 173160 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 173160 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 173160 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 173160 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 173160 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 173160 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 166500 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 166500 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 166500 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 166500 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 166500 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 166500 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 159840 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 159840 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 159840 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 159840 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 159840 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 159840 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 153180 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 153180 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 153180 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 153180 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 153180 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 153180 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 146520 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 146520 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 146520 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 146520 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 146520 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 146520 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 139860 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 139860 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 139860 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 139860 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 139860 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 139860 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 133200 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 133200 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 133200 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 133200 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 133200 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 133200 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 126540 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 126540 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 126540 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 126540 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 126540 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 126540 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 119880 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 119880 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 119880 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 119880 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 119880 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 119880 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 113220 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 113220 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 113220 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 113220 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 113220 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 113220 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 106560 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 106560 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 106560 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 106560 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 106560 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 106560 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 99900 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 99900 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 99900 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 99900 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 99900 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 99900 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 93240 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 93240 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 93240 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 93240 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 93240 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 93240 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 86580 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 86580 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 86580 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 86580 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 86580 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 86580 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 79920 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 79920 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 79920 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 79920 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 79920 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 79920 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 73260 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 73260 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 73260 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 73260 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 73260 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 73260 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 66600 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 66600 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 66600 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 66600 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 66600 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 66600 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 59940 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 59940 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 59940 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 59940 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 59940 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 59940 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 53280 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 53280 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 53280 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 53280 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 53280 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 53280 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 46620 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 46620 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 46620 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 46620 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 46620 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 46620 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 39960 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 39960 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 39960 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 39960 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 39960 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 39960 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 33300 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 33300 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 33300 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 33300 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 33300 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 33300 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 26640 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 26640 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 26640 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 26640 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 26640 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 26640 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 19980 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 19980 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 19980 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 19980 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 19980 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 19980 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 175680 13320 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 175680 13320 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 175680 13320 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 22080 13320 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 22080 13320 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 22080 13320 ) via_1600x480 
+    NEW met4 1600 + SHAPE STRIPE ( 175680 13080 ) ( 175680 286620 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 22080 13080 ) ( 22080 286620 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 286380 ) ( 294240 286380 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 279720 ) ( 294240 279720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 273060 ) ( 294240 273060 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 266400 ) ( 294240 266400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 259740 ) ( 294240 259740 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 253080 ) ( 294240 253080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 246420 ) ( 294240 246420 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 239760 ) ( 294240 239760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 233100 ) ( 294240 233100 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 226440 ) ( 294240 226440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 219780 ) ( 294240 219780 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 213120 ) ( 294240 213120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 206460 ) ( 294240 206460 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 199800 ) ( 294240 199800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 193140 ) ( 294240 193140 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 186480 ) ( 294240 186480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 179820 ) ( 294240 179820 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 173160 ) ( 294240 173160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 166500 ) ( 294240 166500 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 159840 ) ( 294240 159840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 153180 ) ( 294240 153180 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 146520 ) ( 294240 146520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 139860 ) ( 294240 139860 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 133200 ) ( 294240 133200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 126540 ) ( 294240 126540 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 119880 ) ( 294240 119880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 113220 ) ( 294240 113220 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 106560 ) ( 294240 106560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 99900 ) ( 294240 99900 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 93240 ) ( 294240 93240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 86580 ) ( 294240 86580 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 79920 ) ( 294240 79920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 73260 ) ( 294240 73260 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 66600 ) ( 294240 66600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 59940 ) ( 294240 59940 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 53280 ) ( 294240 53280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 46620 ) ( 294240 46620 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 39960 ) ( 294240 39960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 33300 ) ( 294240 33300 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 26640 ) ( 294240 26640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 19980 ) ( 294240 19980 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 13320 ) ( 294240 13320 ) 
+  + USE POWER ;
+- vssd1 ( PIN vssd1 ) 
+  + ROUTED met3 0 + SHAPE STRIPE ( 252480 283050 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 283050 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 283050 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 283050 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 283050 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 283050 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 276390 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 276390 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 276390 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 276390 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 276390 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 276390 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 269730 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 269730 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 269730 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 269730 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 269730 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 269730 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 263070 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 263070 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 263070 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 263070 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 263070 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 263070 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 256410 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 256410 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 256410 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 256410 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 256410 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 256410 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 249750 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 249750 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 249750 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 249750 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 249750 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 249750 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 243090 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 243090 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 243090 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 243090 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 243090 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 243090 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 236430 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 236430 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 236430 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 236430 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 236430 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 236430 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 229770 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 229770 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 229770 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 229770 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 229770 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 229770 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 223110 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 223110 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 223110 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 223110 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 223110 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 223110 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 216450 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 216450 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 216450 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 216450 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 216450 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 216450 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 209790 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 209790 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 209790 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 209790 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 209790 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 209790 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 203130 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 203130 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 203130 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 203130 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 203130 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 203130 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 196470 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 196470 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 196470 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 196470 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 196470 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 196470 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 189810 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 189810 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 189810 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 189810 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 189810 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 189810 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 183150 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 183150 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 183150 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 183150 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 183150 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 183150 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 176490 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 176490 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 176490 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 176490 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 176490 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 176490 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 169830 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 169830 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 169830 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 169830 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 169830 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 169830 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 163170 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 163170 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 163170 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 163170 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 163170 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 163170 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 156510 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 156510 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 156510 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 156510 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 156510 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 156510 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 149850 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 149850 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 149850 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 149850 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 149850 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 149850 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 143190 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 143190 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 143190 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 143190 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 143190 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 143190 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 136530 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 136530 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 136530 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 136530 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 136530 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 136530 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 129870 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 129870 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 129870 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 129870 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 129870 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 129870 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 123210 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 123210 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 123210 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 123210 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 123210 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 123210 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 116550 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 116550 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 116550 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 116550 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 116550 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 116550 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 109890 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 109890 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 109890 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 109890 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 109890 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 109890 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 103230 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 103230 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 103230 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 103230 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 103230 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 103230 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 96570 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 96570 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 96570 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 96570 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 96570 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 96570 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 89910 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 89910 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 89910 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 89910 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 89910 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 89910 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 83250 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 83250 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 83250 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 83250 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 83250 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 83250 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 76590 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 76590 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 76590 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 76590 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 76590 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 76590 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 69930 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 69930 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 69930 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 69930 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 69930 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 69930 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 63270 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 63270 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 63270 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 63270 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 63270 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 63270 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 56610 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 56610 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 56610 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 56610 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 56610 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 56610 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 49950 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 49950 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 49950 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 49950 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 49950 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 49950 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 43290 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 43290 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 43290 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 43290 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 43290 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 43290 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 36630 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 36630 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 36630 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 36630 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 36630 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 36630 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 29970 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 29970 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 29970 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 29970 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 29970 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 29970 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 23310 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 23310 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 23310 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 23310 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 23310 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 23310 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 252480 16650 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 252480 16650 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 252480 16650 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 98880 16650 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 98880 16650 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 98880 16650 ) via_1600x480 
+    NEW met4 1600 + SHAPE STRIPE ( 252480 13080 ) ( 252480 286620 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 98880 13080 ) ( 98880 286620 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 283050 ) ( 294240 283050 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 276390 ) ( 294240 276390 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 269730 ) ( 294240 269730 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 263070 ) ( 294240 263070 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 256410 ) ( 294240 256410 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 249750 ) ( 294240 249750 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 243090 ) ( 294240 243090 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 236430 ) ( 294240 236430 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 229770 ) ( 294240 229770 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 223110 ) ( 294240 223110 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 216450 ) ( 294240 216450 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 209790 ) ( 294240 209790 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 203130 ) ( 294240 203130 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 196470 ) ( 294240 196470 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 189810 ) ( 294240 189810 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 183150 ) ( 294240 183150 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 176490 ) ( 294240 176490 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 169830 ) ( 294240 169830 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 163170 ) ( 294240 163170 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 156510 ) ( 294240 156510 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 149850 ) ( 294240 149850 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 143190 ) ( 294240 143190 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 136530 ) ( 294240 136530 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 129870 ) ( 294240 129870 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 123210 ) ( 294240 123210 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 116550 ) ( 294240 116550 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 109890 ) ( 294240 109890 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 103230 ) ( 294240 103230 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 96570 ) ( 294240 96570 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 89910 ) ( 294240 89910 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 83250 ) ( 294240 83250 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 76590 ) ( 294240 76590 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 69930 ) ( 294240 69930 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 63270 ) ( 294240 63270 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 56610 ) ( 294240 56610 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 49950 ) ( 294240 49950 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 43290 ) ( 294240 43290 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 36630 ) ( 294240 36630 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 29970 ) ( 294240 29970 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 23310 ) ( 294240 23310 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5760 16650 ) ( 294240 16650 ) 
+  + USE GROUND ;
+- vccd2 ( PIN vccd2 ) 
+  + ROUTED met4 1600 + SHAPE STRIPE ( 178980 13320 ) ( 178980 286380 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 25380 13320 ) ( 25380 286380 ) 
+  + USE POWER ;
+- vssd2 ( PIN vssd2 ) 
+  + ROUTED met4 1600 + SHAPE STRIPE ( 255780 13320 ) ( 255780 286380 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 102180 13320 ) ( 102180 286380 ) 
+  + USE GROUND ;
+- vdda1 ( PIN vdda1 ) 
+  + ROUTED met4 1600 + SHAPE STRIPE ( 182280 13320 ) ( 182280 286380 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 28680 13320 ) ( 28680 286380 ) 
+  + USE POWER ;
+- vssa1 ( PIN vssa1 ) 
+  + ROUTED met4 1600 + SHAPE STRIPE ( 259080 13320 ) ( 259080 286380 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 105480 13320 ) ( 105480 286380 ) 
+  + USE GROUND ;
+- vdda2 ( PIN vdda2 ) 
+  + ROUTED met4 1600 + SHAPE STRIPE ( 185580 13320 ) ( 185580 286380 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 31980 13320 ) ( 31980 286380 ) 
+  + USE POWER ;
+- vssa2 ( PIN vssa2 ) 
+  + ROUTED met4 1600 + SHAPE STRIPE ( 262380 13320 ) ( 262380 286380 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 108780 13320 ) ( 108780 286380 ) 
+  + USE GROUND ;
+END SPECIALNETS
+
+NETS 1214 ;
+- io_in[0] ( PIN io_in[0] ) ( input1 A ) 
+  + ROUTED met1 ( 1200 284715 ) ( 9840 284715 )
+    NEW met2 ( 1200 284715 ) ( 1200 296370 0 )
+    NEW li1 ( 9840 284715 ) L1M1_PR_MR
+    NEW met1 ( 1200 284715 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[10] ( PIN io_in[10] ) ( input2 A ) 
+  + ROUTED met1 ( 79920 284715 ) ( 81840 284715 )
+    NEW met2 ( 79920 284715 ) ( 79920 296370 0 )
+    NEW li1 ( 81840 284715 ) L1M1_PR_MR
+    NEW met1 ( 79920 284715 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[11] ( PIN io_in[11] ) ( input3 A ) 
+  + ROUTED met1 ( 87600 284715 ) ( 90960 284715 )
+    NEW met2 ( 87600 284715 ) ( 87600 296370 0 )
+    NEW li1 ( 90960 284715 ) L1M1_PR_MR
+    NEW met1 ( 87600 284715 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[12] ( PIN io_in[12] ) ( input4 A ) 
+  + ROUTED met1 ( 95760 284715 ) ( 97680 284715 )
+    NEW met2 ( 95760 284715 ) ( 95760 296370 0 )
+    NEW li1 ( 97680 284715 ) L1M1_PR_MR
+    NEW met1 ( 95760 284715 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[13] ( PIN io_in[13] ) ( input5 A ) 
+  + ROUTED met1 ( 103440 284715 ) ( 105360 284715 )
+    NEW met2 ( 103440 284715 ) ( 103440 296370 0 )
+    NEW li1 ( 105360 284715 ) L1M1_PR_MR
+    NEW met1 ( 103440 284715 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[14] ( PIN io_in[14] ) ( input6 A ) 
+  + ROUTED met1 ( 110160 284715 ) ( 111600 284715 )
+    NEW met2 ( 111600 284715 ) ( 111600 296370 0 )
+    NEW li1 ( 110160 284715 ) L1M1_PR_MR
+    NEW met1 ( 111600 284715 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[15] ( PIN io_in[15] ) ( input7 A ) 
+  + ROUTED met1 ( 119280 284715 ) ( 121200 284715 )
+    NEW met2 ( 119280 284715 ) ( 119280 296370 0 )
+    NEW li1 ( 121200 284715 ) L1M1_PR_MR
+    NEW met1 ( 119280 284715 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[16] ( PIN io_in[16] ) ( input8 A ) 
+  + ROUTED met1 ( 127440 284715 ) ( 129840 284715 )
+    NEW met2 ( 127440 284715 ) ( 127440 296370 0 )
+    NEW met1 ( 127440 284715 ) M1M2_PR
+    NEW li1 ( 129840 284715 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[17] ( PIN io_in[17] ) ( input9 A ) 
+  + ROUTED met2 ( 135120 284715 ) ( 135120 296370 0 )
+    NEW met1 ( 135120 284715 ) ( 137040 284715 )
+    NEW met1 ( 135120 284715 ) M1M2_PR
+    NEW li1 ( 137040 284715 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[18] ( PIN io_in[18] ) ( input10 A ) 
+  + ROUTED met2 ( 143280 284715 ) ( 143280 296370 0 )
+    NEW li1 ( 143280 284715 ) L1M1_PR_MR
+    NEW met1 ( 143280 284715 ) M1M2_PR
+    NEW met1 ( 143280 284715 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_in[19] ( PIN io_in[19] ) ( input11 A ) 
+  + ROUTED met2 ( 150960 284715 ) ( 151440 284715 )
+    NEW met2 ( 150960 284715 ) ( 150960 296370 0 )
+    NEW li1 ( 151440 284715 ) L1M1_PR_MR
+    NEW met1 ( 151440 284715 ) M1M2_PR
+    NEW met1 ( 151440 284715 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_in[1] ( PIN io_in[1] ) ( input12 A ) 
+  + ROUTED met1 ( 14160 284715 ) ( 14160 285085 )
+    NEW met1 ( 8880 285085 ) ( 14160 285085 )
+    NEW met2 ( 8880 285085 ) ( 8880 296370 0 )
+    NEW li1 ( 14160 284715 ) L1M1_PR_MR
+    NEW met1 ( 8880 285085 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[20] ( PIN io_in[20] ) ( input13 A ) 
+  + ROUTED met2 ( 158640 284715 ) ( 158640 296370 0 )
+    NEW li1 ( 158640 284715 ) L1M1_PR_MR
+    NEW met1 ( 158640 284715 ) M1M2_PR
+    NEW met1 ( 158640 284715 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_in[21] ( PIN io_in[21] ) ( input14 A ) 
+  + ROUTED met1 ( 166800 284715 ) ( 171600 284715 )
+    NEW met2 ( 166800 284715 ) ( 166800 296370 0 )
+    NEW li1 ( 171600 284715 ) L1M1_PR_MR
+    NEW met1 ( 166800 284715 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[22] ( PIN io_in[22] ) ( input15 A ) 
+  + ROUTED met2 ( 174480 284715 ) ( 174480 296370 0 )
+    NEW li1 ( 174480 284715 ) L1M1_PR_MR
+    NEW met1 ( 174480 284715 ) M1M2_PR
+    NEW met1 ( 174480 284715 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_in[23] ( PIN io_in[23] ) ( input16 A ) 
+  + ROUTED met1 ( 182640 284345 ) ( 185040 284345 )
+    NEW met2 ( 182640 284345 ) ( 182640 296370 0 )
+    NEW met1 ( 182640 284345 ) M1M2_PR
+    NEW li1 ( 185040 284345 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[24] ( PIN io_in[24] ) ( input17 A ) 
+  + ROUTED met2 ( 190320 284715 ) ( 190320 296370 0 )
+    NEW li1 ( 190320 284715 ) L1M1_PR_MR
+    NEW met1 ( 190320 284715 ) M1M2_PR
+    NEW met1 ( 190320 284715 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_in[25] ( PIN io_in[25] ) ( input18 A ) 
+  + ROUTED met1 ( 198480 284345 ) ( 200400 284345 )
+    NEW met2 ( 198480 284345 ) ( 198480 296370 0 )
+    NEW met1 ( 198480 284345 ) M1M2_PR
+    NEW li1 ( 200400 284345 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[26] ( PIN io_in[26] ) ( input19 A ) 
+  + ROUTED met1 ( 206160 284715 ) ( 210000 284715 )
+    NEW met2 ( 206160 284715 ) ( 206160 296370 0 )
+    NEW met1 ( 206160 284715 ) M1M2_PR
+    NEW li1 ( 210000 284715 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[27] ( PIN io_in[27] ) ( input20 A ) 
+  + ROUTED met1 ( 214320 284345 ) ( 216240 284345 )
+    NEW met2 ( 214320 284345 ) ( 214320 296370 0 )
+    NEW met1 ( 214320 284345 ) M1M2_PR
+    NEW li1 ( 216240 284345 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[28] ( PIN io_in[28] ) ( input21 A ) 
+  + ROUTED met1 ( 222000 284715 ) ( 223440 284715 )
+    NEW met2 ( 222000 284715 ) ( 222000 296370 0 )
+    NEW met1 ( 222000 284715 ) M1M2_PR
+    NEW li1 ( 223440 284715 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[29] ( PIN io_in[29] ) ( input22 A ) 
+  + ROUTED met1 ( 229680 284345 ) ( 231600 284345 )
+    NEW met2 ( 229680 284345 ) ( 229680 296370 0 )
+    NEW met1 ( 229680 284345 ) M1M2_PR
+    NEW li1 ( 231600 284345 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[2] ( PIN io_in[2] ) ( input23 A ) 
+  + ROUTED met1 ( 16560 285085 ) ( 29040 285085 )
+    NEW met1 ( 29040 284715 ) ( 29040 285085 )
+    NEW met2 ( 16560 285085 ) ( 16560 296370 0 )
+    NEW met1 ( 16560 285085 ) M1M2_PR
+    NEW li1 ( 29040 284715 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[30] ( PIN io_in[30] ) ( input24 A ) 
+  + ROUTED met2 ( 237840 284715 ) ( 237840 296370 0 )
+    NEW li1 ( 237840 284715 ) L1M1_PR_MR
+    NEW met1 ( 237840 284715 ) M1M2_PR
+    NEW met1 ( 237840 284715 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- io_in[31] ( PIN io_in[31] ) ( input25 A ) 
+  + ROUTED met1 ( 245040 284345 ) ( 245520 284345 )
+    NEW met2 ( 245520 284345 ) ( 245520 296370 0 )
+    NEW met1 ( 245520 284345 ) M1M2_PR
+    NEW li1 ( 245040 284345 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[32] ( PIN io_in[32] ) ( input26 A ) 
+  + ROUTED met1 ( 253680 284345 ) ( 255600 284345 )
+    NEW met2 ( 253680 284345 ) ( 253680 296370 0 )
+    NEW met1 ( 253680 284345 ) M1M2_PR
+    NEW li1 ( 255600 284345 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[33] ( PIN io_in[33] ) ( input27 A ) 
+  + ROUTED met1 ( 261360 284345 ) ( 265680 284345 )
+    NEW met2 ( 261360 284345 ) ( 261360 296370 0 )
+    NEW met1 ( 261360 284345 ) M1M2_PR
+    NEW li1 ( 265680 284345 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[34] ( PIN io_in[34] ) ( input28 A ) 
+  + ROUTED met2 ( 269520 284715 ) ( 269520 296370 0 )
+    NEW li1 ( 269520 284715 ) L1M1_PR_MR
+    NEW met1 ( 269520 284715 ) M1M2_PR
+    NEW met1 ( 269520 284715 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_in[35] ( PIN io_in[35] ) ( input29 A ) 
+  + ROUTED met1 ( 277200 284345 ) ( 279120 284345 )
+    NEW met2 ( 277200 284345 ) ( 277200 296370 0 )
+    NEW met1 ( 277200 284345 ) M1M2_PR
+    NEW li1 ( 279120 284345 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[36] ( PIN io_in[36] ) ( input30 A ) 
+  + ROUTED met2 ( 285360 284345 ) ( 285360 296370 0 )
+    NEW li1 ( 285360 284345 ) L1M1_PR_MR
+    NEW met1 ( 285360 284345 ) M1M2_PR
+    NEW met1 ( 285360 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_in[37] ( PIN io_in[37] ) ( input31 A ) 
+  + ROUTED met1 ( 289200 281385 ) ( 293040 281385 )
+    NEW met2 ( 293040 281385 ) ( 293040 296370 0 )
+    NEW li1 ( 289200 281385 ) L1M1_PR_MR
+    NEW met1 ( 293040 281385 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[3] ( PIN io_in[3] ) ( input32 A ) 
+  + ROUTED met1 ( 24720 284715 ) ( 26640 284715 )
+    NEW met2 ( 24720 284715 ) ( 24720 296370 0 )
+    NEW li1 ( 26640 284715 ) L1M1_PR_MR
+    NEW met1 ( 24720 284715 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[4] ( PIN io_in[4] ) ( input33 A ) 
+  + ROUTED met2 ( 32400 284715 ) ( 32400 296370 0 )
+    NEW met1 ( 32400 284715 ) ( 37200 284715 )
+    NEW met1 ( 32400 284715 ) M1M2_PR
+    NEW li1 ( 37200 284715 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[5] ( PIN io_in[5] ) ( input34 A ) 
+  + ROUTED met2 ( 40560 284715 ) ( 40560 296370 0 )
+    NEW li1 ( 40560 284715 ) L1M1_PR_MR
+    NEW met1 ( 40560 284715 ) M1M2_PR
+    NEW met1 ( 40560 284715 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_in[6] ( PIN io_in[6] ) ( input35 A ) 
+  + ROUTED met1 ( 48240 285085 ) ( 49680 285085 )
+    NEW met2 ( 48240 285085 ) ( 48240 296370 0 )
+    NEW li1 ( 49680 285085 ) L1M1_PR_MR
+    NEW met1 ( 48240 285085 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[7] ( PIN io_in[7] ) ( input36 A ) 
+  + ROUTED met1 ( 57360 284715 ) ( 57360 285085 )
+    NEW met1 ( 56400 285085 ) ( 57360 285085 )
+    NEW met2 ( 56400 285085 ) ( 56400 296370 0 )
+    NEW li1 ( 57360 284715 ) L1M1_PR_MR
+    NEW met1 ( 56400 285085 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[8] ( PIN io_in[8] ) ( input37 A ) 
+  + ROUTED met1 ( 64080 284715 ) ( 66000 284715 )
+    NEW met2 ( 64080 284715 ) ( 64080 296370 0 )
+    NEW li1 ( 66000 284715 ) L1M1_PR_MR
+    NEW met1 ( 64080 284715 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[9] ( PIN io_in[9] ) ( input38 A ) 
+  + ROUTED met1 ( 72240 284715 ) ( 75600 284715 )
+    NEW met2 ( 72240 284715 ) ( 72240 296370 0 )
+    NEW met1 ( 72240 284715 ) M1M2_PR
+    NEW li1 ( 75600 284715 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[0] ( PIN io_oeb[0] ) ( output368 X ) 
+  + ROUTED met1 ( 3600 282495 ) ( 8400 282495 )
+    NEW met2 ( 3600 282495 ) ( 3600 296370 0 )
+    NEW met1 ( 3600 282495 ) M1M2_PR
+    NEW li1 ( 8400 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[10] ( PIN io_oeb[10] ) ( output369 X ) 
+  + ROUTED met1 ( 69840 285085 ) ( 82320 285085 )
+    NEW met2 ( 82320 285085 ) ( 82320 296370 0 )
+    NEW met1 ( 82320 285085 ) M1M2_PR
+    NEW li1 ( 69840 285085 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[11] ( PIN io_oeb[11] ) ( output370 X ) 
+  + ROUTED met1 ( 90480 282495 ) ( 90960 282495 )
+    NEW met2 ( 90480 282495 ) ( 90480 296370 0 )
+    NEW met1 ( 90480 282495 ) M1M2_PR
+    NEW li1 ( 90960 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[12] ( PIN io_oeb[12] ) ( output371 X ) 
+  + ROUTED met2 ( 98160 288000 ) ( 98160 296370 0 )
+    NEW met2 ( 98160 288000 ) ( 100080 288000 )
+    NEW met2 ( 100080 282495 ) ( 100080 288000 )
+    NEW met1 ( 100080 282495 ) ( 101520 282495 )
+    NEW met1 ( 100080 282495 ) M1M2_PR
+    NEW li1 ( 101520 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[13] ( PIN io_oeb[13] ) ( output372 X ) 
+  + ROUTED met1 ( 106320 282495 ) ( 106800 282495 )
+    NEW met2 ( 106320 282495 ) ( 106320 296370 0 )
+    NEW met1 ( 106320 282495 ) M1M2_PR
+    NEW li1 ( 106800 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[14] ( PIN io_oeb[14] ) ( output373 X ) 
+  + ROUTED met1 ( 114000 282495 ) ( 114480 282495 )
+    NEW met2 ( 114000 282495 ) ( 114000 296370 0 )
+    NEW met1 ( 114000 282495 ) M1M2_PR
+    NEW li1 ( 114480 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[15] ( PIN io_oeb[15] ) ( output374 X ) 
+  + ROUTED met1 ( 121680 282495 ) ( 122160 282495 )
+    NEW met2 ( 122160 282495 ) ( 122160 296370 0 )
+    NEW li1 ( 121680 282495 ) L1M1_PR_MR
+    NEW met1 ( 122160 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[16] ( PIN io_oeb[16] ) ( output375 X ) 
+  + ROUTED met1 ( 129840 282495 ) ( 130320 282495 )
+    NEW met2 ( 129840 282495 ) ( 129840 296370 0 )
+    NEW met1 ( 129840 282495 ) M1M2_PR
+    NEW li1 ( 130320 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[17] ( PIN io_oeb[17] ) ( output376 X ) 
+  + ROUTED met1 ( 138000 282495 ) ( 138960 282495 )
+    NEW met2 ( 138000 282495 ) ( 138000 296370 0 )
+    NEW li1 ( 138960 282495 ) L1M1_PR_MR
+    NEW met1 ( 138000 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[18] ( PIN io_oeb[18] ) ( output377 X ) 
+  + ROUTED met1 ( 145680 285085 ) ( 162960 285085 )
+    NEW met2 ( 145680 285085 ) ( 145680 296370 0 )
+    NEW met1 ( 145680 285085 ) M1M2_PR
+    NEW li1 ( 162960 285085 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[19] ( PIN io_oeb[19] ) ( output378 X ) 
+  + ROUTED met1 ( 153360 282495 ) ( 154800 282495 )
+    NEW met2 ( 153360 282495 ) ( 153360 296370 0 )
+    NEW li1 ( 154800 282495 ) L1M1_PR_MR
+    NEW met1 ( 153360 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[1] ( PIN io_oeb[1] ) ( output379 X ) 
+  + ROUTED met1 ( 11280 282495 ) ( 12240 282495 )
+    NEW met2 ( 11280 282495 ) ( 11280 296370 0 )
+    NEW met1 ( 11280 282495 ) M1M2_PR
+    NEW li1 ( 12240 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[20] ( PIN io_oeb[20] ) ( output380 X ) 
+  + ROUTED met1 ( 161520 282495 ) ( 162000 282495 )
+    NEW met2 ( 161520 282495 ) ( 161520 296370 0 )
+    NEW met1 ( 161520 282495 ) M1M2_PR
+    NEW li1 ( 162000 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[21] ( PIN io_oeb[21] ) ( output381 X ) 
+  + ROUTED met1 ( 169200 282495 ) ( 170160 282495 )
+    NEW met2 ( 169200 282495 ) ( 169200 296370 0 )
+    NEW li1 ( 170160 282495 ) L1M1_PR_MR
+    NEW met1 ( 169200 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[22] ( PIN io_oeb[22] ) ( output382 X ) 
+  + ROUTED met1 ( 177360 282495 ) ( 180720 282495 )
+    NEW met2 ( 177360 282495 ) ( 177360 296370 0 )
+    NEW met1 ( 177360 282495 ) M1M2_PR
+    NEW li1 ( 180720 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[23] ( PIN io_oeb[23] ) ( output383 X ) 
+  + ROUTED met2 ( 204240 280275 ) ( 204240 283605 )
+    NEW met2 ( 185040 280275 ) ( 185040 296370 0 )
+    NEW met1 ( 185040 280275 ) ( 204240 280275 )
+    NEW met1 ( 204240 280275 ) M1M2_PR
+    NEW li1 ( 204240 283605 ) L1M1_PR_MR
+    NEW met1 ( 204240 283605 ) M1M2_PR
+    NEW met1 ( 185040 280275 ) M1M2_PR
+    NEW met1 ( 204240 283605 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_oeb[24] ( PIN io_oeb[24] ) ( output384 X ) 
+  + ROUTED met1 ( 193200 282495 ) ( 194160 282495 )
+    NEW met2 ( 193200 282495 ) ( 193200 296370 0 )
+    NEW li1 ( 194160 282495 ) L1M1_PR_MR
+    NEW met1 ( 193200 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[25] ( PIN io_oeb[25] ) ( output385 X ) 
+  + ROUTED met2 ( 200880 282495 ) ( 200880 296370 0 )
+    NEW li1 ( 200880 282495 ) L1M1_PR_MR
+    NEW met1 ( 200880 282495 ) M1M2_PR
+    NEW met1 ( 200880 282495 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_oeb[26] ( PIN io_oeb[26] ) ( output386 X ) 
+  + ROUTED met1 ( 209040 282495 ) ( 209520 282495 )
+    NEW met2 ( 209040 282495 ) ( 209040 296370 0 )
+    NEW met1 ( 209040 282495 ) M1M2_PR
+    NEW li1 ( 209520 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[27] ( PIN io_oeb[27] ) ( output387 X ) 
+  + ROUTED met1 ( 216720 282495 ) ( 217680 282495 )
+    NEW met2 ( 216720 282495 ) ( 216720 296370 0 )
+    NEW li1 ( 217680 282495 ) L1M1_PR_MR
+    NEW met1 ( 216720 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[28] ( PIN io_oeb[28] ) ( output388 X ) 
+  + ROUTED met1 ( 224880 282495 ) ( 225840 282495 )
+    NEW met2 ( 224880 282495 ) ( 224880 296370 0 )
+    NEW li1 ( 225840 282495 ) L1M1_PR_MR
+    NEW met1 ( 224880 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[29] ( PIN io_oeb[29] ) ( output389 X ) 
+  + ROUTED met1 ( 232560 282495 ) ( 234000 282495 )
+    NEW met2 ( 232560 282495 ) ( 232560 296370 0 )
+    NEW li1 ( 234000 282495 ) L1M1_PR_MR
+    NEW met1 ( 232560 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[2] ( PIN io_oeb[2] ) ( output390 X ) 
+  + ROUTED met1 ( 19440 282495 ) ( 22320 282495 )
+    NEW met2 ( 19440 282495 ) ( 19440 296370 0 )
+    NEW met1 ( 19440 282495 ) M1M2_PR
+    NEW li1 ( 22320 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[30] ( PIN io_oeb[30] ) ( output391 X ) 
+  + ROUTED met1 ( 240240 282495 ) ( 241200 282495 )
+    NEW met2 ( 240240 282495 ) ( 240240 296370 0 )
+    NEW li1 ( 241200 282495 ) L1M1_PR_MR
+    NEW met1 ( 240240 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[31] ( PIN io_oeb[31] ) ( output392 X ) 
+  + ROUTED met1 ( 248400 282495 ) ( 248880 282495 )
+    NEW met2 ( 248400 282495 ) ( 248400 296370 0 )
+    NEW met1 ( 248400 282495 ) M1M2_PR
+    NEW li1 ( 248880 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[32] ( PIN io_oeb[32] ) ( output393 X ) 
+  + ROUTED met1 ( 256080 280645 ) ( 259920 280645 )
+    NEW met1 ( 259920 280645 ) ( 259920 281015 )
+    NEW met2 ( 256080 280645 ) ( 256080 296370 0 )
+    NEW met1 ( 256080 280645 ) M1M2_PR
+    NEW li1 ( 259920 281015 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[33] ( PIN io_oeb[33] ) ( output394 X ) 
+  + ROUTED met2 ( 264240 288000 ) ( 264240 296370 0 )
+    NEW met1 ( 264720 282495 ) ( 265200 282495 )
+    NEW met2 ( 264720 282495 ) ( 264720 288000 )
+    NEW met2 ( 264240 288000 ) ( 264720 288000 )
+    NEW li1 ( 265200 282495 ) L1M1_PR_MR
+    NEW met1 ( 264720 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[34] ( PIN io_oeb[34] ) ( output395 X ) 
+  + ROUTED met1 ( 271920 282495 ) ( 272880 282495 )
+    NEW met2 ( 271920 282495 ) ( 271920 296370 0 )
+    NEW li1 ( 272880 282495 ) L1M1_PR_MR
+    NEW met1 ( 271920 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[35] ( PIN io_oeb[35] ) ( output396 X ) 
+  + ROUTED met1 ( 280080 282495 ) ( 280560 282495 )
+    NEW met2 ( 280080 282495 ) ( 280080 296370 0 )
+    NEW li1 ( 280560 282495 ) L1M1_PR_MR
+    NEW met1 ( 280080 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[36] ( PIN io_oeb[36] ) ( output397 X ) 
+  + ROUTED met2 ( 287760 278425 ) ( 287760 296370 0 )
+    NEW met1 ( 287760 278425 ) ( 288720 278425 )
+    NEW li1 ( 288720 278425 ) L1M1_PR_MR
+    NEW met1 ( 287760 278425 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[37] ( PIN io_oeb[37] ) ( output398 X ) 
+  + ROUTED met1 ( 289680 275835 ) ( 295920 275835 )
+    NEW met2 ( 295920 275835 ) ( 295920 296370 0 )
+    NEW met1 ( 295920 275835 ) M1M2_PR
+    NEW li1 ( 289680 275835 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[3] ( PIN io_oeb[3] ) ( output399 X ) 
+  + ROUTED met1 ( 27120 282495 ) ( 27600 282495 )
+    NEW met2 ( 27120 282495 ) ( 27120 296370 0 )
+    NEW met1 ( 27120 282495 ) M1M2_PR
+    NEW li1 ( 27600 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[4] ( PIN io_oeb[4] ) ( output400 X ) 
+  + ROUTED met1 ( 35280 282495 ) ( 35760 282495 )
+    NEW met2 ( 35280 282495 ) ( 35280 296370 0 )
+    NEW met1 ( 35280 282495 ) M1M2_PR
+    NEW li1 ( 35760 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[5] ( PIN io_oeb[5] ) ( output401 X ) 
+  + ROUTED met2 ( 42960 282495 ) ( 42960 296370 0 )
+    NEW li1 ( 42960 282495 ) L1M1_PR_MR
+    NEW met1 ( 42960 282495 ) M1M2_PR
+    NEW met1 ( 42960 282495 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_oeb[6] ( PIN io_oeb[6] ) ( output402 X ) 
+  + ROUTED met1 ( 51120 282495 ) ( 51600 282495 )
+    NEW met2 ( 51120 282495 ) ( 51120 296370 0 )
+    NEW met1 ( 51120 282495 ) M1M2_PR
+    NEW li1 ( 51600 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[7] ( PIN io_oeb[7] ) ( output403 X ) 
+  + ROUTED met1 ( 58800 282495 ) ( 59280 282495 )
+    NEW met2 ( 58800 282495 ) ( 58800 296370 0 )
+    NEW met1 ( 58800 282495 ) M1M2_PR
+    NEW li1 ( 59280 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[8] ( PIN io_oeb[8] ) ( output404 X ) 
+  + ROUTED met1 ( 66960 282495 ) ( 67440 282495 )
+    NEW met2 ( 66960 282495 ) ( 66960 296370 0 )
+    NEW met1 ( 66960 282495 ) M1M2_PR
+    NEW li1 ( 67440 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[9] ( PIN io_oeb[9] ) ( output405 X ) 
+  + ROUTED met1 ( 74640 282495 ) ( 75120 282495 )
+    NEW met2 ( 74640 282495 ) ( 74640 296370 0 )
+    NEW met1 ( 74640 282495 ) M1M2_PR
+    NEW li1 ( 75120 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[0] ( PIN io_out[0] ) ( output406 X ) 
+  + ROUTED met1 ( 6000 278425 ) ( 8400 278425 )
+    NEW met2 ( 6000 278425 ) ( 6000 296370 0 )
+    NEW met1 ( 6000 278425 ) M1M2_PR
+    NEW li1 ( 8400 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[10] ( PIN io_out[10] ) ( output407 X ) 
+  + ROUTED met1 ( 85200 282495 ) ( 85680 282495 )
+    NEW met2 ( 85200 282495 ) ( 85200 296370 0 )
+    NEW met1 ( 85200 282495 ) M1M2_PR
+    NEW li1 ( 85680 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[11] ( PIN io_out[11] ) ( output408 X ) 
+  + ROUTED met1 ( 92880 282495 ) ( 94800 282495 )
+    NEW met2 ( 92880 282495 ) ( 92880 296370 0 )
+    NEW met1 ( 92880 282495 ) M1M2_PR
+    NEW li1 ( 94800 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[12] ( PIN io_out[12] ) ( output409 X ) 
+  + ROUTED met1 ( 101040 278425 ) ( 102000 278425 )
+    NEW met2 ( 101040 278425 ) ( 101040 296370 0 )
+    NEW met1 ( 101040 278425 ) M1M2_PR
+    NEW li1 ( 102000 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[13] ( PIN io_out[13] ) ( output410 X ) 
+  + ROUTED met1 ( 108720 282495 ) ( 111120 282495 )
+    NEW met2 ( 108720 282495 ) ( 108720 296370 0 )
+    NEW li1 ( 111120 282495 ) L1M1_PR_MR
+    NEW met1 ( 108720 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[14] ( PIN io_out[14] ) ( output411 X ) 
+  + ROUTED met1 ( 116880 278425 ) ( 117840 278425 )
+    NEW met2 ( 116880 278425 ) ( 116880 296370 0 )
+    NEW met1 ( 116880 278425 ) M1M2_PR
+    NEW li1 ( 117840 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[15] ( PIN io_out[15] ) ( output412 X ) 
+  + ROUTED met1 ( 124560 278425 ) ( 125040 278425 )
+    NEW met2 ( 124560 278425 ) ( 124560 296370 0 )
+    NEW met1 ( 124560 278425 ) M1M2_PR
+    NEW li1 ( 125040 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[16] ( PIN io_out[16] ) ( output413 X ) 
+  + ROUTED met1 ( 132720 282495 ) ( 134640 282495 )
+    NEW met2 ( 132720 282495 ) ( 132720 296370 0 )
+    NEW li1 ( 134640 282495 ) L1M1_PR_MR
+    NEW met1 ( 132720 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[17] ( PIN io_out[17] ) ( output414 X ) 
+  + ROUTED met1 ( 140400 282495 ) ( 142320 282495 )
+    NEW met2 ( 140400 282495 ) ( 140400 296370 0 )
+    NEW met1 ( 140400 282495 ) M1M2_PR
+    NEW li1 ( 142320 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[18] ( PIN io_out[18] ) ( output415 X ) 
+  + ROUTED met2 ( 148560 282495 ) ( 148560 296370 0 )
+    NEW li1 ( 148560 282495 ) L1M1_PR_MR
+    NEW met1 ( 148560 282495 ) M1M2_PR
+    NEW met1 ( 148560 282495 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_out[19] ( PIN io_out[19] ) ( output416 X ) 
+  + ROUTED met1 ( 156240 282495 ) ( 158160 282495 )
+    NEW met2 ( 156240 282495 ) ( 156240 296370 0 )
+    NEW met1 ( 156240 282495 ) M1M2_PR
+    NEW li1 ( 158160 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[1] ( PIN io_out[1] ) ( output417 X ) 
+  + ROUTED met1 ( 14160 282495 ) ( 16080 282495 )
+    NEW met2 ( 14160 282495 ) ( 14160 296370 0 )
+    NEW met1 ( 14160 282495 ) M1M2_PR
+    NEW li1 ( 16080 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[20] ( PIN io_out[20] ) ( output418 X ) 
+  + ROUTED met1 ( 166320 280645 ) ( 166320 281015 )
+    NEW met1 ( 163920 280645 ) ( 166320 280645 )
+    NEW met2 ( 163920 280645 ) ( 163920 296370 0 )
+    NEW li1 ( 166320 281015 ) L1M1_PR_MR
+    NEW met1 ( 163920 280645 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[21] ( PIN io_out[21] ) ( output419 X ) 
+  + ROUTED met1 ( 172080 282495 ) ( 173520 282495 )
+    NEW met2 ( 172080 282495 ) ( 172080 296370 0 )
+    NEW met1 ( 172080 282495 ) M1M2_PR
+    NEW li1 ( 173520 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[22] ( PIN io_out[22] ) ( output420 X ) 
+  + ROUTED met2 ( 179760 288000 ) ( 179760 296370 0 )
+    NEW met1 ( 181200 282495 ) ( 185040 282495 )
+    NEW met2 ( 181200 282495 ) ( 181200 288000 )
+    NEW met2 ( 179760 288000 ) ( 181200 288000 )
+    NEW li1 ( 185040 282495 ) L1M1_PR_MR
+    NEW met1 ( 181200 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[23] ( PIN io_out[23] ) ( output421 X ) 
+  + ROUTED met1 ( 187920 282495 ) ( 188400 282495 )
+    NEW met2 ( 187920 282495 ) ( 187920 296370 0 )
+    NEW met1 ( 187920 282495 ) M1M2_PR
+    NEW li1 ( 188400 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[24] ( PIN io_out[24] ) ( output422 X ) 
+  + ROUTED met1 ( 195600 278425 ) ( 196560 278425 )
+    NEW met2 ( 195600 278425 ) ( 195600 296370 0 )
+    NEW met1 ( 195600 278425 ) M1M2_PR
+    NEW li1 ( 196560 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[25] ( PIN io_out[25] ) ( output423 X ) 
+  + ROUTED met1 ( 203760 278425 ) ( 204720 278425 )
+    NEW met2 ( 203760 278425 ) ( 203760 296370 0 )
+    NEW met1 ( 203760 278425 ) M1M2_PR
+    NEW li1 ( 204720 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[26] ( PIN io_out[26] ) ( output424 X ) 
+  + ROUTED met1 ( 211440 282495 ) ( 213840 282495 )
+    NEW met2 ( 211440 282495 ) ( 211440 296370 0 )
+    NEW li1 ( 213840 282495 ) L1M1_PR_MR
+    NEW met1 ( 211440 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[27] ( PIN io_out[27] ) ( output425 X ) 
+  + ROUTED met1 ( 219600 282495 ) ( 221520 282495 )
+    NEW met2 ( 219600 282495 ) ( 219600 296370 0 )
+    NEW li1 ( 221520 282495 ) L1M1_PR_MR
+    NEW met1 ( 219600 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[28] ( PIN io_out[28] ) ( output426 X ) 
+  + ROUTED met1 ( 227280 278425 ) ( 228240 278425 )
+    NEW met2 ( 227280 278425 ) ( 227280 296370 0 )
+    NEW met1 ( 227280 278425 ) M1M2_PR
+    NEW li1 ( 228240 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[29] ( PIN io_out[29] ) ( output427 X ) 
+  + ROUTED met1 ( 234960 278425 ) ( 235440 278425 )
+    NEW met2 ( 234960 278425 ) ( 234960 296370 0 )
+    NEW met1 ( 234960 278425 ) M1M2_PR
+    NEW li1 ( 235440 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[2] ( PIN io_out[2] ) ( output428 X ) 
+  + ROUTED met2 ( 21840 288000 ) ( 21840 296370 0 )
+    NEW met2 ( 21840 288000 ) ( 23280 288000 )
+    NEW met2 ( 23280 278425 ) ( 23280 288000 )
+    NEW met1 ( 22320 278425 ) ( 23280 278425 )
+    NEW met1 ( 23280 278425 ) M1M2_PR
+    NEW li1 ( 22320 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[30] ( PIN io_out[30] ) ( output429 X ) 
+  + ROUTED met1 ( 245040 280645 ) ( 245040 281015 )
+    NEW met1 ( 243120 280645 ) ( 245040 280645 )
+    NEW met2 ( 243120 280645 ) ( 243120 296370 0 )
+    NEW li1 ( 245040 281015 ) L1M1_PR_MR
+    NEW met1 ( 243120 280645 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[31] ( PIN io_out[31] ) ( output430 X ) 
+  + ROUTED met1 ( 250800 282495 ) ( 252720 282495 )
+    NEW met2 ( 250800 282495 ) ( 250800 296370 0 )
+    NEW met1 ( 250800 282495 ) M1M2_PR
+    NEW li1 ( 252720 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[32] ( PIN io_out[32] ) ( output431 X ) 
+  + ROUTED met1 ( 258960 278425 ) ( 259920 278425 )
+    NEW met2 ( 258960 278425 ) ( 258960 296370 0 )
+    NEW met1 ( 258960 278425 ) M1M2_PR
+    NEW li1 ( 259920 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[33] ( PIN io_out[33] ) ( output432 X ) 
+  + ROUTED met1 ( 266640 282495 ) ( 268560 282495 )
+    NEW met2 ( 266640 282495 ) ( 266640 296370 0 )
+    NEW met1 ( 266640 282495 ) M1M2_PR
+    NEW li1 ( 268560 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[34] ( PIN io_out[34] ) ( output433 X ) 
+  + ROUTED met1 ( 274800 282495 ) ( 276720 282495 )
+    NEW met2 ( 274800 282495 ) ( 274800 296370 0 )
+    NEW li1 ( 276720 282495 ) L1M1_PR_MR
+    NEW met1 ( 274800 282495 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[35] ( PIN io_out[35] ) ( output434 X ) 
+  + ROUTED met1 ( 282480 278425 ) ( 282960 278425 )
+    NEW met2 ( 282480 278425 ) ( 282480 296370 0 )
+    NEW met1 ( 282480 278425 ) M1M2_PR
+    NEW li1 ( 282960 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[36] ( PIN io_out[36] ) ( output435 X ) 
+  + ROUTED met1 ( 289200 271765 ) ( 290640 271765 )
+    NEW met2 ( 290640 271765 ) ( 290640 296370 0 )
+    NEW met1 ( 290640 271765 ) M1M2_PR
+    NEW li1 ( 289200 271765 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[37] ( PIN io_out[37] ) ( output436 X ) 
+  + ROUTED met1 ( 289680 269175 ) ( 298320 269175 )
+    NEW met2 ( 298320 269175 ) ( 298320 296370 0 )
+    NEW met1 ( 298320 269175 ) M1M2_PR
+    NEW li1 ( 289680 269175 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[3] ( PIN io_out[3] ) ( output437 X ) 
+  + ROUTED met1 ( 30000 282495 ) ( 31440 282495 )
+    NEW met2 ( 30000 282495 ) ( 30000 296370 0 )
+    NEW met1 ( 30000 282495 ) M1M2_PR
+    NEW li1 ( 31440 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[4] ( PIN io_out[4] ) ( output438 X ) 
+  + ROUTED met1 ( 37680 278425 ) ( 38160 278425 )
+    NEW met2 ( 37680 278425 ) ( 37680 296370 0 )
+    NEW met1 ( 37680 278425 ) M1M2_PR
+    NEW li1 ( 38160 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[5] ( PIN io_out[5] ) ( output439 X ) 
+  + ROUTED met1 ( 45840 278425 ) ( 46800 278425 )
+    NEW met2 ( 45840 278425 ) ( 45840 296370 0 )
+    NEW met1 ( 45840 278425 ) M1M2_PR
+    NEW li1 ( 46800 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[6] ( PIN io_out[6] ) ( output440 X ) 
+  + ROUTED met1 ( 53520 282495 ) ( 55440 282495 )
+    NEW met2 ( 53520 282495 ) ( 53520 296370 0 )
+    NEW met1 ( 53520 282495 ) M1M2_PR
+    NEW li1 ( 55440 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[7] ( PIN io_out[7] ) ( output441 X ) 
+  + ROUTED met1 ( 61680 282495 ) ( 63120 282495 )
+    NEW met2 ( 61680 282495 ) ( 61680 296370 0 )
+    NEW met1 ( 61680 282495 ) M1M2_PR
+    NEW li1 ( 63120 282495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[8] ( PIN io_out[8] ) ( output442 X ) 
+  + ROUTED met1 ( 69360 278425 ) ( 69840 278425 )
+    NEW met2 ( 69360 278425 ) ( 69360 296370 0 )
+    NEW met1 ( 69360 278425 ) M1M2_PR
+    NEW li1 ( 69840 278425 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[9] ( PIN io_out[9] ) ( output443 X ) 
+  + ROUTED met1 ( 79440 280645 ) ( 79440 281015 )
+    NEW met1 ( 77040 280645 ) ( 79440 280645 )
+    NEW met2 ( 77040 280645 ) ( 77040 296370 0 )
+    NEW li1 ( 79440 281015 ) L1M1_PR_MR
+    NEW met1 ( 77040 280645 ) M1M2_PR
++ USE SIGNAL ;
+- irq[0] ( PIN irq[0] ) ( output444 X ) 
+  + ROUTED met3 ( 3360 75110 0 ) ( 8400 75110 )
+    NEW met2 ( 8400 74555 ) ( 8400 75110 )
+    NEW met2 ( 8400 75110 ) via2_FR
+    NEW li1 ( 8400 74555 ) L1M1_PR_MR
+    NEW met1 ( 8400 74555 ) M1M2_PR
+    NEW met1 ( 8400 74555 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- irq[1] ( PIN irq[1] ) ( output445 X ) 
+  + ROUTED met2 ( 289200 149850 ) ( 289200 150405 )
+    NEW met3 ( 289200 149850 ) ( 296160 149850 0 )
+    NEW li1 ( 289200 150405 ) L1M1_PR_MR
+    NEW met1 ( 289200 150405 ) M1M2_PR
+    NEW met2 ( 289200 149850 ) via2_FR
+    NEW met1 ( 289200 150405 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- irq[2] ( PIN irq[2] ) ( output446 X ) 
+  + ROUTED met3 ( 3360 224590 0 ) ( 8400 224590 )
+    NEW met2 ( 8400 224590 ) ( 8400 225145 )
+    NEW met2 ( 8400 224590 ) via2_FR
+    NEW li1 ( 8400 225145 ) L1M1_PR_MR
+    NEW met1 ( 8400 225145 ) M1M2_PR
+    NEW met1 ( 8400 225145 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[0] ( PIN la_data_in[0] ) ( input39 A ) 
+  + ROUTED met2 ( 65040 3330 0 ) ( 65040 14985 )
+    NEW li1 ( 65040 14985 ) L1M1_PR_MR
+    NEW met1 ( 65040 14985 ) M1M2_PR
+    NEW met1 ( 65040 14985 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[100] ( PIN la_data_in[100] ) ( input40 A ) 
+  + ROUTED met2 ( 248400 3330 0 ) ( 248400 14985 )
+    NEW met1 ( 248400 14985 ) ( 250320 14985 )
+    NEW met1 ( 248400 14985 ) M1M2_PR
+    NEW li1 ( 250320 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[101] ( PIN la_data_in[101] ) ( input41 A ) 
+  + ROUTED met2 ( 250320 3330 0 ) ( 250320 14245 )
+    NEW met1 ( 250320 14245 ) ( 250320 14615 )
+    NEW met1 ( 250320 14615 ) ( 254160 14615 )
+    NEW met1 ( 254160 14615 ) ( 254160 14985 )
+    NEW met1 ( 250320 14245 ) M1M2_PR
+    NEW li1 ( 254160 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[102] ( PIN la_data_in[102] ) ( input42 A ) 
+  + ROUTED met2 ( 252240 3330 0 ) ( 252240 9250 )
+    NEW met2 ( 252240 9250 ) ( 253680 9250 )
+    NEW met2 ( 253680 9250 ) ( 253680 18315 )
+    NEW met1 ( 252720 18315 ) ( 253680 18315 )
+    NEW met1 ( 253680 18315 ) M1M2_PR
+    NEW li1 ( 252720 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[103] ( PIN la_data_in[103] ) ( input43 A ) 
+  + ROUTED met2 ( 254160 3330 0 ) ( 254160 18315 )
+    NEW met1 ( 254160 18315 ) ( 256080 18315 )
+    NEW met1 ( 254160 18315 ) M1M2_PR
+    NEW li1 ( 256080 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[104] ( PIN la_data_in[104] ) ( input44 A ) 
+  + ROUTED met2 ( 256080 3330 0 ) ( 256080 18315 )
+    NEW met2 ( 256080 18315 ) ( 256560 18315 )
+    NEW met1 ( 256560 18315 ) ( 259920 18315 )
+    NEW met1 ( 256560 18315 ) M1M2_PR
+    NEW li1 ( 259920 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[105] ( PIN la_data_in[105] ) ( input45 A ) 
+  + ROUTED met2 ( 257520 3330 0 ) ( 257520 14985 )
+    NEW met1 ( 257520 14985 ) ( 263760 14985 )
+    NEW met1 ( 257520 14985 ) M1M2_PR
+    NEW li1 ( 263760 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[106] ( PIN la_data_in[106] ) ( input46 A ) 
+  + ROUTED met2 ( 259440 3330 0 ) ( 259440 9250 )
+    NEW met2 ( 259440 9250 ) ( 259920 9250 )
+    NEW met2 ( 259920 9250 ) ( 259920 17575 )
+    NEW met1 ( 259920 17575 ) ( 263760 17575 )
+    NEW met1 ( 263760 17575 ) ( 263760 18315 )
+    NEW met1 ( 259920 17575 ) M1M2_PR
+    NEW li1 ( 263760 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[107] ( PIN la_data_in[107] ) ( input47 A ) 
+  + ROUTED met2 ( 261360 3330 0 ) ( 261360 14615 )
+    NEW met1 ( 261360 14615 ) ( 264240 14615 )
+    NEW met1 ( 264240 14615 ) ( 264240 14985 )
+    NEW met1 ( 264240 14985 ) ( 267600 14985 )
+    NEW met1 ( 261360 14615 ) M1M2_PR
+    NEW li1 ( 267600 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[108] ( PIN la_data_in[108] ) ( input48 A ) 
+  + ROUTED met2 ( 263280 3330 0 ) ( 263280 21645 )
+    NEW li1 ( 263280 21645 ) L1M1_PR_MR
+    NEW met1 ( 263280 21645 ) M1M2_PR
+    NEW met1 ( 263280 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[109] ( PIN la_data_in[109] ) ( input49 A ) 
+  + ROUTED met2 ( 265200 3330 0 ) ( 265200 21275 )
+    NEW met1 ( 265200 21275 ) ( 267120 21275 )
+    NEW met1 ( 267120 21275 ) ( 267120 21645 )
+    NEW met1 ( 265200 21275 ) M1M2_PR
+    NEW li1 ( 267120 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[10] ( PIN la_data_in[10] ) ( input50 A ) 
+  + ROUTED met2 ( 83280 3330 0 ) ( 83280 14985 )
+    NEW li1 ( 83280 14985 ) L1M1_PR_MR
+    NEW met1 ( 83280 14985 ) M1M2_PR
+    NEW met1 ( 83280 14985 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[110] ( PIN la_data_in[110] ) ( input51 A ) 
+  + ROUTED met2 ( 267120 3330 0 ) ( 267120 18315 )
+    NEW met1 ( 267120 18315 ) ( 272400 18315 )
+    NEW met1 ( 267120 18315 ) M1M2_PR
+    NEW li1 ( 272400 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[111] ( PIN la_data_in[111] ) ( input52 A ) 
+  + ROUTED met2 ( 268560 3330 0 ) ( 268560 7770 )
+    NEW met2 ( 268560 7770 ) ( 269040 7770 )
+    NEW met2 ( 269040 7770 ) ( 269040 14985 )
+    NEW met1 ( 269040 14985 ) ( 277200 14985 )
+    NEW met1 ( 269040 14985 ) M1M2_PR
+    NEW li1 ( 277200 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[112] ( PIN la_data_in[112] ) ( input53 A ) 
+  + ROUTED met2 ( 270480 3330 0 ) ( 270480 21645 )
+    NEW met1 ( 270480 21645 ) ( 270960 21645 )
+    NEW met1 ( 270480 21645 ) M1M2_PR
+    NEW li1 ( 270960 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[113] ( PIN la_data_in[113] ) ( input54 A ) 
+  + ROUTED met2 ( 272400 3330 0 ) ( 272400 17575 )
+    NEW met1 ( 272400 17575 ) ( 276240 17575 )
+    NEW met1 ( 276240 17575 ) ( 276240 18315 )
+    NEW met1 ( 272400 17575 ) M1M2_PR
+    NEW li1 ( 276240 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[114] ( PIN la_data_in[114] ) ( input55 A ) 
+  + ROUTED met2 ( 274320 3330 0 ) ( 274320 14615 )
+    NEW met1 ( 274320 14615 ) ( 281040 14615 )
+    NEW met1 ( 281040 14615 ) ( 281040 14985 )
+    NEW met1 ( 274320 14615 ) M1M2_PR
+    NEW li1 ( 281040 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[115] ( PIN la_data_in[115] ) ( input56 A ) 
+  + ROUTED met2 ( 276240 3330 0 ) ( 276240 17205 )
+    NEW met1 ( 276240 17205 ) ( 280080 17205 )
+    NEW met1 ( 280080 17205 ) ( 280080 18315 )
+    NEW met1 ( 276240 17205 ) M1M2_PR
+    NEW li1 ( 280080 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[116] ( PIN la_data_in[116] ) ( input57 A ) 
+  + ROUTED met2 ( 278160 3330 0 ) ( 278160 21645 )
+    NEW li1 ( 278160 21645 ) L1M1_PR_MR
+    NEW met1 ( 278160 21645 ) M1M2_PR
+    NEW met1 ( 278160 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[117] ( PIN la_data_in[117] ) ( input58 A ) 
+  + ROUTED met2 ( 279600 3330 0 ) ( 279600 18685 )
+    NEW met1 ( 279600 18685 ) ( 283920 18685 )
+    NEW met1 ( 283920 18315 ) ( 283920 18685 )
+    NEW met1 ( 279600 18685 ) M1M2_PR
+    NEW li1 ( 283920 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[118] ( PIN la_data_in[118] ) ( input59 A ) 
+  + ROUTED met2 ( 281520 3330 0 ) ( 281520 17945 )
+    NEW met1 ( 281520 17945 ) ( 287760 17945 )
+    NEW met1 ( 287760 17945 ) ( 287760 18315 )
+    NEW met1 ( 281520 17945 ) M1M2_PR
+    NEW li1 ( 287760 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[119] ( PIN la_data_in[119] ) ( input60 A ) 
+  + ROUTED met2 ( 283440 3330 0 ) ( 283440 21645 )
+    NEW met1 ( 283440 21645 ) ( 285840 21645 )
+    NEW met1 ( 283440 21645 ) M1M2_PR
+    NEW li1 ( 285840 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[11] ( PIN la_data_in[11] ) ( input61 A ) 
+  + ROUTED met2 ( 85200 3330 0 ) ( 85200 14985 )
+    NEW met1 ( 85200 14985 ) ( 89040 14985 )
+    NEW li1 ( 89040 14985 ) L1M1_PR_MR
+    NEW met1 ( 85200 14985 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[120] ( PIN la_data_in[120] ) ( input62 A ) 
+  + ROUTED met2 ( 285360 3330 0 ) ( 285360 24975 )
+    NEW li1 ( 285360 24975 ) L1M1_PR_MR
+    NEW met1 ( 285360 24975 ) M1M2_PR
+    NEW met1 ( 285360 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[121] ( PIN la_data_in[121] ) ( input63 A ) 
+  + ROUTED met2 ( 287280 3330 0 ) ( 287280 28305 )
+    NEW li1 ( 287280 28305 ) L1M1_PR_MR
+    NEW met1 ( 287280 28305 ) M1M2_PR
+    NEW met1 ( 287280 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[122] ( PIN la_data_in[122] ) ( input64 A ) 
+  + ROUTED met2 ( 289200 3330 0 ) ( 289200 25345 )
+    NEW met1 ( 282000 24975 ) ( 282000 25345 )
+    NEW met1 ( 282000 25345 ) ( 289200 25345 )
+    NEW met1 ( 289200 25345 ) M1M2_PR
+    NEW li1 ( 282000 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[123] ( PIN la_data_in[123] ) ( input65 A ) 
+  + ROUTED met2 ( 290640 3330 0 ) ( 290640 31635 )
+    NEW met1 ( 289200 31635 ) ( 290640 31635 )
+    NEW met1 ( 290640 31635 ) M1M2_PR
+    NEW li1 ( 289200 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[124] ( PIN la_data_in[124] ) ( input66 A ) 
+  + ROUTED met2 ( 292560 3330 0 ) ( 292560 34965 )
+    NEW met1 ( 289200 34965 ) ( 292560 34965 )
+    NEW met1 ( 292560 34965 ) M1M2_PR
+    NEW li1 ( 289200 34965 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[125] ( PIN la_data_in[125] ) ( input67 A ) 
+  + ROUTED met2 ( 294480 3330 0 ) ( 294480 31265 )
+    NEW met1 ( 285360 31265 ) ( 285360 31635 )
+    NEW met1 ( 285360 31265 ) ( 294480 31265 )
+    NEW met1 ( 294480 31265 ) M1M2_PR
+    NEW li1 ( 285360 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[126] ( PIN la_data_in[126] ) ( input68 A ) 
+  + ROUTED met2 ( 296400 3330 0 ) ( 296400 25715 )
+    NEW met1 ( 278160 24975 ) ( 278160 25715 )
+    NEW met1 ( 278160 25715 ) ( 296400 25715 )
+    NEW met1 ( 296400 25715 ) M1M2_PR
+    NEW li1 ( 278160 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[127] ( PIN la_data_in[127] ) ( input69 A ) 
+  + ROUTED met2 ( 298320 3330 0 ) ( 298320 27935 )
+    NEW met1 ( 280080 27935 ) ( 280080 28305 )
+    NEW met1 ( 280080 27935 ) ( 298320 27935 )
+    NEW met1 ( 298320 27935 ) M1M2_PR
+    NEW li1 ( 280080 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[12] ( PIN la_data_in[12] ) ( input70 A ) 
+  + ROUTED met2 ( 87120 3330 0 ) ( 87120 18315 )
+    NEW met1 ( 87120 18315 ) ( 87600 18315 )
+    NEW met1 ( 87120 18315 ) M1M2_PR
+    NEW li1 ( 87600 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[13] ( PIN la_data_in[13] ) ( input71 A ) 
+  + ROUTED met2 ( 88560 3330 0 ) ( 88560 14615 )
+    NEW met1 ( 88560 14615 ) ( 92880 14615 )
+    NEW met1 ( 92880 14615 ) ( 92880 14985 )
+    NEW met1 ( 88560 14615 ) M1M2_PR
+    NEW li1 ( 92880 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[14] ( PIN la_data_in[14] ) ( input72 A ) 
+  + ROUTED met2 ( 90480 3330 0 ) ( 90480 18315 )
+    NEW met1 ( 90480 18315 ) ( 91440 18315 )
+    NEW met1 ( 90480 18315 ) M1M2_PR
+    NEW li1 ( 91440 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[15] ( PIN la_data_in[15] ) ( input73 A ) 
+  + ROUTED met2 ( 92400 3330 0 ) ( 92400 18315 )
+    NEW met1 ( 92400 18315 ) ( 95280 18315 )
+    NEW met1 ( 92400 18315 ) M1M2_PR
+    NEW li1 ( 95280 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[16] ( PIN la_data_in[16] ) ( input74 A ) 
+  + ROUTED met2 ( 94320 3330 0 ) ( 94320 14615 )
+    NEW met1 ( 94320 14615 ) ( 94800 14615 )
+    NEW met1 ( 94800 14615 ) ( 94800 15355 )
+    NEW met1 ( 94800 15355 ) ( 102480 15355 )
+    NEW met1 ( 102480 14985 ) ( 102480 15355 )
+    NEW met1 ( 94320 14615 ) M1M2_PR
+    NEW li1 ( 102480 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[17] ( PIN la_data_in[17] ) ( input75 A ) 
+  + ROUTED met2 ( 96240 3330 0 ) ( 96240 18315 )
+    NEW met1 ( 96240 18315 ) ( 99120 18315 )
+    NEW met1 ( 96240 18315 ) M1M2_PR
+    NEW li1 ( 99120 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[18] ( PIN la_data_in[18] ) ( input76 A ) 
+  + ROUTED met2 ( 98160 3330 0 ) ( 98160 14985 )
+    NEW met1 ( 98160 14985 ) ( 100560 14985 )
+    NEW met1 ( 100560 14615 ) ( 100560 14985 )
+    NEW met1 ( 100560 14615 ) ( 102960 14615 )
+    NEW met1 ( 102960 14615 ) ( 102960 14985 )
+    NEW met1 ( 102960 14985 ) ( 106320 14985 )
+    NEW met1 ( 98160 14985 ) M1M2_PR
+    NEW li1 ( 106320 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[19] ( PIN la_data_in[19] ) ( input77 A ) 
+  + ROUTED met2 ( 99600 3330 0 ) ( 99600 15355 )
+    NEW met2 ( 99600 15355 ) ( 100080 15355 )
+    NEW met2 ( 100080 15355 ) ( 100080 18315 )
+    NEW met1 ( 100080 18315 ) ( 102960 18315 )
+    NEW met1 ( 100080 18315 ) M1M2_PR
+    NEW li1 ( 102960 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[1] ( PIN la_data_in[1] ) ( input78 A ) 
+  + ROUTED met2 ( 66960 3330 0 ) ( 66960 14985 )
+    NEW met1 ( 66960 14985 ) ( 68880 14985 )
+    NEW met1 ( 66960 14985 ) M1M2_PR
+    NEW li1 ( 68880 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[20] ( PIN la_data_in[20] ) ( input79 A ) 
+  + ROUTED met2 ( 101520 3330 0 ) ( 101520 21645 )
+    NEW li1 ( 101520 21645 ) L1M1_PR_MR
+    NEW met1 ( 101520 21645 ) M1M2_PR
+    NEW met1 ( 101520 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[21] ( PIN la_data_in[21] ) ( input80 A ) 
+  + ROUTED met2 ( 103440 3330 0 ) ( 103440 18315 )
+    NEW met1 ( 103440 18315 ) ( 106800 18315 )
+    NEW met1 ( 103440 18315 ) M1M2_PR
+    NEW li1 ( 106800 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[22] ( PIN la_data_in[22] ) ( input81 A ) 
+  + ROUTED met2 ( 105360 3330 0 ) ( 105360 21645 )
+    NEW li1 ( 105360 21645 ) L1M1_PR_MR
+    NEW met1 ( 105360 21645 ) M1M2_PR
+    NEW met1 ( 105360 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[23] ( PIN la_data_in[23] ) ( input82 A ) 
+  + ROUTED met2 ( 107280 3330 0 ) ( 107280 14985 )
+    NEW met1 ( 107280 14985 ) ( 115920 14985 )
+    NEW met1 ( 107280 14985 ) M1M2_PR
+    NEW li1 ( 115920 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[24] ( PIN la_data_in[24] ) ( input83 A ) 
+  + ROUTED met2 ( 109200 3330 0 ) ( 109200 21645 )
+    NEW li1 ( 109200 21645 ) L1M1_PR_MR
+    NEW met1 ( 109200 21645 ) M1M2_PR
+    NEW met1 ( 109200 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[25] ( PIN la_data_in[25] ) ( input84 A ) 
+  + ROUTED met2 ( 110640 3330 0 ) ( 110640 18315 )
+    NEW met1 ( 110640 18315 ) ( 114000 18315 )
+    NEW met1 ( 110640 18315 ) M1M2_PR
+    NEW li1 ( 114000 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[26] ( PIN la_data_in[26] ) ( input85 A ) 
+  + ROUTED met2 ( 112560 3330 0 ) ( 112560 14615 )
+    NEW met1 ( 112560 14615 ) ( 119760 14615 )
+    NEW met1 ( 119760 14615 ) ( 119760 14985 )
+    NEW met1 ( 112560 14615 ) M1M2_PR
+    NEW li1 ( 119760 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[27] ( PIN la_data_in[27] ) ( input86 A ) 
+  + ROUTED met2 ( 114480 3330 0 ) ( 114480 18315 )
+    NEW met1 ( 114480 18315 ) ( 117840 18315 )
+    NEW met1 ( 114480 18315 ) M1M2_PR
+    NEW li1 ( 117840 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[28] ( PIN la_data_in[28] ) ( input87 A ) 
+  + ROUTED met2 ( 116400 3330 0 ) ( 116400 21645 )
+    NEW li1 ( 116400 21645 ) L1M1_PR_MR
+    NEW met1 ( 116400 21645 ) M1M2_PR
+    NEW met1 ( 116400 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[29] ( PIN la_data_in[29] ) ( input88 A ) 
+  + ROUTED met2 ( 118320 3330 0 ) ( 118320 18315 )
+    NEW met1 ( 118320 18315 ) ( 121680 18315 )
+    NEW met1 ( 118320 18315 ) M1M2_PR
+    NEW li1 ( 121680 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[2] ( PIN la_data_in[2] ) ( input89 A ) 
+  + ROUTED met2 ( 68400 3330 0 ) ( 68400 18315 )
+    NEW li1 ( 68400 18315 ) L1M1_PR_MR
+    NEW met1 ( 68400 18315 ) M1M2_PR
+    NEW met1 ( 68400 18315 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[30] ( PIN la_data_in[30] ) ( input90 A ) 
+  + ROUTED met2 ( 120240 3330 0 ) ( 120240 14985 )
+    NEW met1 ( 120240 14985 ) ( 129360 14985 )
+    NEW met1 ( 120240 14985 ) M1M2_PR
+    NEW li1 ( 129360 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[31] ( PIN la_data_in[31] ) ( input91 A ) 
+  + ROUTED met2 ( 121680 3330 0 ) ( 121680 7770 )
+    NEW met2 ( 121680 7770 ) ( 122160 7770 )
+    NEW met2 ( 122160 7770 ) ( 122160 18315 )
+    NEW met1 ( 122160 18315 ) ( 125520 18315 )
+    NEW met1 ( 122160 18315 ) M1M2_PR
+    NEW li1 ( 125520 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[32] ( PIN la_data_in[32] ) ( input92 A ) 
+  + ROUTED met2 ( 123600 3330 0 ) ( 123600 18685 )
+    NEW met1 ( 123600 18685 ) ( 129360 18685 )
+    NEW met1 ( 129360 18315 ) ( 129360 18685 )
+    NEW met1 ( 123600 18685 ) M1M2_PR
+    NEW li1 ( 129360 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[33] ( PIN la_data_in[33] ) ( input93 A ) 
+  + ROUTED met2 ( 125520 3330 0 ) ( 125520 14615 )
+    NEW met1 ( 125520 14615 ) ( 133200 14615 )
+    NEW met1 ( 133200 14615 ) ( 133200 14985 )
+    NEW met1 ( 125520 14615 ) M1M2_PR
+    NEW li1 ( 133200 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[34] ( PIN la_data_in[34] ) ( input94 A ) 
+  + ROUTED met2 ( 127440 3330 0 ) ( 127440 21645 )
+    NEW li1 ( 127440 21645 ) L1M1_PR_MR
+    NEW met1 ( 127440 21645 ) M1M2_PR
+    NEW met1 ( 127440 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[35] ( PIN la_data_in[35] ) ( input95 A ) 
+  + ROUTED met2 ( 129360 3330 0 ) ( 129360 19055 )
+    NEW met1 ( 129360 19055 ) ( 133200 19055 )
+    NEW met1 ( 133200 18315 ) ( 133200 19055 )
+    NEW met1 ( 129360 19055 ) M1M2_PR
+    NEW li1 ( 133200 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[36] ( PIN la_data_in[36] ) ( input96 A ) 
+  + ROUTED met2 ( 130800 3330 0 ) ( 130800 21645 )
+    NEW met1 ( 130800 21645 ) ( 131280 21645 )
+    NEW met1 ( 130800 21645 ) M1M2_PR
+    NEW li1 ( 131280 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[37] ( PIN la_data_in[37] ) ( input97 A ) 
+  + ROUTED met2 ( 132720 3330 0 ) ( 132720 21645 )
+    NEW met1 ( 132720 21645 ) ( 135120 21645 )
+    NEW met1 ( 132720 21645 ) M1M2_PR
+    NEW li1 ( 135120 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[38] ( PIN la_data_in[38] ) ( input98 A ) 
+  + ROUTED met2 ( 134640 3330 0 ) ( 134640 14985 )
+    NEW met1 ( 134640 14985 ) ( 142800 14985 )
+    NEW met1 ( 134640 14985 ) M1M2_PR
+    NEW li1 ( 142800 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[39] ( PIN la_data_in[39] ) ( input99 A ) 
+  + ROUTED met2 ( 136560 3330 0 ) ( 136560 18315 )
+    NEW met1 ( 136560 18315 ) ( 140400 18315 )
+    NEW met1 ( 136560 18315 ) M1M2_PR
+    NEW li1 ( 140400 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[3] ( PIN la_data_in[3] ) ( input100 A ) 
+  + ROUTED met2 ( 70320 3330 0 ) ( 70320 18315 )
+    NEW met1 ( 70320 18315 ) ( 72240 18315 )
+    NEW met1 ( 70320 18315 ) M1M2_PR
+    NEW li1 ( 72240 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[40] ( PIN la_data_in[40] ) ( input101 A ) 
+  + ROUTED met2 ( 138480 3330 0 ) ( 138480 14615 )
+    NEW met1 ( 138480 14615 ) ( 146640 14615 )
+    NEW met1 ( 146640 14615 ) ( 146640 14985 )
+    NEW met1 ( 138480 14615 ) M1M2_PR
+    NEW li1 ( 146640 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[41] ( PIN la_data_in[41] ) ( input102 A ) 
+  + ROUTED met2 ( 140400 3330 0 ) ( 140400 17575 )
+    NEW met1 ( 140400 17575 ) ( 144240 17575 )
+    NEW met1 ( 144240 17575 ) ( 144240 18315 )
+    NEW met1 ( 140400 17575 ) M1M2_PR
+    NEW li1 ( 144240 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[42] ( PIN la_data_in[42] ) ( input103 A ) 
+  + ROUTED met2 ( 141840 3330 0 ) ( 141840 21645 )
+    NEW li1 ( 141840 21645 ) L1M1_PR_MR
+    NEW met1 ( 141840 21645 ) M1M2_PR
+    NEW met1 ( 141840 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[43] ( PIN la_data_in[43] ) ( input104 A ) 
+  + ROUTED met2 ( 143760 3330 0 ) ( 143760 18685 )
+    NEW met1 ( 143760 18685 ) ( 148080 18685 )
+    NEW met1 ( 148080 18315 ) ( 148080 18685 )
+    NEW met1 ( 143760 18685 ) M1M2_PR
+    NEW li1 ( 148080 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[44] ( PIN la_data_in[44] ) ( input105 A ) 
+  + ROUTED met2 ( 145680 3330 0 ) ( 145680 21645 )
+    NEW li1 ( 145680 21645 ) L1M1_PR_MR
+    NEW met1 ( 145680 21645 ) M1M2_PR
+    NEW met1 ( 145680 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[45] ( PIN la_data_in[45] ) ( input106 A ) 
+  + ROUTED met2 ( 147600 3330 0 ) ( 147600 17945 )
+    NEW met1 ( 147600 17945 ) ( 151920 17945 )
+    NEW met1 ( 151920 17945 ) ( 151920 18315 )
+    NEW met1 ( 147600 17945 ) M1M2_PR
+    NEW li1 ( 151920 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[46] ( PIN la_data_in[46] ) ( input107 A ) 
+  + ROUTED met2 ( 149520 3330 0 ) ( 149520 14985 )
+    NEW met1 ( 149520 14985 ) ( 156240 14985 )
+    NEW met1 ( 149520 14985 ) M1M2_PR
+    NEW li1 ( 156240 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[47] ( PIN la_data_in[47] ) ( input108 A ) 
+  + ROUTED met2 ( 151440 3330 0 ) ( 151440 9250 )
+    NEW met2 ( 151440 9250 ) ( 151920 9250 )
+    NEW met2 ( 151920 9250 ) ( 151920 18315 )
+    NEW met2 ( 151920 18315 ) ( 152400 18315 )
+    NEW met1 ( 152400 18315 ) ( 155760 18315 )
+    NEW met1 ( 152400 18315 ) M1M2_PR
+    NEW li1 ( 155760 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[48] ( PIN la_data_in[48] ) ( input109 A ) 
+  + ROUTED met2 ( 152880 3330 0 ) ( 152880 14615 )
+    NEW met1 ( 152880 14615 ) ( 160080 14615 )
+    NEW met1 ( 160080 14615 ) ( 160080 14985 )
+    NEW met1 ( 152880 14615 ) M1M2_PR
+    NEW li1 ( 160080 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[49] ( PIN la_data_in[49] ) ( input110 A ) 
+  + ROUTED met2 ( 154800 3330 0 ) ( 154800 21645 )
+    NEW li1 ( 154800 21645 ) L1M1_PR_MR
+    NEW met1 ( 154800 21645 ) M1M2_PR
+    NEW met1 ( 154800 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[4] ( PIN la_data_in[4] ) ( input111 A ) 
+  + ROUTED met2 ( 72240 3330 0 ) ( 72240 7770 )
+    NEW met2 ( 72240 7770 ) ( 72720 7770 )
+    NEW met2 ( 72720 7770 ) ( 72720 14985 )
+    NEW met1 ( 72720 14985 ) ( 75600 14985 )
+    NEW met1 ( 72720 14985 ) M1M2_PR
+    NEW li1 ( 75600 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[50] ( PIN la_data_in[50] ) ( input112 A ) 
+  + ROUTED met2 ( 156720 3330 0 ) ( 156720 18315 )
+    NEW met1 ( 156720 18315 ) ( 159600 18315 )
+    NEW met1 ( 156720 18315 ) M1M2_PR
+    NEW li1 ( 159600 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[51] ( PIN la_data_in[51] ) ( input113 A ) 
+  + ROUTED met2 ( 158640 3330 0 ) ( 158640 21645 )
+    NEW li1 ( 158640 21645 ) L1M1_PR_MR
+    NEW met1 ( 158640 21645 ) M1M2_PR
+    NEW met1 ( 158640 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[52] ( PIN la_data_in[52] ) ( input114 A ) 
+  + ROUTED met2 ( 160560 3330 0 ) ( 160560 14985 )
+    NEW met1 ( 160560 14985 ) ( 169680 14985 )
+    NEW met1 ( 160560 14985 ) M1M2_PR
+    NEW li1 ( 169680 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[53] ( PIN la_data_in[53] ) ( input115 A ) 
+  + ROUTED met2 ( 162480 3330 0 ) ( 162480 18315 )
+    NEW met1 ( 162480 18315 ) ( 166800 18315 )
+    NEW met1 ( 162480 18315 ) M1M2_PR
+    NEW li1 ( 166800 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[54] ( PIN la_data_in[54] ) ( input116 A ) 
+  + ROUTED met2 ( 163920 3330 0 ) ( 163920 21645 )
+    NEW li1 ( 163920 21645 ) L1M1_PR_MR
+    NEW met1 ( 163920 21645 ) M1M2_PR
+    NEW met1 ( 163920 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[55] ( PIN la_data_in[55] ) ( input117 A ) 
+  + ROUTED met2 ( 165840 3330 0 ) ( 165840 7770 )
+    NEW met2 ( 165840 7770 ) ( 166800 7770 )
+    NEW met2 ( 166800 7770 ) ( 166800 14615 )
+    NEW met1 ( 166800 14615 ) ( 173520 14615 )
+    NEW met1 ( 173520 14615 ) ( 173520 14985 )
+    NEW met1 ( 166800 14615 ) M1M2_PR
+    NEW li1 ( 173520 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[56] ( PIN la_data_in[56] ) ( input118 A ) 
+  + ROUTED met2 ( 167760 3330 0 ) ( 167760 18315 )
+    NEW met1 ( 167760 18315 ) ( 170640 18315 )
+    NEW met1 ( 167760 18315 ) M1M2_PR
+    NEW li1 ( 170640 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[57] ( PIN la_data_in[57] ) ( input119 A ) 
+  + ROUTED met2 ( 169680 3330 0 ) ( 169680 21645 )
+    NEW li1 ( 169680 21645 ) L1M1_PR_MR
+    NEW met1 ( 169680 21645 ) M1M2_PR
+    NEW met1 ( 169680 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[58] ( PIN la_data_in[58] ) ( input120 A ) 
+  + ROUTED met2 ( 171600 3330 0 ) ( 171600 18315 )
+    NEW met1 ( 171600 18315 ) ( 174480 18315 )
+    NEW met1 ( 171600 18315 ) M1M2_PR
+    NEW li1 ( 174480 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[59] ( PIN la_data_in[59] ) ( input121 A ) 
+  + ROUTED met2 ( 173040 3330 0 ) ( 173040 21645 )
+    NEW met1 ( 173040 21645 ) ( 173520 21645 )
+    NEW met1 ( 173040 21645 ) M1M2_PR
+    NEW li1 ( 173520 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[5] ( PIN la_data_in[5] ) ( input122 A ) 
+  + ROUTED met2 ( 74160 3330 0 ) ( 74160 18315 )
+    NEW met1 ( 74160 18315 ) ( 76080 18315 )
+    NEW met1 ( 74160 18315 ) M1M2_PR
+    NEW li1 ( 76080 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[60] ( PIN la_data_in[60] ) ( input123 A ) 
+  + ROUTED met2 ( 174960 3330 0 ) ( 174960 9250 )
+    NEW met2 ( 174480 9250 ) ( 174960 9250 )
+    NEW met2 ( 174480 9250 ) ( 174480 18315 )
+    NEW met2 ( 174480 18315 ) ( 174960 18315 )
+    NEW met1 ( 174960 18315 ) ( 178320 18315 )
+    NEW met1 ( 174960 18315 ) M1M2_PR
+    NEW li1 ( 178320 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[61] ( PIN la_data_in[61] ) ( input124 A ) 
+  + ROUTED met2 ( 176880 3330 0 ) ( 176880 7770 )
+    NEW met2 ( 176880 7770 ) ( 177360 7770 )
+    NEW met2 ( 177360 7770 ) ( 177360 14985 )
+    NEW met1 ( 177360 14985 ) ( 183120 14985 )
+    NEW met1 ( 177360 14985 ) M1M2_PR
+    NEW li1 ( 183120 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[62] ( PIN la_data_in[62] ) ( input125 A ) 
+  + ROUTED met2 ( 178800 3330 0 ) ( 178800 18315 )
+    NEW met1 ( 178800 18315 ) ( 182160 18315 )
+    NEW met1 ( 178800 18315 ) M1M2_PR
+    NEW li1 ( 182160 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[63] ( PIN la_data_in[63] ) ( input126 A ) 
+  + ROUTED met2 ( 180720 3330 0 ) ( 180720 14615 )
+    NEW met1 ( 180720 14615 ) ( 186960 14615 )
+    NEW met1 ( 186960 14615 ) ( 186960 14985 )
+    NEW met1 ( 180720 14615 ) M1M2_PR
+    NEW li1 ( 186960 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[64] ( PIN la_data_in[64] ) ( input127 A ) 
+  + ROUTED met2 ( 182640 3330 0 ) ( 182640 18315 )
+    NEW met1 ( 182640 18315 ) ( 186000 18315 )
+    NEW met1 ( 182640 18315 ) M1M2_PR
+    NEW li1 ( 186000 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[65] ( PIN la_data_in[65] ) ( input128 A ) 
+  + ROUTED met2 ( 184080 3330 0 ) ( 184080 21645 )
+    NEW li1 ( 184080 21645 ) L1M1_PR_MR
+    NEW met1 ( 184080 21645 ) M1M2_PR
+    NEW met1 ( 184080 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[66] ( PIN la_data_in[66] ) ( input129 A ) 
+  + ROUTED met2 ( 186000 3330 0 ) ( 186000 21645 )
+    NEW met1 ( 186000 21645 ) ( 187920 21645 )
+    NEW li1 ( 187920 21645 ) L1M1_PR_MR
+    NEW met1 ( 186000 21645 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[67] ( PIN la_data_in[67] ) ( input130 A ) 
+  + ROUTED met2 ( 187920 3330 0 ) ( 187920 14615 )
+    NEW met1 ( 187920 14615 ) ( 187920 14985 )
+    NEW met1 ( 187920 14985 ) ( 196560 14985 )
+    NEW met1 ( 187920 14615 ) M1M2_PR
+    NEW li1 ( 196560 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[68] ( PIN la_data_in[68] ) ( input131 A ) 
+  + ROUTED met2 ( 189840 3330 0 ) ( 189840 18315 )
+    NEW met1 ( 189840 18315 ) ( 193200 18315 )
+    NEW met1 ( 189840 18315 ) M1M2_PR
+    NEW li1 ( 193200 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[69] ( PIN la_data_in[69] ) ( input132 A ) 
+  + ROUTED met2 ( 191760 3330 0 ) ( 191760 15355 )
+    NEW met1 ( 191760 15355 ) ( 200400 15355 )
+    NEW met1 ( 200400 14985 ) ( 200400 15355 )
+    NEW met1 ( 191760 15355 ) M1M2_PR
+    NEW li1 ( 200400 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[6] ( PIN la_data_in[6] ) ( input133 A ) 
+  + ROUTED met2 ( 76080 3330 0 ) ( 76080 9250 )
+    NEW met2 ( 76080 9250 ) ( 77040 9250 )
+    NEW met2 ( 77040 9250 ) ( 77040 18315 )
+    NEW met1 ( 77040 18315 ) ( 79920 18315 )
+    NEW met1 ( 77040 18315 ) M1M2_PR
+    NEW li1 ( 79920 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[70] ( PIN la_data_in[70] ) ( input134 A ) 
+  + ROUTED met2 ( 193680 3330 0 ) ( 193680 18315 )
+    NEW met1 ( 193680 18315 ) ( 197040 18315 )
+    NEW met1 ( 193680 18315 ) M1M2_PR
+    NEW li1 ( 197040 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[71] ( PIN la_data_in[71] ) ( input135 A ) 
+  + ROUTED met2 ( 195120 3330 0 ) ( 195120 21645 )
+    NEW li1 ( 195120 21645 ) L1M1_PR_MR
+    NEW met1 ( 195120 21645 ) M1M2_PR
+    NEW met1 ( 195120 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[72] ( PIN la_data_in[72] ) ( input136 A ) 
+  + ROUTED met2 ( 197040 3330 0 ) ( 197040 17575 )
+    NEW met1 ( 197040 17575 ) ( 200880 17575 )
+    NEW met1 ( 200880 17575 ) ( 200880 18315 )
+    NEW met1 ( 197040 17575 ) M1M2_PR
+    NEW li1 ( 200880 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[73] ( PIN la_data_in[73] ) ( input137 A ) 
+  + ROUTED met2 ( 198960 3330 0 ) ( 198960 21645 )
+    NEW li1 ( 198960 21645 ) L1M1_PR_MR
+    NEW met1 ( 198960 21645 ) M1M2_PR
+    NEW met1 ( 198960 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[74] ( PIN la_data_in[74] ) ( input138 A ) 
+  + ROUTED met2 ( 200880 3330 0 ) ( 200880 9250 )
+    NEW met2 ( 200880 9250 ) ( 201360 9250 )
+    NEW met2 ( 201360 9250 ) ( 201360 18315 )
+    NEW met1 ( 201360 18315 ) ( 204720 18315 )
+    NEW met1 ( 201360 18315 ) M1M2_PR
+    NEW li1 ( 204720 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[75] ( PIN la_data_in[75] ) ( input139 A ) 
+  + ROUTED met2 ( 202800 3330 0 ) ( 202800 14985 )
+    NEW met1 ( 202800 14985 ) ( 210000 14985 )
+    NEW met1 ( 202800 14985 ) M1M2_PR
+    NEW li1 ( 210000 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[76] ( PIN la_data_in[76] ) ( input140 A ) 
+  + ROUTED met2 ( 204720 3330 0 ) ( 204720 9250 )
+    NEW met2 ( 204720 9250 ) ( 205200 9250 )
+    NEW met2 ( 205200 9250 ) ( 205200 18315 )
+    NEW met1 ( 205200 18315 ) ( 208560 18315 )
+    NEW met1 ( 205200 18315 ) M1M2_PR
+    NEW li1 ( 208560 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[77] ( PIN la_data_in[77] ) ( input141 A ) 
+  + ROUTED met2 ( 206160 3330 0 ) ( 206160 14615 )
+    NEW met1 ( 206160 14615 ) ( 213840 14615 )
+    NEW met1 ( 213840 14615 ) ( 213840 14985 )
+    NEW met1 ( 206160 14615 ) M1M2_PR
+    NEW li1 ( 213840 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[78] ( PIN la_data_in[78] ) ( input142 A ) 
+  + ROUTED met2 ( 208080 3330 0 ) ( 208080 17945 )
+    NEW met1 ( 208080 17945 ) ( 212400 17945 )
+    NEW met1 ( 212400 17945 ) ( 212400 18315 )
+    NEW met1 ( 208080 17945 ) M1M2_PR
+    NEW li1 ( 212400 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[79] ( PIN la_data_in[79] ) ( input143 A ) 
+  + ROUTED met2 ( 210000 3330 0 ) ( 210000 21645 )
+    NEW li1 ( 210000 21645 ) L1M1_PR_MR
+    NEW met1 ( 210000 21645 ) M1M2_PR
+    NEW met1 ( 210000 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[7] ( PIN la_data_in[7] ) ( input144 A ) 
+  + ROUTED met2 ( 77520 3330 0 ) ( 77520 21645 )
+    NEW li1 ( 77520 21645 ) L1M1_PR_MR
+    NEW met1 ( 77520 21645 ) M1M2_PR
+    NEW met1 ( 77520 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[80] ( PIN la_data_in[80] ) ( input145 A ) 
+  + ROUTED met2 ( 211920 3330 0 ) ( 211920 21645 )
+    NEW met1 ( 211920 21645 ) ( 213840 21645 )
+    NEW met1 ( 211920 21645 ) M1M2_PR
+    NEW li1 ( 213840 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[81] ( PIN la_data_in[81] ) ( input146 A ) 
+  + ROUTED met2 ( 213840 3330 0 ) ( 213840 18315 )
+    NEW met1 ( 213840 18315 ) ( 219600 18315 )
+    NEW met1 ( 213840 18315 ) M1M2_PR
+    NEW li1 ( 219600 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[82] ( PIN la_data_in[82] ) ( input147 A ) 
+  + ROUTED met2 ( 215280 3330 0 ) ( 215280 14985 )
+    NEW met1 ( 215280 14985 ) ( 223440 14985 )
+    NEW met1 ( 215280 14985 ) M1M2_PR
+    NEW li1 ( 223440 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[83] ( PIN la_data_in[83] ) ( input148 A ) 
+  + ROUTED met2 ( 217200 3330 0 ) ( 217200 21645 )
+    NEW met1 ( 217200 21645 ) ( 217680 21645 )
+    NEW met1 ( 217200 21645 ) M1M2_PR
+    NEW li1 ( 217680 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[84] ( PIN la_data_in[84] ) ( input149 A ) 
+  + ROUTED met2 ( 219120 3330 0 ) ( 219120 17945 )
+    NEW met1 ( 219120 17945 ) ( 223440 17945 )
+    NEW met1 ( 223440 17945 ) ( 223440 18315 )
+    NEW met1 ( 219120 17945 ) M1M2_PR
+    NEW li1 ( 223440 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[85] ( PIN la_data_in[85] ) ( input150 A ) 
+  + ROUTED met2 ( 221040 3330 0 ) ( 221040 14615 )
+    NEW met1 ( 221040 14615 ) ( 227280 14615 )
+    NEW met1 ( 227280 14615 ) ( 227280 14985 )
+    NEW met1 ( 221040 14615 ) M1M2_PR
+    NEW li1 ( 227280 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[86] ( PIN la_data_in[86] ) ( input151 A ) 
+  + ROUTED met2 ( 222960 3330 0 ) ( 222960 18685 )
+    NEW met1 ( 222960 18685 ) ( 227280 18685 )
+    NEW met1 ( 227280 18315 ) ( 227280 18685 )
+    NEW met1 ( 222960 18685 ) M1M2_PR
+    NEW li1 ( 227280 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[87] ( PIN la_data_in[87] ) ( input152 A ) 
+  + ROUTED met2 ( 224880 3330 0 ) ( 224880 21645 )
+    NEW li1 ( 224880 21645 ) L1M1_PR_MR
+    NEW met1 ( 224880 21645 ) M1M2_PR
+    NEW met1 ( 224880 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[88] ( PIN la_data_in[88] ) ( input153 A ) 
+  + ROUTED met2 ( 226320 3330 0 ) ( 226320 17945 )
+    NEW met1 ( 226320 17945 ) ( 231120 17945 )
+    NEW met1 ( 231120 17945 ) ( 231120 18315 )
+    NEW met1 ( 226320 17945 ) M1M2_PR
+    NEW li1 ( 231120 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[89] ( PIN la_data_in[89] ) ( input154 A ) 
+  + ROUTED met2 ( 228240 3330 0 ) ( 228240 14985 )
+    NEW met1 ( 228240 14985 ) ( 236880 14985 )
+    NEW met1 ( 228240 14985 ) M1M2_PR
+    NEW li1 ( 236880 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[8] ( PIN la_data_in[8] ) ( input155 A ) 
+  + ROUTED met2 ( 79440 3330 0 ) ( 79440 10730 )
+    NEW met2 ( 79440 10730 ) ( 79920 10730 )
+    NEW met2 ( 79920 10730 ) ( 79920 21645 )
+    NEW met1 ( 79920 21645 ) ( 81360 21645 )
+    NEW met1 ( 79920 21645 ) M1M2_PR
+    NEW li1 ( 81360 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[90] ( PIN la_data_in[90] ) ( input156 A ) 
+  + ROUTED met2 ( 230160 3330 0 ) ( 230160 17575 )
+    NEW met1 ( 230160 17575 ) ( 234960 17575 )
+    NEW met1 ( 234960 17575 ) ( 234960 18315 )
+    NEW met1 ( 230160 17575 ) M1M2_PR
+    NEW li1 ( 234960 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[91] ( PIN la_data_in[91] ) ( input157 A ) 
+  + ROUTED met2 ( 232080 3330 0 ) ( 232080 14615 )
+    NEW met1 ( 232080 14615 ) ( 237600 14615 )
+    NEW met1 ( 237600 14615 ) ( 237600 14985 )
+    NEW met1 ( 237600 14985 ) ( 240720 14985 )
+    NEW met1 ( 232080 14615 ) M1M2_PR
+    NEW li1 ( 240720 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[92] ( PIN la_data_in[92] ) ( input158 A ) 
+  + ROUTED met2 ( 234000 3330 0 ) ( 234000 21645 )
+    NEW li1 ( 234000 21645 ) L1M1_PR_MR
+    NEW met1 ( 234000 21645 ) M1M2_PR
+    NEW met1 ( 234000 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[93] ( PIN la_data_in[93] ) ( input159 A ) 
+  + ROUTED met2 ( 235920 3330 0 ) ( 235920 18315 )
+    NEW met1 ( 235920 18315 ) ( 238800 18315 )
+    NEW met1 ( 235920 18315 ) M1M2_PR
+    NEW li1 ( 238800 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[94] ( PIN la_data_in[94] ) ( input160 A ) 
+  + ROUTED met2 ( 237360 3330 0 ) ( 237360 21275 )
+    NEW met1 ( 237840 21275 ) ( 237840 21645 )
+    NEW met1 ( 237360 21275 ) ( 237840 21275 )
+    NEW met1 ( 237360 21275 ) M1M2_PR
+    NEW li1 ( 237840 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[95] ( PIN la_data_in[95] ) ( input161 A ) 
+  + ROUTED met2 ( 239280 3330 0 ) ( 239280 21645 )
+    NEW met1 ( 239280 21645 ) ( 241680 21645 )
+    NEW met1 ( 239280 21645 ) M1M2_PR
+    NEW li1 ( 241680 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[96] ( PIN la_data_in[96] ) ( input162 A ) 
+  + ROUTED met2 ( 241200 3330 0 ) ( 241200 18315 )
+    NEW met1 ( 241200 18315 ) ( 246000 18315 )
+    NEW met1 ( 241200 18315 ) M1M2_PR
+    NEW li1 ( 246000 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[97] ( PIN la_data_in[97] ) ( input163 A ) 
+  + ROUTED met2 ( 243120 3330 0 ) ( 243120 21275 )
+    NEW met1 ( 243120 21275 ) ( 245520 21275 )
+    NEW met1 ( 245520 21275 ) ( 245520 21645 )
+    NEW met1 ( 243120 21275 ) M1M2_PR
+    NEW li1 ( 245520 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[98] ( PIN la_data_in[98] ) ( input164 A ) 
+  + ROUTED met2 ( 245040 3330 0 ) ( 245040 22015 )
+    NEW met1 ( 245040 22015 ) ( 249360 22015 )
+    NEW met1 ( 249360 21645 ) ( 249360 22015 )
+    NEW met1 ( 245040 22015 ) M1M2_PR
+    NEW li1 ( 249360 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[99] ( PIN la_data_in[99] ) ( input165 A ) 
+  + ROUTED met2 ( 246960 3330 0 ) ( 246960 24975 )
+    NEW li1 ( 246960 24975 ) L1M1_PR_MR
+    NEW met1 ( 246960 24975 ) M1M2_PR
+    NEW met1 ( 246960 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[9] ( PIN la_data_in[9] ) ( input166 A ) 
+  + ROUTED met2 ( 81360 3330 0 ) ( 81360 18870 )
+    NEW met2 ( 81360 18870 ) ( 81840 18870 )
+    NEW met2 ( 81840 18870 ) ( 81840 21645 )
+    NEW met1 ( 81840 21645 ) ( 85200 21645 )
+    NEW met1 ( 81840 21645 ) M1M2_PR
+    NEW li1 ( 85200 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[0] ( PIN la_data_out[0] ) ( output447 X ) 
+  + ROUTED met2 ( 65520 3330 0 ) ( 65520 15355 )
+    NEW met1 ( 65520 15355 ) ( 69360 15355 )
+    NEW met2 ( 69360 15355 ) ( 69360 30525 )
+    NEW met1 ( 65520 15355 ) M1M2_PR
+    NEW met1 ( 69360 15355 ) M1M2_PR
+    NEW li1 ( 69360 30525 ) L1M1_PR_MR
+    NEW met1 ( 69360 30525 ) M1M2_PR
+    NEW met1 ( 69360 30525 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_out[100] ( PIN la_data_out[100] ) ( output448 X ) 
+  + ROUTED met2 ( 249360 3330 0 ) ( 249360 30525 )
+    NEW met1 ( 249360 30525 ) ( 254160 30525 )
+    NEW met1 ( 249360 30525 ) M1M2_PR
+    NEW li1 ( 254160 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[101] ( PIN la_data_out[101] ) ( output449 X ) 
+  + ROUTED met2 ( 250800 3330 0 ) ( 250800 34595 )
+    NEW met1 ( 250800 34595 ) ( 251280 34595 )
+    NEW met1 ( 250800 34595 ) M1M2_PR
+    NEW li1 ( 251280 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[102] ( PIN la_data_out[102] ) ( output450 X ) 
+  + ROUTED met2 ( 252720 3330 0 ) ( 252720 8325 )
+    NEW met1 ( 252720 8325 ) ( 255600 8325 )
+    NEW met2 ( 255600 8325 ) ( 255600 30525 )
+    NEW met1 ( 255600 30525 ) ( 258480 30525 )
+    NEW met1 ( 252720 8325 ) M1M2_PR
+    NEW met1 ( 255600 8325 ) M1M2_PR
+    NEW met1 ( 255600 30525 ) M1M2_PR
+    NEW li1 ( 258480 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[103] ( PIN la_data_out[103] ) ( output451 X ) 
+  + ROUTED met2 ( 254640 3330 0 ) ( 254640 7955 )
+    NEW met1 ( 254640 7955 ) ( 258000 7955 )
+    NEW met2 ( 258000 7955 ) ( 258000 15910 )
+    NEW met2 ( 257520 15910 ) ( 258000 15910 )
+    NEW met2 ( 257520 15910 ) ( 257520 30895 )
+    NEW met1 ( 257520 30895 ) ( 261840 30895 )
+    NEW met1 ( 261840 30525 ) ( 261840 30895 )
+    NEW met1 ( 254640 7955 ) M1M2_PR
+    NEW met1 ( 258000 7955 ) M1M2_PR
+    NEW met1 ( 257520 30895 ) M1M2_PR
+    NEW li1 ( 261840 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[104] ( PIN la_data_out[104] ) ( output452 X ) 
+  + ROUTED met2 ( 256560 3330 0 ) ( 256560 17390 )
+    NEW met2 ( 256560 17390 ) ( 257040 17390 )
+    NEW met2 ( 257040 17390 ) ( 257040 34595 )
+    NEW met1 ( 257040 34595 ) ( 259920 34595 )
+    NEW met1 ( 257040 34595 ) M1M2_PR
+    NEW li1 ( 259920 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[105] ( PIN la_data_out[105] ) ( output453 X ) 
+  + ROUTED met1 ( 258480 37185 ) ( 259440 37185 )
+    NEW met2 ( 258480 3330 0 ) ( 258480 37185 )
+    NEW met1 ( 258480 37185 ) M1M2_PR
+    NEW li1 ( 259440 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[106] ( PIN la_data_out[106] ) ( output454 X ) 
+  + ROUTED met2 ( 260400 3330 0 ) ( 260400 34595 )
+    NEW met1 ( 260400 34595 ) ( 263760 34595 )
+    NEW met1 ( 260400 34595 ) M1M2_PR
+    NEW li1 ( 263760 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[107] ( PIN la_data_out[107] ) ( output455 X ) 
+  + ROUTED met2 ( 261840 3330 0 ) ( 261840 9250 )
+    NEW met2 ( 261840 9250 ) ( 262320 9250 )
+    NEW met1 ( 262320 37185 ) ( 263280 37185 )
+    NEW met2 ( 262320 9250 ) ( 262320 37185 )
+    NEW met1 ( 262320 37185 ) M1M2_PR
+    NEW li1 ( 263280 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[108] ( PIN la_data_out[108] ) ( output456 X ) 
+  + ROUTED met1 ( 263760 37185 ) ( 266640 37185 )
+    NEW met2 ( 263760 3330 0 ) ( 263760 37185 )
+    NEW met1 ( 263760 37185 ) M1M2_PR
+    NEW li1 ( 266640 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[109] ( PIN la_data_out[109] ) ( output457 X ) 
+  + ROUTED met1 ( 265680 41255 ) ( 266160 41255 )
+    NEW met2 ( 265680 3330 0 ) ( 265680 41255 )
+    NEW met1 ( 265680 41255 ) M1M2_PR
+    NEW li1 ( 266160 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[10] ( PIN la_data_out[10] ) ( output458 X ) 
+  + ROUTED met2 ( 83760 3330 0 ) ( 83760 30525 )
+    NEW met1 ( 83760 30525 ) ( 88080 30525 )
+    NEW li1 ( 88080 30525 ) L1M1_PR_MR
+    NEW met1 ( 83760 30525 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[110] ( PIN la_data_out[110] ) ( output459 X ) 
+  + ROUTED met1 ( 267600 41255 ) ( 270480 41255 )
+    NEW met2 ( 267600 3330 0 ) ( 267600 41255 )
+    NEW met1 ( 267600 41255 ) M1M2_PR
+    NEW li1 ( 270480 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[111] ( PIN la_data_out[111] ) ( output460 X ) 
+  + ROUTED met1 ( 269520 43845 ) ( 272880 43845 )
+    NEW met2 ( 269520 3330 0 ) ( 269520 43845 )
+    NEW met1 ( 269520 43845 ) M1M2_PR
+    NEW li1 ( 272880 43845 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[112] ( PIN la_data_out[112] ) ( output461 X ) 
+  + ROUTED met1 ( 271440 47545 ) ( 272400 47545 )
+    NEW met1 ( 272400 47545 ) ( 272400 47915 )
+    NEW met2 ( 271440 3330 0 ) ( 271440 47545 )
+    NEW met1 ( 271440 47545 ) M1M2_PR
+    NEW li1 ( 272400 47915 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[113] ( PIN la_data_out[113] ) ( output462 X ) 
+  + ROUTED met1 ( 272880 45325 ) ( 277200 45325 )
+    NEW met2 ( 272880 3330 0 ) ( 272880 45325 )
+    NEW met1 ( 272880 45325 ) M1M2_PR
+    NEW li1 ( 277200 45325 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[114] ( PIN la_data_out[114] ) ( output463 X ) 
+  + ROUTED met1 ( 274800 47915 ) ( 275760 47915 )
+    NEW met2 ( 274800 3330 0 ) ( 274800 47915 )
+    NEW met1 ( 274800 47915 ) M1M2_PR
+    NEW li1 ( 275760 47915 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[115] ( PIN la_data_out[115] ) ( output464 X ) 
+  + ROUTED met1 ( 276720 47545 ) ( 280080 47545 )
+    NEW met1 ( 280080 47545 ) ( 280080 47915 )
+    NEW met2 ( 276720 3330 0 ) ( 276720 47545 )
+    NEW met1 ( 276720 47545 ) M1M2_PR
+    NEW li1 ( 280080 47915 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[116] ( PIN la_data_out[116] ) ( output465 X ) 
+  + ROUTED met1 ( 278640 50505 ) ( 279120 50505 )
+    NEW met2 ( 278640 3330 0 ) ( 278640 50505 )
+    NEW met1 ( 278640 50505 ) M1M2_PR
+    NEW li1 ( 279120 50505 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[117] ( PIN la_data_out[117] ) ( output466 X ) 
+  + ROUTED met1 ( 280560 51985 ) ( 283440 51985 )
+    NEW met2 ( 280560 3330 0 ) ( 280560 51985 )
+    NEW met1 ( 280560 51985 ) M1M2_PR
+    NEW li1 ( 283440 51985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[118] ( PIN la_data_out[118] ) ( output467 X ) 
+  + ROUTED met1 ( 282480 50505 ) ( 286800 50505 )
+    NEW met2 ( 282480 3330 0 ) ( 282480 50505 )
+    NEW met1 ( 282480 50505 ) M1M2_PR
+    NEW li1 ( 286800 50505 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[119] ( PIN la_data_out[119] ) ( output468 X ) 
+  + ROUTED met1 ( 283920 54575 ) ( 286320 54575 )
+    NEW met2 ( 283920 3330 0 ) ( 283920 54575 )
+    NEW met1 ( 283920 54575 ) M1M2_PR
+    NEW li1 ( 286320 54575 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[11] ( PIN la_data_out[11] ) ( output469 X ) 
+  + ROUTED met2 ( 85680 3330 0 ) ( 85680 34595 )
+    NEW met1 ( 85680 34595 ) ( 86160 34595 )
+    NEW met1 ( 85680 34595 ) M1M2_PR
+    NEW li1 ( 86160 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[120] ( PIN la_data_out[120] ) ( output470 X ) 
+  + ROUTED met1 ( 285840 57165 ) ( 286800 57165 )
+    NEW met2 ( 285840 3330 0 ) ( 285840 57165 )
+    NEW met1 ( 285840 57165 ) M1M2_PR
+    NEW li1 ( 286800 57165 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[121] ( PIN la_data_out[121] ) ( output471 X ) 
+  + ROUTED met2 ( 287760 3330 0 ) ( 287760 19055 )
+    NEW met1 ( 281520 19055 ) ( 287760 19055 )
+    NEW met2 ( 281520 19055 ) ( 281520 61235 )
+    NEW met1 ( 281520 61235 ) ( 288240 61235 )
+    NEW li1 ( 288240 61235 ) L1M1_PR_MR
+    NEW met1 ( 287760 19055 ) M1M2_PR
+    NEW met1 ( 281520 19055 ) M1M2_PR
+    NEW met1 ( 281520 61235 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[122] ( PIN la_data_out[122] ) ( output472 X ) 
+  + ROUTED met2 ( 289680 3330 0 ) ( 289680 20535 )
+    NEW met1 ( 276240 20535 ) ( 289680 20535 )
+    NEW met1 ( 267120 44215 ) ( 276240 44215 )
+    NEW met1 ( 267120 43845 ) ( 267120 44215 )
+    NEW met2 ( 276240 20535 ) ( 276240 44215 )
+    NEW met1 ( 289680 20535 ) M1M2_PR
+    NEW met1 ( 276240 20535 ) M1M2_PR
+    NEW met1 ( 276240 44215 ) M1M2_PR
+    NEW li1 ( 267120 43845 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[123] ( PIN la_data_out[123] ) ( output473 X ) 
+  + ROUTED met2 ( 291600 3330 0 ) ( 291600 54205 )
+    NEW met1 ( 280560 54205 ) ( 280560 54575 )
+    NEW met1 ( 280560 54205 ) ( 291600 54205 )
+    NEW met1 ( 291600 54205 ) M1M2_PR
+    NEW li1 ( 280560 54575 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[124] ( PIN la_data_out[124] ) ( output474 X ) 
+  + ROUTED met2 ( 293040 3330 0 ) ( 293040 50505 )
+    NEW met1 ( 288000 50505 ) ( 293040 50505 )
+    NEW met1 ( 288000 50505 ) ( 288000 50875 )
+    NEW met1 ( 275760 50875 ) ( 288000 50875 )
+    NEW met1 ( 275760 50505 ) ( 275760 50875 )
+    NEW met1 ( 293040 50505 ) M1M2_PR
+    NEW li1 ( 275760 50505 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[125] ( PIN la_data_out[125] ) ( output475 X ) 
+  + ROUTED met2 ( 294960 3330 0 ) ( 294960 42735 )
+    NEW met1 ( 262800 42735 ) ( 294960 42735 )
+    NEW met1 ( 294960 42735 ) M1M2_PR
+    NEW li1 ( 262800 42735 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[126] ( PIN la_data_out[126] ) ( output476 X ) 
+  + ROUTED met2 ( 296880 3330 0 ) ( 296880 37185 )
+    NEW met1 ( 288000 37185 ) ( 296880 37185 )
+    NEW met1 ( 288000 37185 ) ( 288000 37555 )
+    NEW met1 ( 255120 37555 ) ( 288000 37555 )
+    NEW met1 ( 255120 37185 ) ( 255120 37555 )
+    NEW met1 ( 296880 37185 ) M1M2_PR
+    NEW li1 ( 255120 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[127] ( PIN la_data_out[127] ) ( output477 X ) 
+  + ROUTED met2 ( 298800 3330 0 ) ( 298800 18315 )
+    NEW met1 ( 291120 18315 ) ( 298800 18315 )
+    NEW met2 ( 291120 18315 ) ( 291120 59015 )
+    NEW met1 ( 288000 59015 ) ( 291120 59015 )
+    NEW met1 ( 288000 58645 ) ( 288000 59015 )
+    NEW met1 ( 282960 58645 ) ( 288000 58645 )
+    NEW met1 ( 298800 18315 ) M1M2_PR
+    NEW met1 ( 291120 18315 ) M1M2_PR
+    NEW met1 ( 291120 59015 ) M1M2_PR
+    NEW li1 ( 282960 58645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[12] ( PIN la_data_out[12] ) ( output478 X ) 
+  + ROUTED met2 ( 87600 3330 0 ) ( 87600 15355 )
+    NEW met1 ( 87600 15355 ) ( 90960 15355 )
+    NEW met2 ( 90960 15355 ) ( 90960 30525 )
+    NEW met1 ( 90960 30525 ) ( 91920 30525 )
+    NEW met1 ( 87600 15355 ) M1M2_PR
+    NEW met1 ( 90960 15355 ) M1M2_PR
+    NEW met1 ( 90960 30525 ) M1M2_PR
+    NEW li1 ( 91920 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[13] ( PIN la_data_out[13] ) ( output479 X ) 
+  + ROUTED met2 ( 89520 3330 0 ) ( 89520 34595 )
+    NEW met1 ( 89520 34595 ) ( 90000 34595 )
+    NEW met1 ( 89520 34595 ) M1M2_PR
+    NEW li1 ( 90000 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[14] ( PIN la_data_out[14] ) ( output480 X ) 
+  + ROUTED met2 ( 91440 3330 0 ) ( 91440 18870 )
+    NEW met2 ( 91440 18870 ) ( 92400 18870 )
+    NEW met2 ( 92400 18870 ) ( 92400 30525 )
+    NEW met1 ( 92400 30525 ) ( 95760 30525 )
+    NEW met1 ( 92400 30525 ) M1M2_PR
+    NEW li1 ( 95760 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[15] ( PIN la_data_out[15] ) ( output481 X ) 
+  + ROUTED met2 ( 92880 3330 0 ) ( 92880 34595 )
+    NEW met1 ( 92880 34595 ) ( 93840 34595 )
+    NEW met1 ( 92880 34595 ) M1M2_PR
+    NEW li1 ( 93840 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[16] ( PIN la_data_out[16] ) ( output482 X ) 
+  + ROUTED met2 ( 94800 3330 0 ) ( 94800 30895 )
+    NEW met1 ( 94800 30895 ) ( 99600 30895 )
+    NEW met1 ( 99600 30525 ) ( 99600 30895 )
+    NEW met1 ( 94800 30895 ) M1M2_PR
+    NEW li1 ( 99600 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[17] ( PIN la_data_out[17] ) ( output483 X ) 
+  + ROUTED met2 ( 96720 3330 0 ) ( 96720 31265 )
+    NEW met1 ( 96720 31265 ) ( 103440 31265 )
+    NEW met1 ( 103440 30525 ) ( 103440 31265 )
+    NEW met1 ( 96720 31265 ) M1M2_PR
+    NEW li1 ( 103440 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[18] ( PIN la_data_out[18] ) ( output484 X ) 
+  + ROUTED met2 ( 98640 3330 0 ) ( 98640 16095 )
+    NEW met1 ( 98640 16095 ) ( 100560 16095 )
+    NEW met2 ( 100560 16095 ) ( 100560 34595 )
+    NEW met1 ( 100560 34595 ) ( 101520 34595 )
+    NEW met1 ( 98640 16095 ) M1M2_PR
+    NEW met1 ( 100560 16095 ) M1M2_PR
+    NEW met1 ( 100560 34595 ) M1M2_PR
+    NEW li1 ( 101520 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[19] ( PIN la_data_out[19] ) ( output485 X ) 
+  + ROUTED met2 ( 100560 3330 0 ) ( 100560 12765 )
+    NEW met1 ( 97680 12765 ) ( 100560 12765 )
+    NEW met2 ( 97680 12765 ) ( 97680 30710 )
+    NEW met2 ( 97680 30710 ) ( 98160 30710 )
+    NEW met2 ( 98160 30710 ) ( 98160 31635 )
+    NEW met1 ( 98160 31635 ) ( 107280 31635 )
+    NEW met1 ( 107280 30525 ) ( 107280 31635 )
+    NEW met1 ( 100560 12765 ) M1M2_PR
+    NEW met1 ( 97680 12765 ) M1M2_PR
+    NEW met1 ( 98160 31635 ) M1M2_PR
+    NEW li1 ( 107280 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[1] ( PIN la_data_out[1] ) ( output486 X ) 
+  + ROUTED met2 ( 67440 3330 0 ) ( 67440 34595 )
+    NEW met1 ( 67440 34595 ) ( 67920 34595 )
+    NEW met1 ( 67440 34595 ) M1M2_PR
+    NEW li1 ( 67920 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[20] ( PIN la_data_out[20] ) ( output487 X ) 
+  + ROUTED met2 ( 102480 3330 0 ) ( 102480 34595 )
+    NEW met1 ( 102480 34595 ) ( 105360 34595 )
+    NEW met1 ( 102480 34595 ) M1M2_PR
+    NEW li1 ( 105360 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[21] ( PIN la_data_out[21] ) ( output488 X ) 
+  + ROUTED met2 ( 103920 3330 0 ) ( 103920 18130 )
+    NEW met2 ( 103920 18130 ) ( 104400 18130 )
+    NEW met2 ( 104400 18130 ) ( 104400 37185 )
+    NEW li1 ( 104400 37185 ) L1M1_PR_MR
+    NEW met1 ( 104400 37185 ) M1M2_PR
+    NEW met1 ( 104400 37185 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_out[22] ( PIN la_data_out[22] ) ( output489 X ) 
+  + ROUTED met2 ( 105840 3330 0 ) ( 105840 34595 )
+    NEW met2 ( 105840 34595 ) ( 106320 34595 )
+    NEW met1 ( 106320 34595 ) ( 109680 34595 )
+    NEW met1 ( 106320 34595 ) M1M2_PR
+    NEW li1 ( 109680 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[23] ( PIN la_data_out[23] ) ( output490 X ) 
+  + ROUTED met2 ( 107760 3330 0 ) ( 107760 30525 )
+    NEW met1 ( 107760 30525 ) ( 114480 30525 )
+    NEW met1 ( 107760 30525 ) M1M2_PR
+    NEW li1 ( 114480 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[24] ( PIN la_data_out[24] ) ( output491 X ) 
+  + ROUTED met2 ( 109680 3330 0 ) ( 109680 34595 )
+    NEW met2 ( 109680 34595 ) ( 110160 34595 )
+    NEW met1 ( 110160 34595 ) ( 113040 34595 )
+    NEW met1 ( 110160 34595 ) M1M2_PR
+    NEW li1 ( 113040 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[25] ( PIN la_data_out[25] ) ( output492 X ) 
+  + ROUTED met2 ( 111600 3330 0 ) ( 111600 15910 )
+    NEW met2 ( 111600 15910 ) ( 112080 15910 )
+    NEW met2 ( 112080 15910 ) ( 112080 30895 )
+    NEW met1 ( 112080 30895 ) ( 118320 30895 )
+    NEW met1 ( 118320 30525 ) ( 118320 30895 )
+    NEW met1 ( 112080 30895 ) M1M2_PR
+    NEW li1 ( 118320 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[26] ( PIN la_data_out[26] ) ( output493 X ) 
+  + ROUTED met2 ( 113040 3330 0 ) ( 113040 34595 )
+    NEW met2 ( 113040 34595 ) ( 113520 34595 )
+    NEW met1 ( 113520 34595 ) ( 116880 34595 )
+    NEW met1 ( 113520 34595 ) M1M2_PR
+    NEW li1 ( 116880 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[27] ( PIN la_data_out[27] ) ( output494 X ) 
+  + ROUTED met2 ( 114960 3330 0 ) ( 114960 31265 )
+    NEW met1 ( 114960 31265 ) ( 122160 31265 )
+    NEW met1 ( 122160 30525 ) ( 122160 31265 )
+    NEW met1 ( 114960 31265 ) M1M2_PR
+    NEW li1 ( 122160 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[28] ( PIN la_data_out[28] ) ( output495 X ) 
+  + ROUTED met2 ( 116880 3330 0 ) ( 116880 33855 )
+    NEW met1 ( 116880 33855 ) ( 120720 33855 )
+    NEW met1 ( 120720 33855 ) ( 120720 34595 )
+    NEW met1 ( 116880 33855 ) M1M2_PR
+    NEW li1 ( 120720 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[29] ( PIN la_data_out[29] ) ( output496 X ) 
+  + ROUTED met1 ( 118800 37185 ) ( 119280 37185 )
+    NEW met2 ( 118800 3330 0 ) ( 118800 37185 )
+    NEW met1 ( 118800 37185 ) M1M2_PR
+    NEW li1 ( 119280 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[2] ( PIN la_data_out[2] ) ( output497 X ) 
+  + ROUTED met2 ( 69360 3330 0 ) ( 69360 14615 )
+    NEW met1 ( 69360 14615 ) ( 73680 14615 )
+    NEW met2 ( 73680 14615 ) ( 73680 30525 )
+    NEW met1 ( 73200 30525 ) ( 73680 30525 )
+    NEW met1 ( 69360 14615 ) M1M2_PR
+    NEW met1 ( 73680 14615 ) M1M2_PR
+    NEW met1 ( 73680 30525 ) M1M2_PR
+    NEW li1 ( 73200 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[30] ( PIN la_data_out[30] ) ( output498 X ) 
+  + ROUTED met1 ( 120720 38665 ) ( 123120 38665 )
+    NEW met2 ( 120720 3330 0 ) ( 120720 38665 )
+    NEW met1 ( 120720 38665 ) M1M2_PR
+    NEW li1 ( 123120 38665 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[31] ( PIN la_data_out[31] ) ( output499 X ) 
+  + ROUTED met2 ( 122640 3330 0 ) ( 122640 34595 )
+    NEW met1 ( 122640 34595 ) ( 127920 34595 )
+    NEW met1 ( 122640 34595 ) M1M2_PR
+    NEW li1 ( 127920 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[32] ( PIN la_data_out[32] ) ( output500 X ) 
+  + ROUTED met1 ( 124080 37185 ) ( 127440 37185 )
+    NEW met2 ( 124080 3330 0 ) ( 124080 37185 )
+    NEW met1 ( 124080 37185 ) M1M2_PR
+    NEW li1 ( 127440 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[33] ( PIN la_data_out[33] ) ( output501 X ) 
+  + ROUTED met2 ( 126000 3330 0 ) ( 126000 34225 )
+    NEW met1 ( 126000 34225 ) ( 131760 34225 )
+    NEW met1 ( 131760 34225 ) ( 131760 34595 )
+    NEW met1 ( 126000 34225 ) M1M2_PR
+    NEW li1 ( 131760 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[34] ( PIN la_data_out[34] ) ( output502 X ) 
+  + ROUTED met1 ( 127920 37185 ) ( 130800 37185 )
+    NEW met2 ( 127920 3330 0 ) ( 127920 37185 )
+    NEW met1 ( 127920 37185 ) M1M2_PR
+    NEW li1 ( 130800 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[35] ( PIN la_data_out[35] ) ( output503 X ) 
+  + ROUTED met2 ( 129840 3330 0 ) ( 129840 33855 )
+    NEW met1 ( 129840 33855 ) ( 132240 33855 )
+    NEW met1 ( 132240 33855 ) ( 132240 34595 )
+    NEW met1 ( 132240 34595 ) ( 135600 34595 )
+    NEW met1 ( 129840 33855 ) M1M2_PR
+    NEW li1 ( 135600 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[36] ( PIN la_data_out[36] ) ( output504 X ) 
+  + ROUTED met2 ( 131760 3330 0 ) ( 131760 30525 )
+    NEW met1 ( 131760 30525 ) ( 140880 30525 )
+    NEW met1 ( 131760 30525 ) M1M2_PR
+    NEW li1 ( 140880 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[37] ( PIN la_data_out[37] ) ( output505 X ) 
+  + ROUTED met1 ( 133680 37185 ) ( 134640 37185 )
+    NEW met2 ( 133680 3330 0 ) ( 133680 37185 )
+    NEW met1 ( 133680 37185 ) M1M2_PR
+    NEW li1 ( 134640 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[38] ( PIN la_data_out[38] ) ( output506 X ) 
+  + ROUTED met2 ( 135120 3330 0 ) ( 135120 34225 )
+    NEW met1 ( 135120 34225 ) ( 136800 34225 )
+    NEW met1 ( 136800 34225 ) ( 136800 34595 )
+    NEW met1 ( 136800 34595 ) ( 139440 34595 )
+    NEW met1 ( 135120 34225 ) M1M2_PR
+    NEW li1 ( 139440 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[39] ( PIN la_data_out[39] ) ( output507 X ) 
+  + ROUTED met2 ( 137040 3330 0 ) ( 137040 15910 )
+    NEW met2 ( 137040 15910 ) ( 138000 15910 )
+    NEW met2 ( 138000 15910 ) ( 138000 30895 )
+    NEW met1 ( 138000 30895 ) ( 144720 30895 )
+    NEW met1 ( 144720 30525 ) ( 144720 30895 )
+    NEW met1 ( 138000 30895 ) M1M2_PR
+    NEW li1 ( 144720 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[3] ( PIN la_data_out[3] ) ( output508 X ) 
+  + ROUTED met2 ( 70800 3330 0 ) ( 70800 30895 )
+    NEW met1 ( 70800 30895 ) ( 77040 30895 )
+    NEW met1 ( 77040 30525 ) ( 77040 30895 )
+    NEW met1 ( 70800 30895 ) M1M2_PR
+    NEW li1 ( 77040 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[40] ( PIN la_data_out[40] ) ( output509 X ) 
+  + ROUTED met2 ( 138960 3330 0 ) ( 138960 34225 )
+    NEW met1 ( 138960 34225 ) ( 139920 34225 )
+    NEW met1 ( 139920 34225 ) ( 139920 34595 )
+    NEW met1 ( 139920 34595 ) ( 143760 34595 )
+    NEW met1 ( 138960 34225 ) M1M2_PR
+    NEW li1 ( 143760 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[41] ( PIN la_data_out[41] ) ( output510 X ) 
+  + ROUTED met1 ( 140880 37185 ) ( 141360 37185 )
+    NEW met2 ( 140880 3330 0 ) ( 140880 37185 )
+    NEW met1 ( 140880 37185 ) M1M2_PR
+    NEW li1 ( 141360 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[42] ( PIN la_data_out[42] ) ( output511 X ) 
+  + ROUTED met2 ( 142800 3330 0 ) ( 142800 34225 )
+    NEW met1 ( 142800 34225 ) ( 147120 34225 )
+    NEW met1 ( 147120 34225 ) ( 147120 34595 )
+    NEW met1 ( 142800 34225 ) M1M2_PR
+    NEW li1 ( 147120 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[43] ( PIN la_data_out[43] ) ( output512 X ) 
+  + ROUTED met2 ( 144720 3330 0 ) ( 144720 14615 )
+    NEW met2 ( 144240 14615 ) ( 144720 14615 )
+    NEW met2 ( 144240 14615 ) ( 144240 16095 )
+    NEW met1 ( 144240 16095 ) ( 149520 16095 )
+    NEW met2 ( 149520 16095 ) ( 149520 30525 )
+    NEW met1 ( 149520 30525 ) ( 152880 30525 )
+    NEW met1 ( 144240 16095 ) M1M2_PR
+    NEW met1 ( 149520 16095 ) M1M2_PR
+    NEW met1 ( 149520 30525 ) M1M2_PR
+    NEW li1 ( 152880 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[44] ( PIN la_data_out[44] ) ( output513 X ) 
+  + ROUTED met1 ( 146160 37185 ) ( 146640 37185 )
+    NEW met2 ( 146160 3330 0 ) ( 146160 37185 )
+    NEW met1 ( 146160 37185 ) M1M2_PR
+    NEW li1 ( 146640 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[45] ( PIN la_data_out[45] ) ( output514 X ) 
+  + ROUTED met1 ( 148080 37185 ) ( 150480 37185 )
+    NEW met2 ( 148080 3330 0 ) ( 148080 37185 )
+    NEW met1 ( 148080 37185 ) M1M2_PR
+    NEW li1 ( 150480 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[46] ( PIN la_data_out[46] ) ( output515 X ) 
+  + ROUTED met2 ( 150000 3330 0 ) ( 150000 34595 )
+    NEW met1 ( 150000 34595 ) ( 154320 34595 )
+    NEW met1 ( 150000 34595 ) M1M2_PR
+    NEW li1 ( 154320 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[47] ( PIN la_data_out[47] ) ( output516 X ) 
+  + ROUTED met2 ( 151920 3330 0 ) ( 151920 8695 )
+    NEW met1 ( 151920 8695 ) ( 153360 8695 )
+    NEW met2 ( 153360 8695 ) ( 153360 30525 )
+    NEW met1 ( 153360 30525 ) ( 160560 30525 )
+    NEW met1 ( 151920 8695 ) M1M2_PR
+    NEW met1 ( 153360 8695 ) M1M2_PR
+    NEW met1 ( 153360 30525 ) M1M2_PR
+    NEW li1 ( 160560 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[48] ( PIN la_data_out[48] ) ( output517 X ) 
+  + ROUTED met2 ( 153840 3330 0 ) ( 153840 17205 )
+    NEW met1 ( 153840 17205 ) ( 159120 17205 )
+    NEW met2 ( 159120 17205 ) ( 159120 34595 )
+    NEW met1 ( 158640 34595 ) ( 159120 34595 )
+    NEW met1 ( 153840 17205 ) M1M2_PR
+    NEW met1 ( 159120 17205 ) M1M2_PR
+    NEW met1 ( 159120 34595 ) M1M2_PR
+    NEW li1 ( 158640 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[49] ( PIN la_data_out[49] ) ( output518 X ) 
+  + ROUTED met1 ( 155280 37185 ) ( 155760 37185 )
+    NEW met2 ( 155280 3330 0 ) ( 155280 37185 )
+    NEW met1 ( 155280 37185 ) M1M2_PR
+    NEW li1 ( 155760 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[4] ( PIN la_data_out[4] ) ( output519 X ) 
+  + ROUTED met2 ( 72720 3330 0 ) ( 72720 7030 )
+    NEW met2 ( 72720 7030 ) ( 73200 7030 )
+    NEW met2 ( 73200 7030 ) ( 73200 34595 )
+    NEW met1 ( 73200 34595 ) ( 75120 34595 )
+    NEW met1 ( 73200 34595 ) M1M2_PR
+    NEW li1 ( 75120 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[50] ( PIN la_data_out[50] ) ( output520 X ) 
+  + ROUTED met2 ( 157200 3330 0 ) ( 157200 17575 )
+    NEW met1 ( 157200 17575 ) ( 162000 17575 )
+    NEW met2 ( 162000 17575 ) ( 162000 34595 )
+    NEW met1 ( 157200 17575 ) M1M2_PR
+    NEW met1 ( 162000 17575 ) M1M2_PR
+    NEW li1 ( 162000 34595 ) L1M1_PR_MR
+    NEW met1 ( 162000 34595 ) M1M2_PR
+    NEW met1 ( 162000 34595 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_out[51] ( PIN la_data_out[51] ) ( output521 X ) 
+  + ROUTED met2 ( 159120 3330 0 ) ( 159120 15725 )
+    NEW met1 ( 159120 15725 ) ( 160080 15725 )
+    NEW met2 ( 160080 15725 ) ( 160080 20905 )
+    NEW met1 ( 160080 20905 ) ( 168720 20905 )
+    NEW met2 ( 168720 20905 ) ( 168720 30525 )
+    NEW met1 ( 167280 30525 ) ( 168720 30525 )
+    NEW met1 ( 159120 15725 ) M1M2_PR
+    NEW met1 ( 160080 15725 ) M1M2_PR
+    NEW met1 ( 160080 20905 ) M1M2_PR
+    NEW met1 ( 168720 20905 ) M1M2_PR
+    NEW met1 ( 168720 30525 ) M1M2_PR
+    NEW li1 ( 167280 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[52] ( PIN la_data_out[52] ) ( output522 X ) 
+  + ROUTED met2 ( 161040 3330 0 ) ( 161040 19425 )
+    NEW met1 ( 161040 19425 ) ( 167760 19425 )
+    NEW met2 ( 167760 19425 ) ( 167760 25530 )
+    NEW met2 ( 167280 25530 ) ( 167760 25530 )
+    NEW met2 ( 167280 25530 ) ( 167280 34595 )
+    NEW met1 ( 166320 34595 ) ( 167280 34595 )
+    NEW met1 ( 161040 19425 ) M1M2_PR
+    NEW met1 ( 167760 19425 ) M1M2_PR
+    NEW met1 ( 167280 34595 ) M1M2_PR
+    NEW li1 ( 166320 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[53] ( PIN la_data_out[53] ) ( output523 X ) 
+  + ROUTED met2 ( 162960 3330 0 ) ( 162960 30895 )
+    NEW met1 ( 162960 30895 ) ( 171120 30895 )
+    NEW met1 ( 171120 30525 ) ( 171120 30895 )
+    NEW met1 ( 162960 30895 ) M1M2_PR
+    NEW li1 ( 171120 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[54] ( PIN la_data_out[54] ) ( output524 X ) 
+  + ROUTED met2 ( 164880 3330 0 ) ( 164880 17945 )
+    NEW met1 ( 164880 17945 ) ( 170160 17945 )
+    NEW met2 ( 170160 17945 ) ( 170160 34595 )
+    NEW met1 ( 164880 17945 ) M1M2_PR
+    NEW met1 ( 170160 17945 ) M1M2_PR
+    NEW li1 ( 170160 34595 ) L1M1_PR_MR
+    NEW met1 ( 170160 34595 ) M1M2_PR
+    NEW met1 ( 170160 34595 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_out[55] ( PIN la_data_out[55] ) ( output525 X ) 
+  + ROUTED met2 ( 166320 3330 0 ) ( 166320 7215 )
+    NEW met1 ( 166320 7215 ) ( 171120 7215 )
+    NEW met2 ( 171120 7215 ) ( 171120 31635 )
+    NEW met1 ( 171120 31635 ) ( 175440 31635 )
+    NEW met1 ( 175440 31635 ) ( 175440 32005 )
+    NEW met1 ( 166320 7215 ) M1M2_PR
+    NEW met1 ( 171120 7215 ) M1M2_PR
+    NEW met1 ( 171120 31635 ) M1M2_PR
+    NEW li1 ( 175440 32005 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[56] ( PIN la_data_out[56] ) ( output526 X ) 
+  + ROUTED met1 ( 168240 37185 ) ( 169200 37185 )
+    NEW met2 ( 168240 3330 0 ) ( 168240 37185 )
+    NEW met1 ( 168240 37185 ) M1M2_PR
+    NEW li1 ( 169200 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[57] ( PIN la_data_out[57] ) ( output527 X ) 
+  + ROUTED met2 ( 170160 3330 0 ) ( 170160 17390 )
+    NEW met2 ( 170160 17390 ) ( 170640 17390 )
+    NEW met2 ( 170640 17390 ) ( 170640 34595 )
+    NEW met1 ( 170640 34595 ) ( 173520 34595 )
+    NEW met1 ( 170640 34595 ) M1M2_PR
+    NEW li1 ( 173520 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[58] ( PIN la_data_out[58] ) ( output528 X ) 
+  + ROUTED met2 ( 172080 3330 0 ) ( 172080 18130 )
+    NEW met2 ( 172080 18130 ) ( 172560 18130 )
+    NEW met2 ( 172560 18130 ) ( 172560 22570 )
+    NEW met2 ( 172560 22570 ) ( 173040 22570 )
+    NEW met2 ( 173040 22570 ) ( 173040 37185 )
+    NEW li1 ( 173040 37185 ) L1M1_PR_MR
+    NEW met1 ( 173040 37185 ) M1M2_PR
+    NEW met1 ( 173040 37185 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_out[59] ( PIN la_data_out[59] ) ( output529 X ) 
+  + ROUTED met1 ( 174000 38665 ) ( 176400 38665 )
+    NEW met2 ( 174000 3330 0 ) ( 174000 38665 )
+    NEW met1 ( 174000 38665 ) M1M2_PR
+    NEW li1 ( 176400 38665 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[5] ( PIN la_data_out[5] ) ( output530 X ) 
+  + ROUTED met2 ( 74640 3330 0 ) ( 74640 13875 )
+    NEW met1 ( 74640 13875 ) ( 75120 13875 )
+    NEW met1 ( 75120 13875 ) ( 75120 14615 )
+    NEW met1 ( 75120 14615 ) ( 76080 14615 )
+    NEW met2 ( 76080 14615 ) ( 76080 18870 )
+    NEW met3 ( 76080 18870 ) ( 77040 18870 )
+    NEW met2 ( 77040 18870 ) ( 77040 22570 )
+    NEW met2 ( 77040 22570 ) ( 77520 22570 )
+    NEW met2 ( 77520 22570 ) ( 77520 30525 )
+    NEW met1 ( 77520 30525 ) ( 80880 30525 )
+    NEW met1 ( 74640 13875 ) M1M2_PR
+    NEW met1 ( 76080 14615 ) M1M2_PR
+    NEW met2 ( 76080 18870 ) via2_FR
+    NEW met2 ( 77040 18870 ) via2_FR
+    NEW met1 ( 77520 30525 ) M1M2_PR
+    NEW li1 ( 80880 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[60] ( PIN la_data_out[60] ) ( output531 X ) 
+  + ROUTED met2 ( 175920 3330 0 ) ( 175920 12765 )
+    NEW met1 ( 175920 12765 ) ( 177840 12765 )
+    NEW met2 ( 177840 12765 ) ( 177840 34595 )
+    NEW met1 ( 177840 34595 ) ( 181200 34595 )
+    NEW met1 ( 175920 12765 ) M1M2_PR
+    NEW met1 ( 177840 12765 ) M1M2_PR
+    NEW met1 ( 177840 34595 ) M1M2_PR
+    NEW li1 ( 181200 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[61] ( PIN la_data_out[61] ) ( output532 X ) 
+  + ROUTED met2 ( 177360 3330 0 ) ( 177360 7030 )
+    NEW met2 ( 177360 7030 ) ( 177840 7030 )
+    NEW met2 ( 177840 7030 ) ( 177840 12210 )
+    NEW met3 ( 177120 12210 ) ( 177840 12210 )
+    NEW met3 ( 177120 12210 ) ( 177120 15170 )
+    NEW met3 ( 176880 15170 ) ( 177120 15170 )
+    NEW met2 ( 176880 15170 ) ( 176880 15355 )
+    NEW met2 ( 176880 15355 ) ( 177360 15355 )
+    NEW met2 ( 177360 15355 ) ( 177360 30525 )
+    NEW met1 ( 177360 30525 ) ( 186480 30525 )
+    NEW met2 ( 177840 12210 ) via2_FR
+    NEW met2 ( 176880 15170 ) via2_FR
+    NEW met1 ( 177360 30525 ) M1M2_PR
+    NEW li1 ( 186480 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[62] ( PIN la_data_out[62] ) ( output533 X ) 
+  + ROUTED met1 ( 179280 37185 ) ( 180240 37185 )
+    NEW met2 ( 179280 3330 0 ) ( 179280 37185 )
+    NEW met1 ( 179280 37185 ) M1M2_PR
+    NEW li1 ( 180240 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[63] ( PIN la_data_out[63] ) ( output534 X ) 
+  + ROUTED met2 ( 181200 3330 0 ) ( 181200 12210 )
+    NEW met2 ( 181200 12210 ) ( 182160 12210 )
+    NEW met2 ( 182160 12210 ) ( 182160 34595 )
+    NEW met1 ( 182160 34595 ) ( 184560 34595 )
+    NEW met1 ( 182160 34595 ) M1M2_PR
+    NEW li1 ( 184560 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[64] ( PIN la_data_out[64] ) ( output535 X ) 
+  + ROUTED met1 ( 183120 37185 ) ( 184560 37185 )
+    NEW met2 ( 183120 3330 0 ) ( 183120 37185 )
+    NEW met1 ( 183120 37185 ) M1M2_PR
+    NEW li1 ( 184560 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[65] ( PIN la_data_out[65] ) ( output536 X ) 
+  + ROUTED met1 ( 187920 34225 ) ( 187920 34595 )
+    NEW met1 ( 187920 34225 ) ( 188880 34225 )
+    NEW met1 ( 188880 34225 ) ( 188880 34595 )
+    NEW met2 ( 185040 3330 0 ) ( 185040 17390 )
+    NEW met2 ( 185040 17390 ) ( 185520 17390 )
+    NEW met2 ( 185520 17390 ) ( 185520 34595 )
+    NEW met1 ( 185520 34595 ) ( 187920 34595 )
+    NEW li1 ( 188880 34595 ) L1M1_PR_MR
+    NEW met1 ( 185520 34595 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[66] ( PIN la_data_out[66] ) ( output537 X ) 
+  + ROUTED met1 ( 187440 33855 ) ( 187440 34225 )
+    NEW met1 ( 187440 33855 ) ( 192240 33855 )
+    NEW met1 ( 192240 33855 ) ( 192240 34595 )
+    NEW met2 ( 186960 3330 0 ) ( 186960 34225 )
+    NEW met1 ( 186960 34225 ) ( 187440 34225 )
+    NEW li1 ( 192240 34595 ) L1M1_PR_MR
+    NEW met1 ( 186960 34225 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[67] ( PIN la_data_out[67] ) ( output538 X ) 
+  + ROUTED met2 ( 188400 3330 0 ) ( 188400 17575 )
+    NEW met1 ( 188400 17575 ) ( 192720 17575 )
+    NEW met2 ( 192720 17575 ) ( 192720 34595 )
+    NEW met1 ( 192720 34595 ) ( 196080 34595 )
+    NEW met1 ( 188400 17575 ) M1M2_PR
+    NEW met1 ( 192720 17575 ) M1M2_PR
+    NEW met1 ( 192720 34595 ) M1M2_PR
+    NEW li1 ( 196080 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[68] ( PIN la_data_out[68] ) ( output539 X ) 
+  + ROUTED met1 ( 190320 38665 ) ( 193680 38665 )
+    NEW met2 ( 190320 3330 0 ) ( 190320 38665 )
+    NEW met1 ( 190320 38665 ) M1M2_PR
+    NEW li1 ( 193680 38665 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[69] ( PIN la_data_out[69] ) ( output540 X ) 
+  + ROUTED met2 ( 192240 3330 0 ) ( 192240 35150 )
+    NEW met2 ( 192240 35150 ) ( 193200 35150 )
+    NEW met2 ( 193200 34225 ) ( 193200 35150 )
+    NEW met1 ( 193200 34225 ) ( 196560 34225 )
+    NEW met1 ( 196560 34225 ) ( 196560 34595 )
+    NEW met1 ( 196560 34595 ) ( 199920 34595 )
+    NEW met1 ( 193200 34225 ) M1M2_PR
+    NEW li1 ( 199920 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[6] ( PIN la_data_out[6] ) ( output541 X ) 
+  + ROUTED met2 ( 76560 3330 0 ) ( 76560 8695 )
+    NEW met1 ( 76560 8695 ) ( 78000 8695 )
+    NEW met2 ( 78000 8695 ) ( 78000 34595 )
+    NEW met1 ( 78000 34595 ) ( 78960 34595 )
+    NEW met1 ( 76560 8695 ) M1M2_PR
+    NEW met1 ( 78000 8695 ) M1M2_PR
+    NEW met1 ( 78000 34595 ) M1M2_PR
+    NEW li1 ( 78960 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[70] ( PIN la_data_out[70] ) ( output542 X ) 
+  + ROUTED met1 ( 194160 38665 ) ( 198000 38665 )
+    NEW met2 ( 194160 3330 0 ) ( 194160 38665 )
+    NEW met1 ( 194160 38665 ) M1M2_PR
+    NEW li1 ( 198000 38665 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[71] ( PIN la_data_out[71] ) ( output543 X ) 
+  + ROUTED met2 ( 196080 3330 0 ) ( 196080 30525 )
+    NEW met1 ( 196080 30525 ) ( 206640 30525 )
+    NEW met1 ( 196080 30525 ) M1M2_PR
+    NEW li1 ( 206640 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[72] ( PIN la_data_out[72] ) ( output544 X ) 
+  + ROUTED met1 ( 197520 37185 ) ( 201840 37185 )
+    NEW met2 ( 197520 3330 0 ) ( 197520 37185 )
+    NEW met1 ( 197520 37185 ) M1M2_PR
+    NEW li1 ( 201840 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[73] ( PIN la_data_out[73] ) ( output545 X ) 
+  + ROUTED met2 ( 199440 3330 0 ) ( 199440 34225 )
+    NEW met1 ( 199440 34225 ) ( 200400 34225 )
+    NEW met1 ( 200400 34225 ) ( 200400 34595 )
+    NEW met1 ( 200400 34595 ) ( 207120 34595 )
+    NEW met1 ( 199440 34225 ) M1M2_PR
+    NEW li1 ( 207120 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[74] ( PIN la_data_out[74] ) ( output546 X ) 
+  + ROUTED met2 ( 201360 3330 0 ) ( 201360 8695 )
+    NEW met1 ( 199920 8695 ) ( 201360 8695 )
+    NEW met1 ( 199920 37555 ) ( 205680 37555 )
+    NEW met1 ( 205680 37185 ) ( 205680 37555 )
+    NEW met2 ( 199920 8695 ) ( 199920 37555 )
+    NEW met1 ( 201360 8695 ) M1M2_PR
+    NEW met1 ( 199920 8695 ) M1M2_PR
+    NEW met1 ( 199920 37555 ) M1M2_PR
+    NEW li1 ( 205680 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[75] ( PIN la_data_out[75] ) ( output547 X ) 
+  + ROUTED met2 ( 203280 3330 0 ) ( 203280 30895 )
+    NEW met1 ( 203280 30895 ) ( 207120 30895 )
+    NEW met1 ( 207120 30525 ) ( 207120 30895 )
+    NEW met1 ( 207120 30525 ) ( 214320 30525 )
+    NEW met1 ( 203280 30895 ) M1M2_PR
+    NEW li1 ( 214320 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[76] ( PIN la_data_out[76] ) ( output548 X ) 
+  + ROUTED met2 ( 205200 3330 0 ) ( 205200 8695 )
+    NEW met1 ( 205200 8695 ) ( 206640 8695 )
+    NEW met2 ( 206640 8695 ) ( 206640 20535 )
+    NEW met1 ( 206640 20535 ) ( 208080 20535 )
+    NEW met2 ( 208080 20535 ) ( 208080 34595 )
+    NEW met1 ( 208080 34595 ) ( 210960 34595 )
+    NEW met1 ( 205200 8695 ) M1M2_PR
+    NEW met1 ( 206640 8695 ) M1M2_PR
+    NEW met1 ( 206640 20535 ) M1M2_PR
+    NEW met1 ( 208080 20535 ) M1M2_PR
+    NEW met1 ( 208080 34595 ) M1M2_PR
+    NEW li1 ( 210960 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[77] ( PIN la_data_out[77] ) ( output549 X ) 
+  + ROUTED met1 ( 207120 37185 ) ( 209040 37185 )
+    NEW met2 ( 207120 3330 0 ) ( 207120 37185 )
+    NEW met1 ( 207120 37185 ) M1M2_PR
+    NEW li1 ( 209040 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[78] ( PIN la_data_out[78] ) ( output550 X ) 
+  + ROUTED met2 ( 208560 3330 0 ) ( 208560 17575 )
+    NEW met1 ( 206160 17575 ) ( 208560 17575 )
+    NEW met2 ( 206160 17575 ) ( 206160 35335 )
+    NEW met1 ( 206160 35335 ) ( 212400 35335 )
+    NEW li1 ( 212400 34595 ) ( 212400 35335 )
+    NEW met1 ( 212400 34595 ) ( 214800 34595 )
+    NEW met1 ( 208560 17575 ) M1M2_PR
+    NEW met1 ( 206160 17575 ) M1M2_PR
+    NEW met1 ( 206160 35335 ) M1M2_PR
+    NEW li1 ( 212400 35335 ) L1M1_PR_MR
+    NEW li1 ( 212400 34595 ) L1M1_PR_MR
+    NEW li1 ( 214800 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[79] ( PIN la_data_out[79] ) ( output551 X ) 
+  + ROUTED met2 ( 210480 3330 0 ) ( 210480 30895 )
+    NEW met1 ( 210480 30895 ) ( 220080 30895 )
+    NEW met1 ( 220080 30525 ) ( 220080 30895 )
+    NEW met1 ( 210480 30895 ) M1M2_PR
+    NEW li1 ( 220080 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[7] ( PIN la_data_out[7] ) ( output552 X ) 
+  + ROUTED met2 ( 78480 3330 0 ) ( 78480 18130 )
+    NEW met2 ( 78480 18130 ) ( 78960 18130 )
+    NEW met2 ( 78960 18130 ) ( 78960 37185 )
+    NEW li1 ( 78960 37185 ) L1M1_PR_MR
+    NEW met1 ( 78960 37185 ) M1M2_PR
+    NEW met1 ( 78960 37185 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_out[80] ( PIN la_data_out[80] ) ( output553 X ) 
+  + ROUTED met2 ( 212400 3330 0 ) ( 212400 18130 )
+    NEW met2 ( 212400 18130 ) ( 212880 18130 )
+    NEW met1 ( 212880 37185 ) ( 213360 37185 )
+    NEW met2 ( 212880 18130 ) ( 212880 37185 )
+    NEW met1 ( 212880 37185 ) M1M2_PR
+    NEW li1 ( 213360 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[81] ( PIN la_data_out[81] ) ( output554 X ) 
+  + ROUTED met2 ( 214320 3330 0 ) ( 214320 34225 )
+    NEW met1 ( 214320 34225 ) ( 215280 34225 )
+    NEW met1 ( 215280 34225 ) ( 215280 34595 )
+    NEW met1 ( 215280 34595 ) ( 219120 34595 )
+    NEW met1 ( 214320 34225 ) M1M2_PR
+    NEW li1 ( 219120 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[82] ( PIN la_data_out[82] ) ( output555 X ) 
+  + ROUTED met2 ( 216240 3330 0 ) ( 216240 12765 )
+    NEW met1 ( 216240 12765 ) ( 220080 12765 )
+    NEW met2 ( 220080 12765 ) ( 220080 16650 )
+    NEW met2 ( 220080 16650 ) ( 220560 16650 )
+    NEW met2 ( 220560 16650 ) ( 220560 30525 )
+    NEW met1 ( 220560 30525 ) ( 223920 30525 )
+    NEW met1 ( 216240 12765 ) M1M2_PR
+    NEW met1 ( 220080 12765 ) M1M2_PR
+    NEW met1 ( 220560 30525 ) M1M2_PR
+    NEW li1 ( 223920 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[83] ( PIN la_data_out[83] ) ( output556 X ) 
+  + ROUTED met2 ( 218160 3330 0 ) ( 218160 34225 )
+    NEW met1 ( 218160 34225 ) ( 222480 34225 )
+    NEW met1 ( 222480 34225 ) ( 222480 34595 )
+    NEW met1 ( 218160 34225 ) M1M2_PR
+    NEW li1 ( 222480 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[84] ( PIN la_data_out[84] ) ( output557 X ) 
+  + ROUTED met1 ( 219600 37185 ) ( 220080 37185 )
+    NEW met2 ( 219600 3330 0 ) ( 219600 37185 )
+    NEW met1 ( 219600 37185 ) M1M2_PR
+    NEW li1 ( 220080 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[85] ( PIN la_data_out[85] ) ( output558 X ) 
+  + ROUTED met2 ( 221520 3330 0 ) ( 221520 19610 )
+    NEW met2 ( 221520 19610 ) ( 222960 19610 )
+    NEW met2 ( 222960 19610 ) ( 222960 34595 )
+    NEW met1 ( 222960 34595 ) ( 226320 34595 )
+    NEW met1 ( 222960 34595 ) M1M2_PR
+    NEW li1 ( 226320 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[86] ( PIN la_data_out[86] ) ( output559 X ) 
+  + ROUTED met1 ( 223440 37185 ) ( 223920 37185 )
+    NEW met2 ( 223440 3330 0 ) ( 223440 37185 )
+    NEW met1 ( 223440 37185 ) M1M2_PR
+    NEW li1 ( 223920 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[87] ( PIN la_data_out[87] ) ( output560 X ) 
+  + ROUTED met1 ( 225360 37185 ) ( 227760 37185 )
+    NEW met2 ( 225360 3330 0 ) ( 225360 37185 )
+    NEW met1 ( 225360 37185 ) M1M2_PR
+    NEW li1 ( 227760 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[88] ( PIN la_data_out[88] ) ( output561 X ) 
+  + ROUTED met2 ( 227280 3330 0 ) ( 227280 15725 )
+    NEW met2 ( 226800 15725 ) ( 227280 15725 )
+    NEW met2 ( 226800 15725 ) ( 226800 34595 )
+    NEW met1 ( 226800 34595 ) ( 234000 34595 )
+    NEW met1 ( 226800 34595 ) M1M2_PR
+    NEW li1 ( 234000 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[89] ( PIN la_data_out[89] ) ( output562 X ) 
+  + ROUTED met1 ( 229200 37185 ) ( 232080 37185 )
+    NEW met2 ( 229200 3330 0 ) ( 229200 37185 )
+    NEW met1 ( 229200 37185 ) M1M2_PR
+    NEW li1 ( 232080 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[8] ( PIN la_data_out[8] ) ( output563 X ) 
+  + ROUTED met1 ( 80400 41255 ) ( 80880 41255 )
+    NEW met2 ( 80400 3330 0 ) ( 80400 41255 )
+    NEW met1 ( 80400 41255 ) M1M2_PR
+    NEW li1 ( 80880 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[90] ( PIN la_data_out[90] ) ( output564 X ) 
+  + ROUTED met2 ( 230640 3330 0 ) ( 230640 12765 )
+    NEW met1 ( 230640 12765 ) ( 235440 12765 )
+    NEW met2 ( 235440 12765 ) ( 235440 34595 )
+    NEW met1 ( 235440 34595 ) ( 237840 34595 )
+    NEW met1 ( 230640 12765 ) M1M2_PR
+    NEW met1 ( 235440 12765 ) M1M2_PR
+    NEW met1 ( 235440 34595 ) M1M2_PR
+    NEW li1 ( 237840 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[91] ( PIN la_data_out[91] ) ( output565 X ) 
+  + ROUTED met1 ( 232560 38665 ) ( 235440 38665 )
+    NEW met2 ( 232560 3330 0 ) ( 232560 38665 )
+    NEW met1 ( 232560 38665 ) M1M2_PR
+    NEW li1 ( 235440 38665 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[92] ( PIN la_data_out[92] ) ( output566 X ) 
+  + ROUTED met2 ( 234480 3330 0 ) ( 234480 34225 )
+    NEW met1 ( 241200 34225 ) ( 241200 34595 )
+    NEW met1 ( 234480 34225 ) ( 241200 34225 )
+    NEW met1 ( 234480 34225 ) M1M2_PR
+    NEW li1 ( 241200 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[93] ( PIN la_data_out[93] ) ( output567 X ) 
+  + ROUTED met1 ( 236400 38295 ) ( 236880 38295 )
+    NEW met1 ( 236880 38295 ) ( 236880 38665 )
+    NEW met2 ( 236400 3330 0 ) ( 236400 38295 )
+    NEW met1 ( 236880 38665 ) ( 239760 38665 )
+    NEW met1 ( 236400 38295 ) M1M2_PR
+    NEW li1 ( 239760 38665 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[94] ( PIN la_data_out[94] ) ( output568 X ) 
+  + ROUTED met2 ( 238320 3330 0 ) ( 238320 14615 )
+    NEW met1 ( 238320 14615 ) ( 241680 14615 )
+    NEW met2 ( 241680 14615 ) ( 241680 34595 )
+    NEW met1 ( 241680 34595 ) ( 245520 34595 )
+    NEW met1 ( 238320 14615 ) M1M2_PR
+    NEW met1 ( 241680 14615 ) M1M2_PR
+    NEW met1 ( 241680 34595 ) M1M2_PR
+    NEW li1 ( 245520 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[95] ( PIN la_data_out[95] ) ( output569 X ) 
+  + ROUTED met1 ( 240240 40885 ) ( 241200 40885 )
+    NEW met1 ( 241200 40885 ) ( 241200 41255 )
+    NEW met2 ( 240240 3330 0 ) ( 240240 40885 )
+    NEW met1 ( 240240 40885 ) M1M2_PR
+    NEW li1 ( 241200 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[96] ( PIN la_data_out[96] ) ( output570 X ) 
+  + ROUTED met2 ( 241680 3330 0 ) ( 241680 9250 )
+    NEW met2 ( 241680 9250 ) ( 242160 9250 )
+    NEW met1 ( 242160 38665 ) ( 246960 38665 )
+    NEW met2 ( 242160 9250 ) ( 242160 38665 )
+    NEW met1 ( 242160 38665 ) M1M2_PR
+    NEW li1 ( 246960 38665 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[97] ( PIN la_data_out[97] ) ( output571 X ) 
+  + ROUTED met1 ( 243600 41255 ) ( 244560 41255 )
+    NEW met2 ( 243600 3330 0 ) ( 243600 41255 )
+    NEW met1 ( 243600 41255 ) M1M2_PR
+    NEW li1 ( 244560 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[98] ( PIN la_data_out[98] ) ( output572 X ) 
+  + ROUTED met2 ( 245520 3330 0 ) ( 245520 14615 )
+    NEW met1 ( 245520 14615 ) ( 248880 14615 )
+    NEW met2 ( 248880 14615 ) ( 248880 36000 )
+    NEW met2 ( 248880 36000 ) ( 249360 36000 )
+    NEW met2 ( 249360 36000 ) ( 249360 37185 )
+    NEW met1 ( 249360 37185 ) ( 250800 37185 )
+    NEW met1 ( 245520 14615 ) M1M2_PR
+    NEW met1 ( 248880 14615 ) M1M2_PR
+    NEW met1 ( 249360 37185 ) M1M2_PR
+    NEW li1 ( 250800 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[99] ( PIN la_data_out[99] ) ( output573 X ) 
+  + ROUTED met1 ( 247440 41255 ) ( 248400 41255 )
+    NEW met2 ( 247440 3330 0 ) ( 247440 41255 )
+    NEW met1 ( 247440 41255 ) M1M2_PR
+    NEW li1 ( 248400 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[9] ( PIN la_data_out[9] ) ( output574 X ) 
+  + ROUTED met2 ( 81840 3330 0 ) ( 81840 18130 )
+    NEW met2 ( 81840 18130 ) ( 82320 18130 )
+    NEW met1 ( 82320 41255 ) ( 84720 41255 )
+    NEW met2 ( 82320 18130 ) ( 82320 41255 )
+    NEW met1 ( 82320 41255 ) M1M2_PR
+    NEW li1 ( 84720 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[0] ( PIN la_oenb[0] ) ( input167 A ) 
+  + ROUTED met2 ( 66000 3330 0 ) ( 66000 18315 )
+    NEW met1 ( 65040 18315 ) ( 66000 18315 )
+    NEW met1 ( 66000 18315 ) M1M2_PR
+    NEW li1 ( 65040 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[100] ( PIN la_oenb[100] ) ( input168 A ) 
+  + ROUTED met2 ( 249840 3330 0 ) ( 249840 21275 )
+    NEW met1 ( 249840 21275 ) ( 253200 21275 )
+    NEW met1 ( 253200 21275 ) ( 253200 21645 )
+    NEW met1 ( 249840 21275 ) M1M2_PR
+    NEW li1 ( 253200 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[101] ( PIN la_oenb[101] ) ( input169 A ) 
+  + ROUTED met2 ( 251760 3330 0 ) ( 251760 12950 )
+    NEW met2 ( 251280 12950 ) ( 251760 12950 )
+    NEW met2 ( 251280 12950 ) ( 251280 24050 )
+    NEW met2 ( 251280 24050 ) ( 252240 24050 )
+    NEW met2 ( 252240 24050 ) ( 252240 24975 )
+    NEW li1 ( 252240 24975 ) L1M1_PR_MR
+    NEW met1 ( 252240 24975 ) M1M2_PR
+    NEW met1 ( 252240 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[102] ( PIN la_oenb[102] ) ( input170 A ) 
+  + ROUTED met2 ( 253680 3330 0 ) ( 253680 8695 )
+    NEW met1 ( 253680 8695 ) ( 254640 8695 )
+    NEW met2 ( 254640 8695 ) ( 254640 24975 )
+    NEW met1 ( 254640 24975 ) ( 255600 24975 )
+    NEW met1 ( 253680 8695 ) M1M2_PR
+    NEW met1 ( 254640 8695 ) M1M2_PR
+    NEW met1 ( 254640 24975 ) M1M2_PR
+    NEW li1 ( 255600 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[103] ( PIN la_oenb[103] ) ( input171 A ) 
+  + ROUTED met2 ( 255120 3330 0 ) ( 255120 21275 )
+    NEW met1 ( 255120 21275 ) ( 259440 21275 )
+    NEW met1 ( 259440 21275 ) ( 259440 21645 )
+    NEW met1 ( 255120 21275 ) M1M2_PR
+    NEW li1 ( 259440 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[104] ( PIN la_oenb[104] ) ( input172 A ) 
+  + ROUTED met2 ( 257040 3330 0 ) ( 257040 14615 )
+    NEW met1 ( 257040 14615 ) ( 259440 14615 )
+    NEW met2 ( 259440 14615 ) ( 259440 24975 )
+    NEW met1 ( 257040 14615 ) M1M2_PR
+    NEW met1 ( 259440 14615 ) M1M2_PR
+    NEW li1 ( 259440 24975 ) L1M1_PR_MR
+    NEW met1 ( 259440 24975 ) M1M2_PR
+    NEW met1 ( 259440 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[105] ( PIN la_oenb[105] ) ( input173 A ) 
+  + ROUTED met2 ( 258960 3330 0 ) ( 258960 15355 )
+    NEW met1 ( 258960 15355 ) ( 261360 15355 )
+    NEW met2 ( 261360 15355 ) ( 261360 24975 )
+    NEW met1 ( 261360 24975 ) ( 263280 24975 )
+    NEW met1 ( 258960 15355 ) M1M2_PR
+    NEW met1 ( 261360 15355 ) M1M2_PR
+    NEW met1 ( 261360 24975 ) M1M2_PR
+    NEW li1 ( 263280 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[106] ( PIN la_oenb[106] ) ( input174 A ) 
+  + ROUTED met2 ( 260880 3330 0 ) ( 260880 28305 )
+    NEW li1 ( 260880 28305 ) L1M1_PR_MR
+    NEW met1 ( 260880 28305 ) M1M2_PR
+    NEW met1 ( 260880 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[107] ( PIN la_oenb[107] ) ( input175 A ) 
+  + ROUTED met2 ( 262800 3330 0 ) ( 262800 28305 )
+    NEW met1 ( 262800 28305 ) ( 264720 28305 )
+    NEW met1 ( 262800 28305 ) M1M2_PR
+    NEW li1 ( 264720 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[108] ( PIN la_oenb[108] ) ( input176 A ) 
+  + ROUTED met2 ( 264720 3330 0 ) ( 264720 14615 )
+    NEW met1 ( 264720 14615 ) ( 268560 14615 )
+    NEW met2 ( 268560 14615 ) ( 268560 28305 )
+    NEW met1 ( 264720 14615 ) M1M2_PR
+    NEW met1 ( 268560 14615 ) M1M2_PR
+    NEW li1 ( 268560 28305 ) L1M1_PR_MR
+    NEW met1 ( 268560 28305 ) M1M2_PR
+    NEW met1 ( 268560 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[109] ( PIN la_oenb[109] ) ( input177 A ) 
+  + ROUTED met2 ( 266160 3330 0 ) ( 266160 14430 )
+    NEW met2 ( 266160 14430 ) ( 266640 14430 )
+    NEW met2 ( 266640 14430 ) ( 266640 24975 )
+    NEW met1 ( 266640 24975 ) ( 272400 24975 )
+    NEW met1 ( 266640 24975 ) M1M2_PR
+    NEW li1 ( 272400 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[10] ( PIN la_oenb[10] ) ( input178 A ) 
+  + ROUTED met1 ( 86400 21645 ) ( 89040 21645 )
+    NEW met2 ( 84720 3330 0 ) ( 84720 21275 )
+    NEW met1 ( 84720 21275 ) ( 86400 21275 )
+    NEW met1 ( 86400 21275 ) ( 86400 21645 )
+    NEW li1 ( 89040 21645 ) L1M1_PR_MR
+    NEW met1 ( 84720 21275 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[110] ( PIN la_oenb[110] ) ( input179 A ) 
+  + ROUTED met2 ( 268080 3330 0 ) ( 268080 27935 )
+    NEW met1 ( 268080 27935 ) ( 272400 27935 )
+    NEW met1 ( 272400 27935 ) ( 272400 28305 )
+    NEW met1 ( 268080 27935 ) M1M2_PR
+    NEW li1 ( 272400 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[111] ( PIN la_oenb[111] ) ( input180 A ) 
+  + ROUTED met2 ( 270000 3330 0 ) ( 270000 31635 )
+    NEW met1 ( 270000 31635 ) ( 272400 31635 )
+    NEW met1 ( 270000 31635 ) M1M2_PR
+    NEW li1 ( 272400 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[112] ( PIN la_oenb[112] ) ( input181 A ) 
+  + ROUTED met2 ( 271920 3330 0 ) ( 271920 31265 )
+    NEW met1 ( 271920 31265 ) ( 276240 31265 )
+    NEW met1 ( 276240 31265 ) ( 276240 31635 )
+    NEW met1 ( 271920 31265 ) M1M2_PR
+    NEW li1 ( 276240 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[113] ( PIN la_oenb[113] ) ( input182 A ) 
+  + ROUTED met2 ( 273840 3330 0 ) ( 273840 34965 )
+    NEW li1 ( 273840 34965 ) L1M1_PR_MR
+    NEW met1 ( 273840 34965 ) M1M2_PR
+    NEW met1 ( 273840 34965 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[114] ( PIN la_oenb[114] ) ( input183 A ) 
+  + ROUTED met2 ( 275280 3330 0 ) ( 275280 30895 )
+    NEW met1 ( 275280 30895 ) ( 280080 30895 )
+    NEW met1 ( 280080 30895 ) ( 280080 31635 )
+    NEW met1 ( 275280 30895 ) M1M2_PR
+    NEW li1 ( 280080 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[115] ( PIN la_oenb[115] ) ( input184 A ) 
+  + ROUTED met2 ( 277200 3330 0 ) ( 277200 34965 )
+    NEW met1 ( 277200 34965 ) ( 277680 34965 )
+    NEW met1 ( 277200 34965 ) M1M2_PR
+    NEW li1 ( 277680 34965 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[116] ( PIN la_oenb[116] ) ( input185 A ) 
+  + ROUTED met2 ( 279120 3330 0 ) ( 279120 38295 )
+    NEW li1 ( 279120 38295 ) L1M1_PR_MR
+    NEW met1 ( 279120 38295 ) M1M2_PR
+    NEW met1 ( 279120 38295 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[117] ( PIN la_oenb[117] ) ( input186 A ) 
+  + ROUTED met1 ( 281040 38295 ) ( 282960 38295 )
+    NEW met2 ( 281040 3330 0 ) ( 281040 38295 )
+    NEW met1 ( 281040 38295 ) M1M2_PR
+    NEW li1 ( 282960 38295 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[118] ( PIN la_oenb[118] ) ( input187 A ) 
+  + ROUTED met2 ( 282960 3330 0 ) ( 282960 36000 )
+    NEW met2 ( 282960 36000 ) ( 283440 36000 )
+    NEW met2 ( 283440 36000 ) ( 283440 38295 )
+    NEW met1 ( 283440 38295 ) ( 286800 38295 )
+    NEW met1 ( 283440 38295 ) M1M2_PR
+    NEW li1 ( 286800 38295 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[119] ( PIN la_oenb[119] ) ( input188 A ) 
+  + ROUTED met1 ( 284880 41625 ) ( 285840 41625 )
+    NEW met2 ( 284880 3330 0 ) ( 284880 41625 )
+    NEW met1 ( 284880 41625 ) M1M2_PR
+    NEW li1 ( 285840 41625 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[11] ( PIN la_oenb[11] ) ( input189 A ) 
+  + ROUTED met2 ( 86640 14430 ) ( 86640 17575 )
+    NEW met1 ( 86640 17575 ) ( 87600 17575 )
+    NEW met2 ( 87600 17575 ) ( 87600 24975 )
+    NEW met2 ( 86160 3330 0 ) ( 86160 14430 )
+    NEW met2 ( 86160 14430 ) ( 86640 14430 )
+    NEW met1 ( 86640 17575 ) M1M2_PR
+    NEW met1 ( 87600 17575 ) M1M2_PR
+    NEW li1 ( 87600 24975 ) L1M1_PR_MR
+    NEW met1 ( 87600 24975 ) M1M2_PR
+    NEW met1 ( 87600 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[120] ( PIN la_oenb[120] ) ( input190 A ) 
+  + ROUTED met2 ( 286320 3330 0 ) ( 286320 44955 )
+    NEW li1 ( 286320 44955 ) L1M1_PR_MR
+    NEW met1 ( 286320 44955 ) M1M2_PR
+    NEW met1 ( 286320 44955 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[121] ( PIN la_oenb[121] ) ( input191 A ) 
+  + ROUTED met2 ( 288240 3330 0 ) ( 288240 48285 )
+    NEW li1 ( 288240 48285 ) L1M1_PR_MR
+    NEW met1 ( 288240 48285 ) M1M2_PR
+    NEW met1 ( 288240 48285 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- la_oenb[122] ( PIN la_oenb[122] ) ( input192 A ) 
+  + ROUTED met2 ( 290160 3330 0 ) ( 290160 14985 )
+    NEW met1 ( 266640 31635 ) ( 266640 32005 )
+    NEW met1 ( 266640 32005 ) ( 284400 32005 )
+    NEW met2 ( 284400 14985 ) ( 284400 32005 )
+    NEW met1 ( 284400 14985 ) ( 290160 14985 )
+    NEW met1 ( 290160 14985 ) M1M2_PR
+    NEW li1 ( 266640 31635 ) L1M1_PR_MR
+    NEW met1 ( 284400 32005 ) M1M2_PR
+    NEW met1 ( 284400 14985 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[123] ( PIN la_oenb[123] ) ( input193 A ) 
+  + ROUTED met2 ( 292080 3330 0 ) ( 292080 41255 )
+    NEW met1 ( 280080 41255 ) ( 280080 41625 )
+    NEW met1 ( 280080 41255 ) ( 292080 41255 )
+    NEW met1 ( 292080 41255 ) M1M2_PR
+    NEW li1 ( 280080 41625 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[124] ( PIN la_oenb[124] ) ( input194 A ) 
+  + ROUTED met2 ( 294000 3330 0 ) ( 294000 38665 )
+    NEW met1 ( 275760 38295 ) ( 275760 38665 )
+    NEW met1 ( 275760 38665 ) ( 294000 38665 )
+    NEW met1 ( 294000 38665 ) M1M2_PR
+    NEW li1 ( 275760 38295 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[125] ( PIN la_oenb[125] ) ( input195 A ) 
+  + ROUTED met2 ( 295920 3330 0 ) ( 295920 20905 )
+    NEW met1 ( 286800 20905 ) ( 295920 20905 )
+    NEW met1 ( 282960 44585 ) ( 286800 44585 )
+    NEW met1 ( 282960 44585 ) ( 282960 44955 )
+    NEW met2 ( 286800 20905 ) ( 286800 44585 )
+    NEW met1 ( 295920 20905 ) M1M2_PR
+    NEW met1 ( 286800 20905 ) M1M2_PR
+    NEW met1 ( 286800 44585 ) M1M2_PR
+    NEW li1 ( 282960 44955 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[126] ( PIN la_oenb[126] ) ( input196 A ) 
+  + ROUTED met2 ( 297360 3330 0 ) ( 297360 15725 )
+    NEW met1 ( 270480 34595 ) ( 270480 34965 )
+    NEW met1 ( 270480 34595 ) ( 282000 34595 )
+    NEW met2 ( 282000 15725 ) ( 282000 34595 )
+    NEW met1 ( 282000 15725 ) ( 297360 15725 )
+    NEW met1 ( 297360 15725 ) M1M2_PR
+    NEW li1 ( 270480 34965 ) L1M1_PR_MR
+    NEW met1 ( 282000 34595 ) M1M2_PR
+    NEW met1 ( 282000 15725 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[127] ( PIN la_oenb[127] ) ( input197 A ) 
+  + ROUTED met2 ( 299280 3330 0 ) ( 299280 40885 )
+    NEW met1 ( 276240 41625 ) ( 279600 41625 )
+    NEW met1 ( 279600 40885 ) ( 279600 41625 )
+    NEW met1 ( 279600 40885 ) ( 299280 40885 )
+    NEW met1 ( 299280 40885 ) M1M2_PR
+    NEW li1 ( 276240 41625 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[12] ( PIN la_oenb[12] ) ( input198 A ) 
+  + ROUTED met2 ( 88080 3330 0 ) ( 88080 21275 )
+    NEW met1 ( 88080 21275 ) ( 92880 21275 )
+    NEW met1 ( 92880 21275 ) ( 92880 21645 )
+    NEW met1 ( 88080 21275 ) M1M2_PR
+    NEW li1 ( 92880 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[13] ( PIN la_oenb[13] ) ( input199 A ) 
+  + ROUTED met2 ( 90000 3330 0 ) ( 90000 24975 )
+    NEW met1 ( 90000 24975 ) ( 91440 24975 )
+    NEW met1 ( 90000 24975 ) M1M2_PR
+    NEW li1 ( 91440 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[14] ( PIN la_oenb[14] ) ( input200 A ) 
+  + ROUTED met2 ( 91920 3330 0 ) ( 91920 15355 )
+    NEW met1 ( 91920 15355 ) ( 94320 15355 )
+    NEW met2 ( 94320 15355 ) ( 94320 24975 )
+    NEW met1 ( 94320 24975 ) ( 95280 24975 )
+    NEW met1 ( 91920 15355 ) M1M2_PR
+    NEW met1 ( 94320 15355 ) M1M2_PR
+    NEW met1 ( 94320 24975 ) M1M2_PR
+    NEW li1 ( 95280 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[15] ( PIN la_oenb[15] ) ( input201 A ) 
+  + ROUTED met2 ( 93840 3330 0 ) ( 93840 28305 )
+    NEW li1 ( 93840 28305 ) L1M1_PR_MR
+    NEW met1 ( 93840 28305 ) M1M2_PR
+    NEW met1 ( 93840 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[16] ( PIN la_oenb[16] ) ( input202 A ) 
+  + ROUTED met2 ( 95280 3330 0 ) ( 95280 24235 )
+    NEW met1 ( 95280 24235 ) ( 99120 24235 )
+    NEW met1 ( 99120 24235 ) ( 99120 24975 )
+    NEW met1 ( 95280 24235 ) M1M2_PR
+    NEW li1 ( 99120 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[17] ( PIN la_oenb[17] ) ( input203 A ) 
+  + ROUTED met2 ( 97200 3330 0 ) ( 97200 15725 )
+    NEW met1 ( 97200 15725 ) ( 102000 15725 )
+    NEW met2 ( 102000 15725 ) ( 102000 24975 )
+    NEW met1 ( 102000 24975 ) ( 102960 24975 )
+    NEW met1 ( 97200 15725 ) M1M2_PR
+    NEW met1 ( 102000 15725 ) M1M2_PR
+    NEW met1 ( 102000 24975 ) M1M2_PR
+    NEW li1 ( 102960 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[18] ( PIN la_oenb[18] ) ( input204 A ) 
+  + ROUTED met2 ( 99120 3330 0 ) ( 99120 14615 )
+    NEW met1 ( 99120 14615 ) ( 100080 14615 )
+    NEW met2 ( 100080 14615 ) ( 101040 14615 )
+    NEW met2 ( 101040 14615 ) ( 101040 28305 )
+    NEW met1 ( 99120 14615 ) M1M2_PR
+    NEW met1 ( 100080 14615 ) M1M2_PR
+    NEW li1 ( 101040 28305 ) L1M1_PR_MR
+    NEW met1 ( 101040 28305 ) M1M2_PR
+    NEW met1 ( 101040 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[19] ( PIN la_oenb[19] ) ( input205 A ) 
+  + ROUTED met2 ( 101040 3330 0 ) ( 101040 13875 )
+    NEW met1 ( 101040 13875 ) ( 103440 13875 )
+    NEW met1 ( 103440 13875 ) ( 103440 14615 )
+    NEW met1 ( 103440 14615 ) ( 104400 14615 )
+    NEW met2 ( 104400 14615 ) ( 104400 14985 )
+    NEW met2 ( 104400 14985 ) ( 104880 14985 )
+    NEW met2 ( 104880 14985 ) ( 104880 24975 )
+    NEW met1 ( 104880 24975 ) ( 106800 24975 )
+    NEW met1 ( 101040 13875 ) M1M2_PR
+    NEW met1 ( 104400 14615 ) M1M2_PR
+    NEW met1 ( 104880 24975 ) M1M2_PR
+    NEW li1 ( 106800 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[1] ( PIN la_oenb[1] ) ( input206 A ) 
+  + ROUTED met2 ( 67920 3330 0 ) ( 67920 21645 )
+    NEW li1 ( 67920 21645 ) L1M1_PR_MR
+    NEW met1 ( 67920 21645 ) M1M2_PR
+    NEW met1 ( 67920 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[20] ( PIN la_oenb[20] ) ( input207 A ) 
+  + ROUTED met2 ( 102960 3330 0 ) ( 102960 28305 )
+    NEW met1 ( 102960 28305 ) ( 104880 28305 )
+    NEW met1 ( 102960 28305 ) M1M2_PR
+    NEW li1 ( 104880 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[21] ( PIN la_oenb[21] ) ( input208 A ) 
+  + ROUTED met2 ( 104880 3330 0 ) ( 104880 14615 )
+    NEW met1 ( 104880 14615 ) ( 108720 14615 )
+    NEW met2 ( 108720 14615 ) ( 108720 28305 )
+    NEW met1 ( 104880 14615 ) M1M2_PR
+    NEW met1 ( 108720 14615 ) M1M2_PR
+    NEW li1 ( 108720 28305 ) L1M1_PR_MR
+    NEW met1 ( 108720 28305 ) M1M2_PR
+    NEW met1 ( 108720 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[22] ( PIN la_oenb[22] ) ( input209 A ) 
+  + ROUTED met2 ( 106320 3330 0 ) ( 106320 21275 )
+    NEW met1 ( 106320 21275 ) ( 114000 21275 )
+    NEW met2 ( 114000 21275 ) ( 114000 24975 )
+    NEW met1 ( 106320 21275 ) M1M2_PR
+    NEW met1 ( 114000 21275 ) M1M2_PR
+    NEW li1 ( 114000 24975 ) L1M1_PR_MR
+    NEW met1 ( 114000 24975 ) M1M2_PR
+    NEW met1 ( 114000 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[23] ( PIN la_oenb[23] ) ( input210 A ) 
+  + ROUTED met2 ( 108240 3330 0 ) ( 108240 27935 )
+    NEW met1 ( 108240 27935 ) ( 112560 27935 )
+    NEW met1 ( 112560 27935 ) ( 112560 28305 )
+    NEW met1 ( 108240 27935 ) M1M2_PR
+    NEW li1 ( 112560 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[24] ( PIN la_oenb[24] ) ( input211 A ) 
+  + ROUTED met2 ( 110160 3330 0 ) ( 110160 15725 )
+    NEW met1 ( 110160 15725 ) ( 120240 15725 )
+    NEW met2 ( 120240 15725 ) ( 120240 21645 )
+    NEW met1 ( 110160 15725 ) M1M2_PR
+    NEW met1 ( 120240 15725 ) M1M2_PR
+    NEW li1 ( 120240 21645 ) L1M1_PR_MR
+    NEW met1 ( 120240 21645 ) M1M2_PR
+    NEW met1 ( 120240 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[25] ( PIN la_oenb[25] ) ( input212 A ) 
+  + ROUTED met2 ( 112080 3330 0 ) ( 112080 15355 )
+    NEW met1 ( 112080 15355 ) ( 117840 15355 )
+    NEW met2 ( 117840 15355 ) ( 117840 24975 )
+    NEW met1 ( 112080 15355 ) M1M2_PR
+    NEW met1 ( 117840 15355 ) M1M2_PR
+    NEW li1 ( 117840 24975 ) L1M1_PR_MR
+    NEW met1 ( 117840 24975 ) M1M2_PR
+    NEW met1 ( 117840 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[26] ( PIN la_oenb[26] ) ( input213 A ) 
+  + ROUTED met2 ( 114000 3330 0 ) ( 114000 16095 )
+    NEW met1 ( 114000 16095 ) ( 115440 16095 )
+    NEW met2 ( 115440 16095 ) ( 115440 28305 )
+    NEW met1 ( 115440 28305 ) ( 116400 28305 )
+    NEW met1 ( 114000 16095 ) M1M2_PR
+    NEW met1 ( 115440 16095 ) M1M2_PR
+    NEW met1 ( 115440 28305 ) M1M2_PR
+    NEW li1 ( 116400 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[27] ( PIN la_oenb[27] ) ( input214 A ) 
+  + ROUTED met2 ( 115920 3330 0 ) ( 115920 24605 )
+    NEW met1 ( 115920 24605 ) ( 121680 24605 )
+    NEW met1 ( 121680 24605 ) ( 121680 24975 )
+    NEW met1 ( 115920 24605 ) M1M2_PR
+    NEW li1 ( 121680 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[28] ( PIN la_oenb[28] ) ( input215 A ) 
+  + ROUTED met2 ( 117360 3330 0 ) ( 117360 28305 )
+    NEW met1 ( 117360 28305 ) ( 120240 28305 )
+    NEW met1 ( 117360 28305 ) M1M2_PR
+    NEW li1 ( 120240 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[29] ( PIN la_oenb[29] ) ( input216 A ) 
+  + ROUTED met2 ( 119280 3330 0 ) ( 119280 15355 )
+    NEW met1 ( 119280 15355 ) ( 125520 15355 )
+    NEW met2 ( 125520 15355 ) ( 125520 24975 )
+    NEW met1 ( 119280 15355 ) M1M2_PR
+    NEW met1 ( 125520 15355 ) M1M2_PR
+    NEW li1 ( 125520 24975 ) L1M1_PR_MR
+    NEW met1 ( 125520 24975 ) M1M2_PR
+    NEW met1 ( 125520 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[2] ( PIN la_oenb[2] ) ( input217 A ) 
+  + ROUTED met2 ( 69840 3330 0 ) ( 69840 24975 )
+    NEW li1 ( 69840 24975 ) L1M1_PR_MR
+    NEW met1 ( 69840 24975 ) M1M2_PR
+    NEW met1 ( 69840 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[30] ( PIN la_oenb[30] ) ( input218 A ) 
+  + ROUTED met2 ( 121200 3330 0 ) ( 121200 21275 )
+    NEW met1 ( 121200 21275 ) ( 129360 21275 )
+    NEW met2 ( 129360 21275 ) ( 129360 24975 )
+    NEW met1 ( 121200 21275 ) M1M2_PR
+    NEW met1 ( 129360 21275 ) M1M2_PR
+    NEW li1 ( 129360 24975 ) L1M1_PR_MR
+    NEW met1 ( 129360 24975 ) M1M2_PR
+    NEW met1 ( 129360 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[31] ( PIN la_oenb[31] ) ( input219 A ) 
+  + ROUTED met2 ( 123120 3330 0 ) ( 123120 28305 )
+    NEW met1 ( 123120 28305 ) ( 127440 28305 )
+    NEW met1 ( 123120 28305 ) M1M2_PR
+    NEW li1 ( 127440 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[32] ( PIN la_oenb[32] ) ( input220 A ) 
+  + ROUTED met2 ( 125040 3330 0 ) ( 125040 15725 )
+    NEW met1 ( 125040 15725 ) ( 133200 15725 )
+    NEW met2 ( 133200 15725 ) ( 133200 24975 )
+    NEW met1 ( 125040 15725 ) M1M2_PR
+    NEW met1 ( 133200 15725 ) M1M2_PR
+    NEW li1 ( 133200 24975 ) L1M1_PR_MR
+    NEW met1 ( 133200 24975 ) M1M2_PR
+    NEW met1 ( 133200 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[33] ( PIN la_oenb[33] ) ( input221 A ) 
+  + ROUTED met2 ( 126960 3330 0 ) ( 126960 15355 )
+    NEW met1 ( 126960 15355 ) ( 131280 15355 )
+    NEW met2 ( 131280 15355 ) ( 131280 28305 )
+    NEW met1 ( 126960 15355 ) M1M2_PR
+    NEW met1 ( 131280 15355 ) M1M2_PR
+    NEW li1 ( 131280 28305 ) L1M1_PR_MR
+    NEW met1 ( 131280 28305 ) M1M2_PR
+    NEW met1 ( 131280 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[34] ( PIN la_oenb[34] ) ( input222 A ) 
+  + ROUTED met2 ( 128400 3330 0 ) ( 128400 31635 )
+    NEW li1 ( 128400 31635 ) L1M1_PR_MR
+    NEW met1 ( 128400 31635 ) M1M2_PR
+    NEW met1 ( 128400 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[35] ( PIN la_oenb[35] ) ( input223 A ) 
+  + ROUTED met2 ( 130320 3330 0 ) ( 130320 27935 )
+    NEW met1 ( 130320 27935 ) ( 135120 27935 )
+    NEW met1 ( 135120 27935 ) ( 135120 28305 )
+    NEW met1 ( 130320 27935 ) M1M2_PR
+    NEW li1 ( 135120 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[36] ( PIN la_oenb[36] ) ( input224 A ) 
+  + ROUTED met2 ( 132240 3330 0 ) ( 132240 21275 )
+    NEW met2 ( 140400 21275 ) ( 140400 24975 )
+    NEW met1 ( 132240 21275 ) ( 140400 21275 )
+    NEW met1 ( 132240 21275 ) M1M2_PR
+    NEW met1 ( 140400 21275 ) M1M2_PR
+    NEW li1 ( 140400 24975 ) L1M1_PR_MR
+    NEW met1 ( 140400 24975 ) M1M2_PR
+    NEW met1 ( 140400 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[37] ( PIN la_oenb[37] ) ( input225 A ) 
+  + ROUTED met2 ( 134160 3330 0 ) ( 134160 31635 )
+    NEW li1 ( 134160 31635 ) L1M1_PR_MR
+    NEW met1 ( 134160 31635 ) M1M2_PR
+    NEW met1 ( 134160 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[38] ( PIN la_oenb[38] ) ( input226 A ) 
+  + ROUTED met2 ( 136080 3330 0 ) ( 136080 18870 )
+    NEW met2 ( 137040 18870 ) ( 137040 28305 )
+    NEW met1 ( 137040 28305 ) ( 138960 28305 )
+    NEW met2 ( 136080 18870 ) ( 137040 18870 )
+    NEW met1 ( 137040 28305 ) M1M2_PR
+    NEW li1 ( 138960 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[39] ( PIN la_oenb[39] ) ( input227 A ) 
+  + ROUTED met2 ( 137520 3330 0 ) ( 137520 15355 )
+    NEW met1 ( 137520 15355 ) ( 144720 15355 )
+    NEW met2 ( 144720 15355 ) ( 144720 24975 )
+    NEW met1 ( 137520 15355 ) M1M2_PR
+    NEW met1 ( 144720 15355 ) M1M2_PR
+    NEW li1 ( 144720 24975 ) L1M1_PR_MR
+    NEW met1 ( 144720 24975 ) M1M2_PR
+    NEW met1 ( 144720 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[3] ( PIN la_oenb[3] ) ( input228 A ) 
+  + ROUTED met2 ( 71760 3330 0 ) ( 71760 14430 )
+    NEW met2 ( 71760 14430 ) ( 72240 14430 )
+    NEW met2 ( 72240 14430 ) ( 72240 24975 )
+    NEW met1 ( 72240 24975 ) ( 73680 24975 )
+    NEW met1 ( 72240 24975 ) M1M2_PR
+    NEW li1 ( 73680 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[40] ( PIN la_oenb[40] ) ( input229 A ) 
+  + ROUTED met2 ( 139440 3330 0 ) ( 139440 28305 )
+    NEW met1 ( 139440 28305 ) ( 142800 28305 )
+    NEW met1 ( 139440 28305 ) M1M2_PR
+    NEW li1 ( 142800 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[41] ( PIN la_oenb[41] ) ( input230 A ) 
+  + ROUTED met2 ( 141360 3330 0 ) ( 141360 15725 )
+    NEW met1 ( 141360 15725 ) ( 146640 15725 )
+    NEW met2 ( 146640 15725 ) ( 146640 24975 )
+    NEW met1 ( 146640 24975 ) ( 148080 24975 )
+    NEW met1 ( 141360 15725 ) M1M2_PR
+    NEW met1 ( 146640 15725 ) M1M2_PR
+    NEW met1 ( 146640 24975 ) M1M2_PR
+    NEW li1 ( 148080 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[42] ( PIN la_oenb[42] ) ( input231 A ) 
+  + ROUTED met2 ( 143280 3330 0 ) ( 143280 19610 )
+    NEW met2 ( 143280 19610 ) ( 144240 19610 )
+    NEW met2 ( 144240 19610 ) ( 144240 28305 )
+    NEW met1 ( 144240 28305 ) ( 146640 28305 )
+    NEW met1 ( 144240 28305 ) M1M2_PR
+    NEW li1 ( 146640 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[43] ( PIN la_oenb[43] ) ( input232 A ) 
+  + ROUTED met2 ( 145200 3330 0 ) ( 145200 19425 )
+    NEW met1 ( 145200 19425 ) ( 151920 19425 )
+    NEW met2 ( 151920 19425 ) ( 151920 24975 )
+    NEW met1 ( 145200 19425 ) M1M2_PR
+    NEW met1 ( 151920 19425 ) M1M2_PR
+    NEW li1 ( 151920 24975 ) L1M1_PR_MR
+    NEW met1 ( 151920 24975 ) M1M2_PR
+    NEW met1 ( 151920 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[44] ( PIN la_oenb[44] ) ( input233 A ) 
+  + ROUTED met2 ( 147120 3330 0 ) ( 147120 15355 )
+    NEW met1 ( 147120 15355 ) ( 155760 15355 )
+    NEW met2 ( 155760 15355 ) ( 155760 24975 )
+    NEW met1 ( 147120 15355 ) M1M2_PR
+    NEW met1 ( 155760 15355 ) M1M2_PR
+    NEW li1 ( 155760 24975 ) L1M1_PR_MR
+    NEW met1 ( 155760 24975 ) M1M2_PR
+    NEW met1 ( 155760 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[45] ( PIN la_oenb[45] ) ( input234 A ) 
+  + ROUTED met2 ( 148560 3330 0 ) ( 148560 31635 )
+    NEW li1 ( 148560 31635 ) L1M1_PR_MR
+    NEW met1 ( 148560 31635 ) M1M2_PR
+    NEW met1 ( 148560 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[46] ( PIN la_oenb[46] ) ( input235 A ) 
+  + ROUTED met2 ( 150480 3330 0 ) ( 150480 14430 )
+    NEW met2 ( 150480 14430 ) ( 151440 14430 )
+    NEW met2 ( 151440 14430 ) ( 151440 28305 )
+    NEW met1 ( 151440 28305 ) ( 153840 28305 )
+    NEW met1 ( 151440 28305 ) M1M2_PR
+    NEW li1 ( 153840 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[47] ( PIN la_oenb[47] ) ( input236 A ) 
+  + ROUTED met2 ( 152400 3330 0 ) ( 152400 16095 )
+    NEW met1 ( 152400 16095 ) ( 159600 16095 )
+    NEW met2 ( 159600 16095 ) ( 159600 24975 )
+    NEW met1 ( 152400 16095 ) M1M2_PR
+    NEW met1 ( 159600 16095 ) M1M2_PR
+    NEW li1 ( 159600 24975 ) L1M1_PR_MR
+    NEW met1 ( 159600 24975 ) M1M2_PR
+    NEW met1 ( 159600 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[48] ( PIN la_oenb[48] ) ( input237 A ) 
+  + ROUTED met2 ( 154320 3330 0 ) ( 154320 28305 )
+    NEW met1 ( 154320 28305 ) ( 157680 28305 )
+    NEW met1 ( 154320 28305 ) M1M2_PR
+    NEW li1 ( 157680 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[49] ( PIN la_oenb[49] ) ( input238 A ) 
+  + ROUTED met2 ( 156240 3330 0 ) ( 156240 31635 )
+    NEW li1 ( 156240 31635 ) L1M1_PR_MR
+    NEW met1 ( 156240 31635 ) M1M2_PR
+    NEW met1 ( 156240 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[4] ( PIN la_oenb[4] ) ( input239 A ) 
+  + ROUTED met2 ( 73680 3330 0 ) ( 73680 13875 )
+    NEW met1 ( 73680 13875 ) ( 74160 13875 )
+    NEW met1 ( 74160 13875 ) ( 74160 14615 )
+    NEW met1 ( 74160 14615 ) ( 74640 14615 )
+    NEW met2 ( 74640 14615 ) ( 74640 24975 )
+    NEW met1 ( 74640 24975 ) ( 77520 24975 )
+    NEW met1 ( 73680 13875 ) M1M2_PR
+    NEW met1 ( 74640 14615 ) M1M2_PR
+    NEW met1 ( 74640 24975 ) M1M2_PR
+    NEW li1 ( 77520 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[50] ( PIN la_oenb[50] ) ( input240 A ) 
+  + ROUTED met2 ( 158160 3330 0 ) ( 158160 22570 )
+    NEW met2 ( 158160 22570 ) ( 158640 22570 )
+    NEW met2 ( 158640 22570 ) ( 158640 28305 )
+    NEW met1 ( 158640 28305 ) ( 161520 28305 )
+    NEW met1 ( 158640 28305 ) M1M2_PR
+    NEW li1 ( 161520 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[51] ( PIN la_oenb[51] ) ( input241 A ) 
+  + ROUTED met2 ( 159600 3330 0 ) ( 159600 15355 )
+    NEW met1 ( 159600 15355 ) ( 166800 15355 )
+    NEW met2 ( 166800 15355 ) ( 166800 24975 )
+    NEW met1 ( 159600 15355 ) M1M2_PR
+    NEW met1 ( 166800 15355 ) M1M2_PR
+    NEW li1 ( 166800 24975 ) L1M1_PR_MR
+    NEW met1 ( 166800 24975 ) M1M2_PR
+    NEW met1 ( 166800 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[52] ( PIN la_oenb[52] ) ( input242 A ) 
+  + ROUTED met2 ( 161520 3330 0 ) ( 161520 14615 )
+    NEW met1 ( 161520 14615 ) ( 165840 14615 )
+    NEW met2 ( 165840 14615 ) ( 165840 28305 )
+    NEW met1 ( 161520 14615 ) M1M2_PR
+    NEW met1 ( 165840 14615 ) M1M2_PR
+    NEW li1 ( 165840 28305 ) L1M1_PR_MR
+    NEW met1 ( 165840 28305 ) M1M2_PR
+    NEW met1 ( 165840 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[53] ( PIN la_oenb[53] ) ( input243 A ) 
+  + ROUTED met2 ( 163440 3330 0 ) ( 163440 15725 )
+    NEW met1 ( 163440 15725 ) ( 167280 15725 )
+    NEW met2 ( 167280 15725 ) ( 167280 24975 )
+    NEW met1 ( 167280 24975 ) ( 170640 24975 )
+    NEW met1 ( 163440 15725 ) M1M2_PR
+    NEW met1 ( 167280 15725 ) M1M2_PR
+    NEW met1 ( 167280 24975 ) M1M2_PR
+    NEW li1 ( 170640 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[54] ( PIN la_oenb[54] ) ( input244 A ) 
+  + ROUTED met2 ( 165360 3330 0 ) ( 165360 13690 )
+    NEW met2 ( 165360 13690 ) ( 166320 13690 )
+    NEW met2 ( 166320 13690 ) ( 166320 28305 )
+    NEW met1 ( 166320 28305 ) ( 169200 28305 )
+    NEW met1 ( 166320 28305 ) M1M2_PR
+    NEW li1 ( 169200 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[55] ( PIN la_oenb[55] ) ( input245 A ) 
+  + ROUTED met2 ( 167280 3330 0 ) ( 167280 12765 )
+    NEW met1 ( 167280 12765 ) ( 168720 12765 )
+    NEW met2 ( 168720 12765 ) ( 168720 19425 )
+    NEW met1 ( 168720 19425 ) ( 174480 19425 )
+    NEW met2 ( 174480 19425 ) ( 174480 24975 )
+    NEW met1 ( 167280 12765 ) M1M2_PR
+    NEW met1 ( 168720 12765 ) M1M2_PR
+    NEW met1 ( 168720 19425 ) M1M2_PR
+    NEW met1 ( 174480 19425 ) M1M2_PR
+    NEW li1 ( 174480 24975 ) L1M1_PR_MR
+    NEW met1 ( 174480 24975 ) M1M2_PR
+    NEW met1 ( 174480 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[56] ( PIN la_oenb[56] ) ( input246 A ) 
+  + ROUTED met2 ( 169200 3330 0 ) ( 169200 15725 )
+    NEW met1 ( 169200 15725 ) ( 173520 15725 )
+    NEW met2 ( 173520 15725 ) ( 173520 28305 )
+    NEW met1 ( 169200 15725 ) M1M2_PR
+    NEW met1 ( 173520 15725 ) M1M2_PR
+    NEW li1 ( 173520 28305 ) L1M1_PR_MR
+    NEW met1 ( 173520 28305 ) M1M2_PR
+    NEW met1 ( 173520 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[57] ( PIN la_oenb[57] ) ( input247 A ) 
+  + ROUTED met2 ( 170640 3330 0 ) ( 170640 16095 )
+    NEW met1 ( 170640 16095 ) ( 176880 16095 )
+    NEW met2 ( 176880 16095 ) ( 176880 21645 )
+    NEW met1 ( 176880 21645 ) ( 180240 21645 )
+    NEW met1 ( 170640 16095 ) M1M2_PR
+    NEW met1 ( 176880 16095 ) M1M2_PR
+    NEW met1 ( 176880 21645 ) M1M2_PR
+    NEW li1 ( 180240 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[58] ( PIN la_oenb[58] ) ( input248 A ) 
+  + ROUTED met2 ( 172560 3330 0 ) ( 172560 15355 )
+    NEW met1 ( 172560 15355 ) ( 178320 15355 )
+    NEW met2 ( 178320 15355 ) ( 178320 24975 )
+    NEW met1 ( 172560 15355 ) M1M2_PR
+    NEW met1 ( 178320 15355 ) M1M2_PR
+    NEW li1 ( 178320 24975 ) L1M1_PR_MR
+    NEW met1 ( 178320 24975 ) M1M2_PR
+    NEW met1 ( 178320 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[59] ( PIN la_oenb[59] ) ( input249 A ) 
+  + ROUTED met2 ( 174480 3330 0 ) ( 174480 8695 )
+    NEW met1 ( 174480 8695 ) ( 179760 8695 )
+    NEW met2 ( 179760 8695 ) ( 179760 15355 )
+    NEW met1 ( 179760 15355 ) ( 180720 15355 )
+    NEW met2 ( 180720 15355 ) ( 180720 24975 )
+    NEW met1 ( 180720 24975 ) ( 182160 24975 )
+    NEW met1 ( 174480 8695 ) M1M2_PR
+    NEW met1 ( 179760 8695 ) M1M2_PR
+    NEW met1 ( 179760 15355 ) M1M2_PR
+    NEW met1 ( 180720 15355 ) M1M2_PR
+    NEW met1 ( 180720 24975 ) M1M2_PR
+    NEW li1 ( 182160 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[5] ( PIN la_oenb[5] ) ( input250 A ) 
+  + ROUTED met2 ( 75120 3330 0 ) ( 75120 28305 )
+    NEW li1 ( 75120 28305 ) L1M1_PR_MR
+    NEW met1 ( 75120 28305 ) M1M2_PR
+    NEW met1 ( 75120 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[60] ( PIN la_oenb[60] ) ( input251 A ) 
+  + ROUTED met2 ( 176400 3330 0 ) ( 176400 12210 )
+    NEW met2 ( 176400 12210 ) ( 176880 12210 )
+    NEW met2 ( 176880 12210 ) ( 176880 14615 )
+    NEW met1 ( 176880 14615 ) ( 180240 14615 )
+    NEW met2 ( 180240 14615 ) ( 180240 28305 )
+    NEW met1 ( 176880 14615 ) M1M2_PR
+    NEW met1 ( 180240 14615 ) M1M2_PR
+    NEW li1 ( 180240 28305 ) L1M1_PR_MR
+    NEW met1 ( 180240 28305 ) M1M2_PR
+    NEW met1 ( 180240 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[61] ( PIN la_oenb[61] ) ( input252 A ) 
+  + ROUTED met2 ( 178320 3330 0 ) ( 178320 14245 )
+    NEW met1 ( 178320 14245 ) ( 178800 14245 )
+    NEW li1 ( 178800 14245 ) ( 178800 15725 )
+    NEW met1 ( 178800 15725 ) ( 184560 15725 )
+    NEW met2 ( 184560 15725 ) ( 184560 24975 )
+    NEW met1 ( 184560 24975 ) ( 186000 24975 )
+    NEW met1 ( 178320 14245 ) M1M2_PR
+    NEW li1 ( 178800 14245 ) L1M1_PR_MR
+    NEW li1 ( 178800 15725 ) L1M1_PR_MR
+    NEW met1 ( 184560 15725 ) M1M2_PR
+    NEW met1 ( 184560 24975 ) M1M2_PR
+    NEW li1 ( 186000 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[62] ( PIN la_oenb[62] ) ( input253 A ) 
+  + ROUTED met2 ( 180240 3330 0 ) ( 180240 13875 )
+    NEW met1 ( 180240 13875 ) ( 181200 13875 )
+    NEW met2 ( 181200 13875 ) ( 181200 28305 )
+    NEW met1 ( 181200 28305 ) ( 184080 28305 )
+    NEW met1 ( 180240 13875 ) M1M2_PR
+    NEW met1 ( 181200 13875 ) M1M2_PR
+    NEW met1 ( 181200 28305 ) M1M2_PR
+    NEW li1 ( 184080 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[63] ( PIN la_oenb[63] ) ( input254 A ) 
+  + ROUTED met2 ( 181680 3330 0 ) ( 181680 11655 )
+    NEW met1 ( 181680 11655 ) ( 181680 12765 )
+    NEW met2 ( 181680 12765 ) ( 181680 31635 )
+    NEW met1 ( 181680 11655 ) M1M2_PR
+    NEW met1 ( 181680 12765 ) M1M2_PR
+    NEW li1 ( 181680 31635 ) L1M1_PR_MR
+    NEW met1 ( 181680 31635 ) M1M2_PR
+    NEW met1 ( 181680 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[64] ( PIN la_oenb[64] ) ( input255 A ) 
+  + ROUTED met2 ( 187920 15355 ) ( 187920 28305 )
+    NEW met2 ( 183600 3330 0 ) ( 183600 15355 )
+    NEW met1 ( 183600 15355 ) ( 187920 15355 )
+    NEW met1 ( 187920 15355 ) M1M2_PR
+    NEW li1 ( 187920 28305 ) L1M1_PR_MR
+    NEW met1 ( 187920 28305 ) M1M2_PR
+    NEW met1 ( 183600 15355 ) M1M2_PR
+    NEW met1 ( 187920 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[65] ( PIN la_oenb[65] ) ( input256 A ) 
+  + ROUTED met2 ( 193200 15725 ) ( 193200 24975 )
+    NEW met2 ( 185520 3330 0 ) ( 185520 15725 )
+    NEW met1 ( 185520 15725 ) ( 193200 15725 )
+    NEW met1 ( 193200 15725 ) M1M2_PR
+    NEW li1 ( 193200 24975 ) L1M1_PR_MR
+    NEW met1 ( 193200 24975 ) M1M2_PR
+    NEW met1 ( 185520 15725 ) M1M2_PR
+    NEW met1 ( 193200 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[66] ( PIN la_oenb[66] ) ( input257 A ) 
+  + ROUTED met2 ( 187440 3330 0 ) ( 187440 27565 )
+    NEW met1 ( 187440 27565 ) ( 191760 27565 )
+    NEW met1 ( 191760 27565 ) ( 191760 28305 )
+    NEW met1 ( 187440 27565 ) M1M2_PR
+    NEW li1 ( 191760 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[67] ( PIN la_oenb[67] ) ( input258 A ) 
+  + ROUTED met2 ( 189360 3330 0 ) ( 189360 19055 )
+    NEW met1 ( 189360 19055 ) ( 197040 19055 )
+    NEW met2 ( 197040 19055 ) ( 197040 24975 )
+    NEW met1 ( 189360 19055 ) M1M2_PR
+    NEW met1 ( 197040 19055 ) M1M2_PR
+    NEW li1 ( 197040 24975 ) L1M1_PR_MR
+    NEW met1 ( 197040 24975 ) M1M2_PR
+    NEW met1 ( 197040 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[68] ( PIN la_oenb[68] ) ( input259 A ) 
+  + ROUTED met2 ( 190800 3330 0 ) ( 190800 14615 )
+    NEW met1 ( 190800 14615 ) ( 195600 14615 )
+    NEW met2 ( 195600 14615 ) ( 195600 28305 )
+    NEW met1 ( 190800 14615 ) M1M2_PR
+    NEW met1 ( 195600 14615 ) M1M2_PR
+    NEW li1 ( 195600 28305 ) L1M1_PR_MR
+    NEW met1 ( 195600 28305 ) M1M2_PR
+    NEW met1 ( 195600 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[69] ( PIN la_oenb[69] ) ( input260 A ) 
+  + ROUTED met2 ( 192720 3330 0 ) ( 192720 16095 )
+    NEW met1 ( 192720 16095 ) ( 200880 16095 )
+    NEW met2 ( 200880 16095 ) ( 200880 24975 )
+    NEW met1 ( 192720 16095 ) M1M2_PR
+    NEW met1 ( 200880 16095 ) M1M2_PR
+    NEW li1 ( 200880 24975 ) L1M1_PR_MR
+    NEW met1 ( 200880 24975 ) M1M2_PR
+    NEW met1 ( 200880 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[6] ( PIN la_oenb[6] ) ( input261 A ) 
+  + ROUTED met2 ( 77040 3330 0 ) ( 77040 8325 )
+    NEW met1 ( 75600 8325 ) ( 77040 8325 )
+    NEW met2 ( 75600 8325 ) ( 75600 20535 )
+    NEW met1 ( 75600 20535 ) ( 81360 20535 )
+    NEW met2 ( 81360 20535 ) ( 81360 24975 )
+    NEW met1 ( 77040 8325 ) M1M2_PR
+    NEW met1 ( 75600 8325 ) M1M2_PR
+    NEW met1 ( 75600 20535 ) M1M2_PR
+    NEW met1 ( 81360 20535 ) M1M2_PR
+    NEW li1 ( 81360 24975 ) L1M1_PR_MR
+    NEW met1 ( 81360 24975 ) M1M2_PR
+    NEW met1 ( 81360 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[70] ( PIN la_oenb[70] ) ( input262 A ) 
+  + ROUTED met2 ( 194640 3330 0 ) ( 194640 31635 )
+    NEW li1 ( 194640 31635 ) L1M1_PR_MR
+    NEW met1 ( 194640 31635 ) M1M2_PR
+    NEW met1 ( 194640 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[71] ( PIN la_oenb[71] ) ( input263 A ) 
+  + ROUTED met2 ( 196560 3330 0 ) ( 196560 28305 )
+    NEW met1 ( 196560 28305 ) ( 199440 28305 )
+    NEW met1 ( 196560 28305 ) M1M2_PR
+    NEW li1 ( 199440 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[72] ( PIN la_oenb[72] ) ( input264 A ) 
+  + ROUTED met2 ( 198480 3330 0 ) ( 198480 14615 )
+    NEW met1 ( 198480 14615 ) ( 204720 14615 )
+    NEW met2 ( 204720 14615 ) ( 204720 24975 )
+    NEW met1 ( 198480 14615 ) M1M2_PR
+    NEW met1 ( 204720 14615 ) M1M2_PR
+    NEW li1 ( 204720 24975 ) L1M1_PR_MR
+    NEW met1 ( 204720 24975 ) M1M2_PR
+    NEW met1 ( 204720 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[73] ( PIN la_oenb[73] ) ( input265 A ) 
+  + ROUTED met2 ( 200400 3330 0 ) ( 200400 19425 )
+    NEW met1 ( 200400 19425 ) ( 208560 19425 )
+    NEW met2 ( 208560 19425 ) ( 208560 24975 )
+    NEW met1 ( 200400 19425 ) M1M2_PR
+    NEW met1 ( 208560 19425 ) M1M2_PR
+    NEW li1 ( 208560 24975 ) L1M1_PR_MR
+    NEW met1 ( 208560 24975 ) M1M2_PR
+    NEW met1 ( 208560 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[74] ( PIN la_oenb[74] ) ( input266 A ) 
+  + ROUTED met2 ( 201840 3330 0 ) ( 201840 31635 )
+    NEW li1 ( 201840 31635 ) L1M1_PR_MR
+    NEW met1 ( 201840 31635 ) M1M2_PR
+    NEW met1 ( 201840 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[75] ( PIN la_oenb[75] ) ( input267 A ) 
+  + ROUTED met2 ( 203760 3330 0 ) ( 203760 28305 )
+    NEW met1 ( 203760 28305 ) ( 206640 28305 )
+    NEW met1 ( 203760 28305 ) M1M2_PR
+    NEW li1 ( 206640 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[76] ( PIN la_oenb[76] ) ( input268 A ) 
+  + ROUTED met2 ( 205680 3330 0 ) ( 205680 19055 )
+    NEW met1 ( 205680 19055 ) ( 212400 19055 )
+    NEW met2 ( 212400 19055 ) ( 212400 24975 )
+    NEW met1 ( 205680 19055 ) M1M2_PR
+    NEW met1 ( 212400 19055 ) M1M2_PR
+    NEW li1 ( 212400 24975 ) L1M1_PR_MR
+    NEW met1 ( 212400 24975 ) M1M2_PR
+    NEW met1 ( 212400 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[77] ( PIN la_oenb[77] ) ( input269 A ) 
+  + ROUTED met2 ( 207600 3330 0 ) ( 207600 18870 )
+    NEW met2 ( 207600 18870 ) ( 209040 18870 )
+    NEW met2 ( 209040 18870 ) ( 209040 28305 )
+    NEW met1 ( 209040 28305 ) ( 210480 28305 )
+    NEW met1 ( 209040 28305 ) M1M2_PR
+    NEW li1 ( 210480 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[78] ( PIN la_oenb[78] ) ( input270 A ) 
+  + ROUTED met2 ( 209520 3330 0 ) ( 209520 31635 )
+    NEW li1 ( 209520 31635 ) L1M1_PR_MR
+    NEW met1 ( 209520 31635 ) M1M2_PR
+    NEW met1 ( 209520 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[79] ( PIN la_oenb[79] ) ( input271 A ) 
+  + ROUTED met2 ( 211440 3330 0 ) ( 211440 28305 )
+    NEW met1 ( 211440 28305 ) ( 214320 28305 )
+    NEW met1 ( 211440 28305 ) M1M2_PR
+    NEW li1 ( 214320 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[7] ( PIN la_oenb[7] ) ( input272 A ) 
+  + ROUTED met2 ( 78960 3330 0 ) ( 78960 14430 )
+    NEW met2 ( 78960 14430 ) ( 79440 14430 )
+    NEW met2 ( 79440 14430 ) ( 79440 28305 )
+    NEW li1 ( 79440 28305 ) L1M1_PR_MR
+    NEW met1 ( 79440 28305 ) M1M2_PR
+    NEW met1 ( 79440 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[80] ( PIN la_oenb[80] ) ( input273 A ) 
+  + ROUTED met2 ( 212880 3330 0 ) ( 212880 15355 )
+    NEW met1 ( 212880 15355 ) ( 216720 15355 )
+    NEW met2 ( 216720 15355 ) ( 216720 24975 )
+    NEW met1 ( 216720 24975 ) ( 219600 24975 )
+    NEW met1 ( 212880 15355 ) M1M2_PR
+    NEW met1 ( 216720 15355 ) M1M2_PR
+    NEW met1 ( 216720 24975 ) M1M2_PR
+    NEW li1 ( 219600 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[81] ( PIN la_oenb[81] ) ( input274 A ) 
+  + ROUTED met2 ( 214800 3330 0 ) ( 214800 15355 )
+    NEW met2 ( 214800 15355 ) ( 216240 15355 )
+    NEW met2 ( 216240 15355 ) ( 216240 28305 )
+    NEW met1 ( 216240 28305 ) ( 218160 28305 )
+    NEW met1 ( 216240 28305 ) M1M2_PR
+    NEW li1 ( 218160 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[82] ( PIN la_oenb[82] ) ( input275 A ) 
+  + ROUTED met2 ( 216720 3330 0 ) ( 216720 14615 )
+    NEW met1 ( 216720 14615 ) ( 217680 14615 )
+    NEW met2 ( 217680 14615 ) ( 217680 17205 )
+    NEW met1 ( 217680 17205 ) ( 223920 17205 )
+    NEW met2 ( 223920 17205 ) ( 223920 24975 )
+    NEW met1 ( 216720 14615 ) M1M2_PR
+    NEW met1 ( 217680 14615 ) M1M2_PR
+    NEW met1 ( 217680 17205 ) M1M2_PR
+    NEW met1 ( 223920 17205 ) M1M2_PR
+    NEW li1 ( 223920 24975 ) L1M1_PR_MR
+    NEW met1 ( 223920 24975 ) M1M2_PR
+    NEW met1 ( 223920 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[83] ( PIN la_oenb[83] ) ( input276 A ) 
+  + ROUTED met2 ( 218640 3330 0 ) ( 218640 28305 )
+    NEW met1 ( 218640 28305 ) ( 222000 28305 )
+    NEW met1 ( 218640 28305 ) M1M2_PR
+    NEW li1 ( 222000 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[84] ( PIN la_oenb[84] ) ( input277 A ) 
+  + ROUTED met2 ( 220560 3330 0 ) ( 220560 16095 )
+    NEW met1 ( 220560 16095 ) ( 227280 16095 )
+    NEW met2 ( 227280 16095 ) ( 227280 24975 )
+    NEW met1 ( 220560 16095 ) M1M2_PR
+    NEW met1 ( 227280 16095 ) M1M2_PR
+    NEW li1 ( 227280 24975 ) L1M1_PR_MR
+    NEW met1 ( 227280 24975 ) M1M2_PR
+    NEW met1 ( 227280 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[85] ( PIN la_oenb[85] ) ( input278 A ) 
+  + ROUTED met2 ( 222480 3330 0 ) ( 222480 15355 )
+    NEW met1 ( 222480 15355 ) ( 225840 15355 )
+    NEW met2 ( 225840 15355 ) ( 225840 28305 )
+    NEW met1 ( 222480 15355 ) M1M2_PR
+    NEW met1 ( 225840 15355 ) M1M2_PR
+    NEW li1 ( 225840 28305 ) L1M1_PR_MR
+    NEW met1 ( 225840 28305 ) M1M2_PR
+    NEW met1 ( 225840 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[86] ( PIN la_oenb[86] ) ( input279 A ) 
+  + ROUTED met2 ( 223920 3330 0 ) ( 223920 15725 )
+    NEW met1 ( 223920 15725 ) ( 231120 15725 )
+    NEW met2 ( 231120 15725 ) ( 231120 24975 )
+    NEW met1 ( 223920 15725 ) M1M2_PR
+    NEW met1 ( 231120 15725 ) M1M2_PR
+    NEW li1 ( 231120 24975 ) L1M1_PR_MR
+    NEW met1 ( 231120 24975 ) M1M2_PR
+    NEW met1 ( 231120 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[87] ( PIN la_oenb[87] ) ( input280 A ) 
+  + ROUTED met2 ( 225840 3330 0 ) ( 225840 14245 )
+    NEW met1 ( 225840 14245 ) ( 227760 14245 )
+    NEW met1 ( 227760 14245 ) ( 227760 14615 )
+    NEW met1 ( 227760 14615 ) ( 228720 14615 )
+    NEW met2 ( 228720 14615 ) ( 228720 16095 )
+    NEW met1 ( 228720 16095 ) ( 231600 16095 )
+    NEW met2 ( 231600 16095 ) ( 231600 24975 )
+    NEW met1 ( 231600 24975 ) ( 234960 24975 )
+    NEW met1 ( 225840 14245 ) M1M2_PR
+    NEW met1 ( 228720 14615 ) M1M2_PR
+    NEW met1 ( 228720 16095 ) M1M2_PR
+    NEW met1 ( 231600 16095 ) M1M2_PR
+    NEW met1 ( 231600 24975 ) M1M2_PR
+    NEW li1 ( 234960 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[88] ( PIN la_oenb[88] ) ( input281 A ) 
+  + ROUTED met2 ( 227760 3330 0 ) ( 227760 31635 )
+    NEW li1 ( 227760 31635 ) L1M1_PR_MR
+    NEW met1 ( 227760 31635 ) M1M2_PR
+    NEW met1 ( 227760 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[89] ( PIN la_oenb[89] ) ( input282 A ) 
+  + ROUTED met2 ( 229680 3330 0 ) ( 229680 18130 )
+    NEW met2 ( 229680 18130 ) ( 230640 18130 )
+    NEW met2 ( 230640 18130 ) ( 230640 28305 )
+    NEW met1 ( 230640 28305 ) ( 233040 28305 )
+    NEW met1 ( 230640 28305 ) M1M2_PR
+    NEW li1 ( 233040 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[8] ( PIN la_oenb[8] ) ( input283 A ) 
+  + ROUTED met2 ( 80880 3330 0 ) ( 80880 28305 )
+    NEW met1 ( 80880 28305 ) ( 82800 28305 )
+    NEW met1 ( 80880 28305 ) M1M2_PR
+    NEW li1 ( 82800 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[90] ( PIN la_oenb[90] ) ( input284 A ) 
+  + ROUTED met2 ( 231600 3330 0 ) ( 231600 15355 )
+    NEW met2 ( 238320 15355 ) ( 238320 24975 )
+    NEW met1 ( 238320 24975 ) ( 238800 24975 )
+    NEW met1 ( 231600 15355 ) ( 238320 15355 )
+    NEW met1 ( 231600 15355 ) M1M2_PR
+    NEW met1 ( 238320 15355 ) M1M2_PR
+    NEW met1 ( 238320 24975 ) M1M2_PR
+    NEW li1 ( 238800 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[91] ( PIN la_oenb[91] ) ( input285 A ) 
+  + ROUTED met2 ( 233040 3330 0 ) ( 233040 28305 )
+    NEW met2 ( 233040 28305 ) ( 233520 28305 )
+    NEW met1 ( 233520 28305 ) ( 236880 28305 )
+    NEW met1 ( 233520 28305 ) M1M2_PR
+    NEW li1 ( 236880 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[92] ( PIN la_oenb[92] ) ( input286 A ) 
+  + ROUTED met2 ( 234960 3330 0 ) ( 234960 31635 )
+    NEW li1 ( 234960 31635 ) L1M1_PR_MR
+    NEW met1 ( 234960 31635 ) M1M2_PR
+    NEW met1 ( 234960 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[93] ( PIN la_oenb[93] ) ( input287 A ) 
+  + ROUTED met2 ( 236880 3330 0 ) ( 236880 21830 )
+    NEW met2 ( 237840 21830 ) ( 237840 28305 )
+    NEW met1 ( 237840 28305 ) ( 240720 28305 )
+    NEW met2 ( 236880 21830 ) ( 237840 21830 )
+    NEW met1 ( 237840 28305 ) M1M2_PR
+    NEW li1 ( 240720 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[94] ( PIN la_oenb[94] ) ( input288 A ) 
+  + ROUTED met2 ( 238800 3330 0 ) ( 238800 31635 )
+    NEW li1 ( 238800 31635 ) L1M1_PR_MR
+    NEW met1 ( 238800 31635 ) M1M2_PR
+    NEW met1 ( 238800 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[95] ( PIN la_oenb[95] ) ( input289 A ) 
+  + ROUTED met2 ( 240720 3330 0 ) ( 240720 15725 )
+    NEW met1 ( 240720 15725 ) ( 245520 15725 )
+    NEW met2 ( 245520 15725 ) ( 245520 28305 )
+    NEW met1 ( 245040 28305 ) ( 245520 28305 )
+    NEW met1 ( 240720 15725 ) M1M2_PR
+    NEW met1 ( 245520 15725 ) M1M2_PR
+    NEW met1 ( 245520 28305 ) M1M2_PR
+    NEW li1 ( 245040 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[96] ( PIN la_oenb[96] ) ( input290 A ) 
+  + ROUTED met2 ( 242640 3330 0 ) ( 242640 16095 )
+    NEW met1 ( 242640 16095 ) ( 248400 16095 )
+    NEW met2 ( 248400 16095 ) ( 248400 28305 )
+    NEW met1 ( 242640 16095 ) M1M2_PR
+    NEW met1 ( 248400 16095 ) M1M2_PR
+    NEW li1 ( 248400 28305 ) L1M1_PR_MR
+    NEW met1 ( 248400 28305 ) M1M2_PR
+    NEW met1 ( 248400 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[97] ( PIN la_oenb[97] ) ( input291 A ) 
+  + ROUTED met2 ( 244080 3330 0 ) ( 244080 31635 )
+    NEW met1 ( 244080 31635 ) ( 246000 31635 )
+    NEW met1 ( 244080 31635 ) M1M2_PR
+    NEW li1 ( 246000 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[98] ( PIN la_oenb[98] ) ( input292 A ) 
+  + ROUTED met2 ( 246000 3330 0 ) ( 246000 19055 )
+    NEW met1 ( 246000 19055 ) ( 253680 19055 )
+    NEW met2 ( 253680 19055 ) ( 253680 28305 )
+    NEW met1 ( 252720 28305 ) ( 253680 28305 )
+    NEW met1 ( 246000 19055 ) M1M2_PR
+    NEW met1 ( 253680 19055 ) M1M2_PR
+    NEW met1 ( 253680 28305 ) M1M2_PR
+    NEW li1 ( 252720 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[99] ( PIN la_oenb[99] ) ( input293 A ) 
+  + ROUTED met2 ( 247920 3330 0 ) ( 247920 31635 )
+    NEW met1 ( 247920 31635 ) ( 249840 31635 )
+    NEW met1 ( 247920 31635 ) M1M2_PR
+    NEW li1 ( 249840 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_oenb[9] ( PIN la_oenb[9] ) ( input294 A ) 
+  + ROUTED met2 ( 86640 19055 ) ( 86640 28305 )
+    NEW met2 ( 82800 3330 0 ) ( 82800 19055 )
+    NEW met1 ( 82800 19055 ) ( 86640 19055 )
+    NEW met1 ( 86640 19055 ) M1M2_PR
+    NEW li1 ( 86640 28305 ) L1M1_PR_MR
+    NEW met1 ( 86640 28305 ) M1M2_PR
+    NEW met1 ( 82800 19055 ) M1M2_PR
+    NEW met1 ( 86640 28305 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- wb_clk_i ( PIN wb_clk_i ) ( input295 A ) 
+  + ROUTED met2 ( 240 3330 0 ) ( 240 14985 )
+    NEW met1 ( 240 14985 ) ( 7920 14985 )
+    NEW met1 ( 240 14985 ) M1M2_PR
+    NEW li1 ( 7920 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wb_rst_i ( PIN wb_rst_i ) ( input296 A ) 
+  + ROUTED met2 ( 720 3330 0 ) ( 720 18315 )
+    NEW met1 ( 720 18315 ) ( 7920 18315 )
+    NEW met1 ( 720 18315 ) M1M2_PR
+    NEW li1 ( 7920 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_ack_o ( PIN wbs_ack_o ) ( output575 X ) 
+  + ROUTED met2 ( 1200 3330 0 ) ( 1200 15725 )
+    NEW met1 ( 1200 15725 ) ( 8880 15725 )
+    NEW met2 ( 8880 15725 ) ( 8880 36000 )
+    NEW met2 ( 8400 36000 ) ( 8880 36000 )
+    NEW met2 ( 8400 36000 ) ( 8400 41255 )
+    NEW met1 ( 1200 15725 ) M1M2_PR
+    NEW met1 ( 8880 15725 ) M1M2_PR
+    NEW li1 ( 8400 41255 ) L1M1_PR_MR
+    NEW met1 ( 8400 41255 ) M1M2_PR
+    NEW met1 ( 8400 41255 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( input297 A ) 
+  + ROUTED met2 ( 3600 3330 0 ) ( 3600 14615 )
+    NEW met1 ( 3600 14615 ) ( 8400 14615 )
+    NEW met1 ( 8400 14615 ) ( 8400 14985 )
+    NEW met1 ( 8400 14985 ) ( 11760 14985 )
+    NEW met1 ( 3600 14615 ) M1M2_PR
+    NEW li1 ( 11760 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( input298 A ) 
+  + ROUTED met2 ( 24720 3330 0 ) ( 24720 14985 )
+    NEW li1 ( 24720 14985 ) L1M1_PR_MR
+    NEW met1 ( 24720 14985 ) M1M2_PR
+    NEW met1 ( 24720 14985 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( input299 A ) 
+  + ROUTED met1 ( 26160 14985 ) ( 28560 14985 )
+    NEW met2 ( 26160 3330 0 ) ( 26160 14985 )
+    NEW met1 ( 26160 14985 ) M1M2_PR
+    NEW li1 ( 28560 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( input300 A ) 
+  + ROUTED met2 ( 28080 3330 0 ) ( 28080 18315 )
+    NEW li1 ( 28080 18315 ) L1M1_PR_MR
+    NEW met1 ( 28080 18315 ) M1M2_PR
+    NEW met1 ( 28080 18315 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( input301 A ) 
+  + ROUTED met1 ( 30000 14985 ) ( 35280 14985 )
+    NEW met2 ( 30000 3330 0 ) ( 30000 14985 )
+    NEW met1 ( 30000 14985 ) M1M2_PR
+    NEW li1 ( 35280 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( input302 A ) 
+  + ROUTED met1 ( 31920 18315 ) ( 34800 18315 )
+    NEW met2 ( 31920 3330 0 ) ( 31920 18315 )
+    NEW met1 ( 31920 18315 ) M1M2_PR
+    NEW li1 ( 34800 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( input303 A ) 
+  + ROUTED met1 ( 33840 14615 ) ( 39120 14615 )
+    NEW met1 ( 39120 14615 ) ( 39120 14985 )
+    NEW met2 ( 33840 3330 0 ) ( 33840 14615 )
+    NEW met1 ( 33840 14615 ) M1M2_PR
+    NEW li1 ( 39120 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( input304 A ) 
+  + ROUTED met1 ( 35280 18315 ) ( 38640 18315 )
+    NEW met2 ( 35280 3330 0 ) ( 35280 18315 )
+    NEW met1 ( 35280 18315 ) M1M2_PR
+    NEW li1 ( 38640 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( input305 A ) 
+  + ROUTED met2 ( 37200 3330 0 ) ( 37200 21645 )
+    NEW li1 ( 37200 21645 ) L1M1_PR_MR
+    NEW met1 ( 37200 21645 ) M1M2_PR
+    NEW met1 ( 37200 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( input306 A ) 
+  + ROUTED met1 ( 39120 18315 ) ( 42480 18315 )
+    NEW met2 ( 39120 3330 0 ) ( 39120 18315 )
+    NEW met1 ( 39120 18315 ) M1M2_PR
+    NEW li1 ( 42480 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( input307 A ) 
+  + ROUTED met1 ( 41040 14985 ) ( 48720 14985 )
+    NEW met2 ( 41040 3330 0 ) ( 41040 14985 )
+    NEW met1 ( 41040 14985 ) M1M2_PR
+    NEW li1 ( 48720 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( input308 A ) 
+  + ROUTED met2 ( 6000 3330 0 ) ( 6000 21645 )
+    NEW met1 ( 6000 21645 ) ( 7920 21645 )
+    NEW met1 ( 6000 21645 ) M1M2_PR
+    NEW li1 ( 7920 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( input309 A ) 
+  + ROUTED met1 ( 42960 18315 ) ( 46320 18315 )
+    NEW met2 ( 42960 3330 0 ) ( 42960 18315 )
+    NEW met1 ( 42960 18315 ) M1M2_PR
+    NEW li1 ( 46320 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( input310 A ) 
+  + ROUTED met1 ( 44880 14615 ) ( 52560 14615 )
+    NEW met1 ( 52560 14615 ) ( 52560 14985 )
+    NEW met2 ( 44880 3330 0 ) ( 44880 14615 )
+    NEW met1 ( 44880 14615 ) M1M2_PR
+    NEW li1 ( 52560 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( input311 A ) 
+  + ROUTED met2 ( 46320 3330 0 ) ( 46320 14400 )
+    NEW met2 ( 46320 14400 ) ( 46800 14400 )
+    NEW met2 ( 46800 14400 ) ( 46800 18315 )
+    NEW met1 ( 46800 18315 ) ( 50160 18315 )
+    NEW met1 ( 46800 18315 ) M1M2_PR
+    NEW li1 ( 50160 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( input312 A ) 
+  + ROUTED met2 ( 48240 3330 0 ) ( 48240 21645 )
+    NEW li1 ( 48240 21645 ) L1M1_PR_MR
+    NEW met1 ( 48240 21645 ) M1M2_PR
+    NEW met1 ( 48240 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( input313 A ) 
+  + ROUTED met1 ( 50160 17575 ) ( 54000 17575 )
+    NEW met1 ( 54000 17575 ) ( 54000 18315 )
+    NEW met2 ( 50160 3330 0 ) ( 50160 17575 )
+    NEW met1 ( 50160 17575 ) M1M2_PR
+    NEW li1 ( 54000 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( input314 A ) 
+  + ROUTED met2 ( 52080 3330 0 ) ( 52080 21645 )
+    NEW li1 ( 52080 21645 ) L1M1_PR_MR
+    NEW met1 ( 52080 21645 ) M1M2_PR
+    NEW met1 ( 52080 21645 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( input315 A ) 
+  + ROUTED met1 ( 54000 21645 ) ( 55920 21645 )
+    NEW met2 ( 54000 3330 0 ) ( 54000 21645 )
+    NEW met1 ( 54000 21645 ) M1M2_PR
+    NEW li1 ( 55920 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( input316 A ) 
+  + ROUTED met1 ( 55920 20905 ) ( 59760 20905 )
+    NEW met1 ( 59760 20905 ) ( 59760 21645 )
+    NEW met2 ( 55920 3330 0 ) ( 55920 20905 )
+    NEW met1 ( 55920 20905 ) M1M2_PR
+    NEW li1 ( 59760 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( input317 A ) 
+  + ROUTED met1 ( 57360 20535 ) ( 63600 20535 )
+    NEW met1 ( 63600 20535 ) ( 63600 21645 )
+    NEW met2 ( 57360 3330 0 ) ( 57360 20535 )
+    NEW met1 ( 57360 20535 ) M1M2_PR
+    NEW li1 ( 63600 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( input318 A ) 
+  + ROUTED met1 ( 59280 24975 ) ( 61200 24975 )
+    NEW met2 ( 59280 3330 0 ) ( 59280 24975 )
+    NEW met1 ( 59280 24975 ) M1M2_PR
+    NEW li1 ( 61200 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( input319 A ) 
+  + ROUTED met2 ( 8400 3330 0 ) ( 8400 18315 )
+    NEW met1 ( 8400 18315 ) ( 11760 18315 )
+    NEW met1 ( 8400 18315 ) M1M2_PR
+    NEW li1 ( 11760 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( input320 A ) 
+  + ROUTED met2 ( 65040 15725 ) ( 65040 24975 )
+    NEW met2 ( 61200 3330 0 ) ( 61200 15725 )
+    NEW met1 ( 61200 15725 ) ( 65040 15725 )
+    NEW met1 ( 65040 15725 ) M1M2_PR
+    NEW li1 ( 65040 24975 ) L1M1_PR_MR
+    NEW met1 ( 65040 24975 ) M1M2_PR
+    NEW met1 ( 61200 15725 ) M1M2_PR
+    NEW met1 ( 65040 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( input321 A ) 
+  + ROUTED met2 ( 63120 3330 0 ) ( 63120 28305 )
+    NEW li1 ( 63120 28305 ) L1M1_PR_MR
+    NEW met1 ( 63120 28305 ) M1M2_PR
+    NEW met1 ( 63120 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( input322 A ) 
+  + ROUTED met2 ( 10800 3330 0 ) ( 10800 14615 )
+    NEW met1 ( 10800 14615 ) ( 14400 14615 )
+    NEW met1 ( 14400 14615 ) ( 14400 14985 )
+    NEW met1 ( 14400 14985 ) ( 15600 14985 )
+    NEW met1 ( 10800 14615 ) M1M2_PR
+    NEW li1 ( 15600 14985 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( input323 A ) 
+  + ROUTED met2 ( 13680 3330 0 ) ( 13680 18315 )
+    NEW met1 ( 13680 18315 ) ( 15600 18315 )
+    NEW met1 ( 13680 18315 ) M1M2_PR
+    NEW li1 ( 15600 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( input324 A ) 
+  + ROUTED met2 ( 15120 3330 0 ) ( 15120 9250 )
+    NEW met2 ( 15120 9250 ) ( 15600 9250 )
+    NEW met1 ( 15600 16095 ) ( 18000 16095 )
+    NEW met2 ( 18000 16095 ) ( 18000 18315 )
+    NEW met1 ( 18000 18315 ) ( 19440 18315 )
+    NEW met2 ( 15600 9250 ) ( 15600 16095 )
+    NEW met1 ( 15600 16095 ) M1M2_PR
+    NEW met1 ( 18000 16095 ) M1M2_PR
+    NEW met1 ( 18000 18315 ) M1M2_PR
+    NEW li1 ( 19440 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( input325 A ) 
+  + ROUTED met1 ( 17040 18685 ) ( 23280 18685 )
+    NEW met1 ( 23280 18315 ) ( 23280 18685 )
+    NEW met2 ( 17040 3330 0 ) ( 17040 18685 )
+    NEW met1 ( 17040 18685 ) M1M2_PR
+    NEW li1 ( 23280 18315 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( input326 A ) 
+  + ROUTED met1 ( 18960 21275 ) ( 21840 21275 )
+    NEW met1 ( 21840 21275 ) ( 21840 21645 )
+    NEW met2 ( 18960 3330 0 ) ( 18960 21275 )
+    NEW met1 ( 18960 21275 ) M1M2_PR
+    NEW li1 ( 21840 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( input327 A ) 
+  + ROUTED met2 ( 20880 3330 0 ) ( 20880 24975 )
+    NEW li1 ( 20880 24975 ) L1M1_PR_MR
+    NEW met1 ( 20880 24975 ) M1M2_PR
+    NEW met1 ( 20880 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( input328 A ) 
+  + ROUTED met2 ( 22800 3330 0 ) ( 22800 9435 )
+    NEW met1 ( 22800 9435 ) ( 24240 9435 )
+    NEW met2 ( 24240 9435 ) ( 24240 10730 )
+    NEW met2 ( 23760 10730 ) ( 24240 10730 )
+    NEW met1 ( 23760 21645 ) ( 25680 21645 )
+    NEW met2 ( 23760 10730 ) ( 23760 21645 )
+    NEW met1 ( 22800 9435 ) M1M2_PR
+    NEW met1 ( 24240 9435 ) M1M2_PR
+    NEW met1 ( 23760 21645 ) M1M2_PR
+    NEW li1 ( 25680 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_cyc_i ( PIN wbs_cyc_i ) ( input329 A ) 
+  + ROUTED met2 ( 1680 3330 0 ) ( 1680 24975 )
+    NEW met1 ( 1680 24975 ) ( 7920 24975 )
+    NEW met1 ( 1680 24975 ) M1M2_PR
+    NEW li1 ( 7920 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( input330 A ) 
+  + ROUTED met2 ( 4080 3330 0 ) ( 4080 22015 )
+    NEW met1 ( 4080 22015 ) ( 11760 22015 )
+    NEW met1 ( 11760 21645 ) ( 11760 22015 )
+    NEW met1 ( 4080 22015 ) M1M2_PR
+    NEW li1 ( 11760 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( input331 A ) 
+  + ROUTED met1 ( 25200 21275 ) ( 28080 21275 )
+    NEW met1 ( 28080 21275 ) ( 28080 21645 )
+    NEW met1 ( 28080 21645 ) ( 29520 21645 )
+    NEW met2 ( 25200 3330 0 ) ( 25200 21275 )
+    NEW met1 ( 25200 21275 ) M1M2_PR
+    NEW li1 ( 29520 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( input332 A ) 
+  + ROUTED met2 ( 27120 3330 0 ) ( 27120 24975 )
+    NEW li1 ( 27120 24975 ) L1M1_PR_MR
+    NEW met1 ( 27120 24975 ) M1M2_PR
+    NEW met1 ( 27120 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( input333 A ) 
+  + ROUTED met1 ( 28560 21275 ) ( 33360 21275 )
+    NEW met1 ( 33360 21275 ) ( 33360 21645 )
+    NEW met2 ( 28560 3330 0 ) ( 28560 21275 )
+    NEW met1 ( 28560 21275 ) M1M2_PR
+    NEW li1 ( 33360 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( input334 A ) 
+  + ROUTED met1 ( 30480 24975 ) ( 34800 24975 )
+    NEW met2 ( 30480 3330 0 ) ( 30480 24975 )
+    NEW met1 ( 30480 24975 ) M1M2_PR
+    NEW li1 ( 34800 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( input335 A ) 
+  + ROUTED met1 ( 32400 20905 ) ( 41040 20905 )
+    NEW met1 ( 41040 20905 ) ( 41040 21645 )
+    NEW met2 ( 32400 3330 0 ) ( 32400 20905 )
+    NEW met1 ( 32400 20905 ) M1M2_PR
+    NEW li1 ( 41040 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( input336 A ) 
+  + ROUTED met2 ( 34320 3330 0 ) ( 34320 28305 )
+    NEW li1 ( 34320 28305 ) L1M1_PR_MR
+    NEW met1 ( 34320 28305 ) M1M2_PR
+    NEW met1 ( 34320 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( input337 A ) 
+  + ROUTED met1 ( 36240 28305 ) ( 38160 28305 )
+    NEW met2 ( 36240 3330 0 ) ( 36240 28305 )
+    NEW met1 ( 36240 28305 ) M1M2_PR
+    NEW li1 ( 38160 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( input338 A ) 
+  + ROUTED met1 ( 38160 27195 ) ( 42000 27195 )
+    NEW met1 ( 42000 27195 ) ( 42000 28305 )
+    NEW met2 ( 38160 3330 0 ) ( 38160 27195 )
+    NEW met1 ( 38160 27195 ) M1M2_PR
+    NEW li1 ( 42000 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( input339 A ) 
+  + ROUTED met1 ( 39600 19425 ) ( 46320 19425 )
+    NEW met2 ( 46320 19425 ) ( 46320 24975 )
+    NEW met2 ( 39600 3330 0 ) ( 39600 19425 )
+    NEW met1 ( 39600 19425 ) M1M2_PR
+    NEW met1 ( 46320 19425 ) M1M2_PR
+    NEW li1 ( 46320 24975 ) L1M1_PR_MR
+    NEW met1 ( 46320 24975 ) M1M2_PR
+    NEW met1 ( 46320 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( input340 A ) 
+  + ROUTED met1 ( 41520 19055 ) ( 53040 19055 )
+    NEW met2 ( 53040 19055 ) ( 53040 24975 )
+    NEW met1 ( 50640 24975 ) ( 53040 24975 )
+    NEW met2 ( 41520 3330 0 ) ( 41520 19055 )
+    NEW met1 ( 41520 19055 ) M1M2_PR
+    NEW met1 ( 53040 19055 ) M1M2_PR
+    NEW met1 ( 53040 24975 ) M1M2_PR
+    NEW li1 ( 50640 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( input341 A ) 
+  + ROUTED met2 ( 6960 3330 0 ) ( 6960 21275 )
+    NEW met1 ( 6960 21275 ) ( 14400 21275 )
+    NEW met1 ( 14400 21275 ) ( 14400 21645 )
+    NEW met1 ( 14400 21645 ) ( 15600 21645 )
+    NEW met1 ( 6960 21275 ) M1M2_PR
+    NEW li1 ( 15600 21645 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( input342 A ) 
+  + ROUTED met2 ( 43440 21090 ) ( 43920 21090 )
+    NEW met2 ( 43920 21090 ) ( 43920 28305 )
+    NEW met1 ( 43920 28305 ) ( 48240 28305 )
+    NEW met2 ( 43440 3330 0 ) ( 43440 21090 )
+    NEW met1 ( 43920 28305 ) M1M2_PR
+    NEW li1 ( 48240 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( input343 A ) 
+  + ROUTED met1 ( 45360 20535 ) ( 55440 20535 )
+    NEW met2 ( 55440 20535 ) ( 55440 24975 )
+    NEW met1 ( 54480 24975 ) ( 55440 24975 )
+    NEW met2 ( 45360 3330 0 ) ( 45360 20535 )
+    NEW met1 ( 45360 20535 ) M1M2_PR
+    NEW met1 ( 55440 20535 ) M1M2_PR
+    NEW met1 ( 55440 24975 ) M1M2_PR
+    NEW li1 ( 54480 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( input344 A ) 
+  + ROUTED met2 ( 47280 3330 0 ) ( 47280 31635 )
+    NEW li1 ( 47280 31635 ) L1M1_PR_MR
+    NEW met1 ( 47280 31635 ) M1M2_PR
+    NEW met1 ( 47280 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( input345 A ) 
+  + ROUTED met1 ( 49200 21275 ) ( 51120 21275 )
+    NEW met2 ( 51120 21275 ) ( 51120 28305 )
+    NEW met1 ( 51120 28305 ) ( 52080 28305 )
+    NEW met2 ( 49200 3330 0 ) ( 49200 21275 )
+    NEW met1 ( 49200 21275 ) M1M2_PR
+    NEW met1 ( 51120 21275 ) M1M2_PR
+    NEW met1 ( 51120 28305 ) M1M2_PR
+    NEW li1 ( 52080 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( input346 A ) 
+  + ROUTED met1 ( 50640 31635 ) ( 51120 31635 )
+    NEW met2 ( 50640 3330 0 ) ( 50640 31635 )
+    NEW met1 ( 50640 31635 ) M1M2_PR
+    NEW li1 ( 51120 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( input347 A ) 
+  + ROUTED met1 ( 52560 28305 ) ( 55920 28305 )
+    NEW met2 ( 52560 3330 0 ) ( 52560 28305 )
+    NEW met1 ( 52560 28305 ) M1M2_PR
+    NEW li1 ( 55920 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( input348 A ) 
+  + ROUTED met1 ( 54480 31635 ) ( 54960 31635 )
+    NEW met2 ( 54480 3330 0 ) ( 54480 31635 )
+    NEW met1 ( 54480 31635 ) M1M2_PR
+    NEW li1 ( 54960 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( input349 A ) 
+  + ROUTED met2 ( 56400 3330 0 ) ( 56400 34965 )
+    NEW li1 ( 56400 34965 ) L1M1_PR_MR
+    NEW met1 ( 56400 34965 ) M1M2_PR
+    NEW met1 ( 56400 34965 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( input350 A ) 
+  + ROUTED met1 ( 58320 31635 ) ( 61200 31635 )
+    NEW met2 ( 58320 3330 0 ) ( 58320 31635 )
+    NEW met1 ( 58320 31635 ) M1M2_PR
+    NEW li1 ( 61200 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( input351 A ) 
+  + ROUTED met1 ( 68400 18685 ) ( 68400 19055 )
+    NEW met2 ( 68400 19055 ) ( 68400 28305 )
+    NEW met1 ( 67440 28305 ) ( 68400 28305 )
+    NEW met2 ( 60240 3330 0 ) ( 60240 18685 )
+    NEW met1 ( 60240 18685 ) ( 68400 18685 )
+    NEW met1 ( 68400 19055 ) M1M2_PR
+    NEW met1 ( 68400 28305 ) M1M2_PR
+    NEW li1 ( 67440 28305 ) L1M1_PR_MR
+    NEW met1 ( 60240 18685 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( input352 A ) 
+  + ROUTED met2 ( 9360 3330 0 ) ( 9360 24975 )
+    NEW met1 ( 9360 24975 ) ( 11760 24975 )
+    NEW met1 ( 9360 24975 ) M1M2_PR
+    NEW li1 ( 11760 24975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( input353 A ) 
+  + ROUTED met2 ( 66000 19055 ) ( 66000 31635 )
+    NEW met1 ( 65520 31635 ) ( 66000 31635 )
+    NEW met2 ( 61680 3330 0 ) ( 61680 19055 )
+    NEW met1 ( 61680 19055 ) ( 66000 19055 )
+    NEW met1 ( 66000 19055 ) M1M2_PR
+    NEW met1 ( 66000 31635 ) M1M2_PR
+    NEW li1 ( 65520 31635 ) L1M1_PR_MR
+    NEW met1 ( 61680 19055 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( input354 A ) 
+  + ROUTED met2 ( 63600 3330 0 ) ( 63600 34965 )
+    NEW li1 ( 63600 34965 ) L1M1_PR_MR
+    NEW met1 ( 63600 34965 ) M1M2_PR
+    NEW met1 ( 63600 34965 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( input355 A ) 
+  + ROUTED met2 ( 11760 3330 0 ) ( 11760 19055 )
+    NEW met2 ( 15600 19055 ) ( 15600 24975 )
+    NEW met1 ( 11760 19055 ) ( 15600 19055 )
+    NEW met1 ( 11760 19055 ) M1M2_PR
+    NEW met1 ( 15600 19055 ) M1M2_PR
+    NEW li1 ( 15600 24975 ) L1M1_PR_MR
+    NEW met1 ( 15600 24975 ) M1M2_PR
+    NEW met1 ( 15600 24975 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( input356 A ) 
+  + ROUTED met2 ( 14160 3330 0 ) ( 14160 14430 )
+    NEW met2 ( 14640 14430 ) ( 14640 28305 )
+    NEW met2 ( 14160 14430 ) ( 14640 14430 )
+    NEW li1 ( 14640 28305 ) L1M1_PR_MR
+    NEW met1 ( 14640 28305 ) M1M2_PR
+    NEW met1 ( 14640 28305 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( input357 A ) 
+  + ROUTED met2 ( 16080 3330 0 ) ( 16080 31635 )
+    NEW li1 ( 16080 31635 ) L1M1_PR_MR
+    NEW met1 ( 16080 31635 ) M1M2_PR
+    NEW met1 ( 16080 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( input358 A ) 
+  + ROUTED met1 ( 17520 20905 ) ( 24720 20905 )
+    NEW met2 ( 24720 20905 ) ( 24720 28305 )
+    NEW met1 ( 22320 28305 ) ( 24720 28305 )
+    NEW met2 ( 17520 3330 0 ) ( 17520 20905 )
+    NEW met1 ( 17520 20905 ) M1M2_PR
+    NEW met1 ( 24720 20905 ) M1M2_PR
+    NEW met1 ( 24720 28305 ) M1M2_PR
+    NEW li1 ( 22320 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( input359 A ) 
+  + ROUTED met1 ( 19440 31635 ) ( 19920 31635 )
+    NEW met2 ( 19440 3330 0 ) ( 19440 31635 )
+    NEW met1 ( 19440 31635 ) M1M2_PR
+    NEW li1 ( 19920 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( input360 A ) 
+  + ROUTED met2 ( 21360 3330 0 ) ( 21360 12765 )
+    NEW met1 ( 19920 12765 ) ( 21360 12765 )
+    NEW met1 ( 19920 16095 ) ( 25680 16095 )
+    NEW met2 ( 25680 16095 ) ( 25680 28305 )
+    NEW met2 ( 19920 12765 ) ( 19920 16095 )
+    NEW met1 ( 21360 12765 ) M1M2_PR
+    NEW met1 ( 19920 12765 ) M1M2_PR
+    NEW met1 ( 19920 16095 ) M1M2_PR
+    NEW met1 ( 25680 16095 ) M1M2_PR
+    NEW li1 ( 25680 28305 ) L1M1_PR_MR
+    NEW met1 ( 25680 28305 ) M1M2_PR
+    NEW met1 ( 25680 28305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( input361 A ) 
+  + ROUTED met2 ( 23280 3330 0 ) ( 23280 9990 )
+    NEW met2 ( 22320 9990 ) ( 23280 9990 )
+    NEW met2 ( 22320 9990 ) ( 22320 12210 )
+    NEW met2 ( 22320 12210 ) ( 23280 12210 )
+    NEW met1 ( 23280 31635 ) ( 23760 31635 )
+    NEW met2 ( 23280 12210 ) ( 23280 31635 )
+    NEW met1 ( 23280 31635 ) M1M2_PR
+    NEW li1 ( 23760 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( output576 X ) 
+  + ROUTED met2 ( 5040 3330 0 ) ( 5040 17945 )
+    NEW met1 ( 5040 17945 ) ( 12240 17945 )
+    NEW met2 ( 12240 17945 ) ( 12240 37185 )
+    NEW met1 ( 5040 17945 ) M1M2_PR
+    NEW met1 ( 12240 17945 ) M1M2_PR
+    NEW li1 ( 12240 37185 ) L1M1_PR_MR
+    NEW met1 ( 12240 37185 ) M1M2_PR
+    NEW met1 ( 12240 37185 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( output577 X ) 
+  + ROUTED met2 ( 25680 3330 0 ) ( 25680 13875 )
+    NEW met1 ( 25680 13875 ) ( 26160 13875 )
+    NEW met1 ( 26160 14615 ) ( 29040 14615 )
+    NEW met2 ( 29040 14615 ) ( 29040 27935 )
+    NEW met1 ( 29040 27935 ) ( 30000 27935 )
+    NEW met1 ( 26160 13875 ) ( 26160 14615 )
+    NEW met1 ( 25680 13875 ) M1M2_PR
+    NEW met1 ( 29040 14615 ) M1M2_PR
+    NEW met1 ( 29040 27935 ) M1M2_PR
+    NEW li1 ( 30000 27935 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( output578 X ) 
+  + ROUTED met1 ( 27600 30525 ) ( 28080 30525 )
+    NEW met2 ( 27600 3330 0 ) ( 27600 30525 )
+    NEW met1 ( 27600 30525 ) M1M2_PR
+    NEW li1 ( 28080 30525 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( output579 X ) 
+  + ROUTED met1 ( 29520 34595 ) ( 30000 34595 )
+    NEW met2 ( 29520 3330 0 ) ( 29520 34595 )
+    NEW met1 ( 29520 34595 ) M1M2_PR
+    NEW li1 ( 30000 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( output580 X ) 
+  + ROUTED met1 ( 31440 32005 ) ( 35280 32005 )
+    NEW met2 ( 31440 3330 0 ) ( 31440 32005 )
+    NEW met1 ( 31440 32005 ) M1M2_PR
+    NEW li1 ( 35280 32005 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( output581 X ) 
+  + ROUTED met1 ( 32880 34595 ) ( 33840 34595 )
+    NEW met2 ( 32880 3330 0 ) ( 32880 34595 )
+    NEW met1 ( 32880 34595 ) M1M2_PR
+    NEW li1 ( 33840 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( output582 X ) 
+  + ROUTED met1 ( 34800 34595 ) ( 37680 34595 )
+    NEW met2 ( 34800 3330 0 ) ( 34800 34595 )
+    NEW met1 ( 34800 34595 ) M1M2_PR
+    NEW li1 ( 37680 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( output583 X ) 
+  + ROUTED met1 ( 36720 34965 ) ( 41520 34965 )
+    NEW met1 ( 41520 34595 ) ( 41520 34965 )
+    NEW met2 ( 36720 3330 0 ) ( 36720 34965 )
+    NEW met1 ( 36720 34965 ) M1M2_PR
+    NEW li1 ( 41520 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( output584 X ) 
+  + ROUTED met1 ( 38640 41255 ) ( 39120 41255 )
+    NEW met2 ( 38640 3330 0 ) ( 38640 41255 )
+    NEW met1 ( 38640 41255 ) M1M2_PR
+    NEW li1 ( 39120 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( output585 X ) 
+  + ROUTED met1 ( 40560 18685 ) ( 48720 18685 )
+    NEW met2 ( 48720 18685 ) ( 48720 34595 )
+    NEW met2 ( 40560 3330 0 ) ( 40560 18685 )
+    NEW met1 ( 40560 18685 ) M1M2_PR
+    NEW met1 ( 48720 18685 ) M1M2_PR
+    NEW li1 ( 48720 34595 ) L1M1_PR_MR
+    NEW met1 ( 48720 34595 ) M1M2_PR
+    NEW met1 ( 48720 34595 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( output586 X ) 
+  + ROUTED met1 ( 42480 36075 ) ( 46800 36075 )
+    NEW met2 ( 46800 36075 ) ( 46800 37185 )
+    NEW met2 ( 42480 3330 0 ) ( 42480 36075 )
+    NEW met1 ( 42480 36075 ) M1M2_PR
+    NEW met1 ( 46800 36075 ) M1M2_PR
+    NEW li1 ( 46800 37185 ) L1M1_PR_MR
+    NEW met1 ( 46800 37185 ) M1M2_PR
+    NEW met1 ( 46800 37185 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( output587 X ) 
+  + ROUTED met2 ( 7440 3330 0 ) ( 7440 16095 )
+    NEW met1 ( 7440 16095 ) ( 10800 16095 )
+    NEW met1 ( 10800 41255 ) ( 12240 41255 )
+    NEW met2 ( 10800 16095 ) ( 10800 41255 )
+    NEW met1 ( 7440 16095 ) M1M2_PR
+    NEW met1 ( 10800 16095 ) M1M2_PR
+    NEW met1 ( 10800 41255 ) M1M2_PR
+    NEW li1 ( 12240 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( output588 X ) 
+  + ROUTED met2 ( 43920 3330 0 ) ( 43920 14400 )
+    NEW met2 ( 43920 14400 ) ( 44400 14400 )
+    NEW met2 ( 44400 14400 ) ( 44400 34965 )
+    NEW met1 ( 44400 34965 ) ( 53040 34965 )
+    NEW met1 ( 53040 34595 ) ( 53040 34965 )
+    NEW met1 ( 44400 34965 ) M1M2_PR
+    NEW li1 ( 53040 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( output589 X ) 
+  + ROUTED met1 ( 45840 37555 ) ( 50640 37555 )
+    NEW met1 ( 50640 37185 ) ( 50640 37555 )
+    NEW met2 ( 45840 3330 0 ) ( 45840 37555 )
+    NEW met1 ( 45840 37555 ) M1M2_PR
+    NEW li1 ( 50640 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( output590 X ) 
+  + ROUTED met1 ( 47760 41255 ) ( 48720 41255 )
+    NEW met2 ( 47760 3330 0 ) ( 47760 41255 )
+    NEW met1 ( 47760 41255 ) M1M2_PR
+    NEW li1 ( 48720 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( output591 X ) 
+  + ROUTED met1 ( 49680 38665 ) ( 54480 38665 )
+    NEW met2 ( 49680 3330 0 ) ( 49680 38665 )
+    NEW met1 ( 49680 38665 ) M1M2_PR
+    NEW li1 ( 54480 38665 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( output592 X ) 
+  + ROUTED met1 ( 51600 41255 ) ( 52560 41255 )
+    NEW met2 ( 51600 3330 0 ) ( 51600 41255 )
+    NEW met1 ( 51600 41255 ) M1M2_PR
+    NEW li1 ( 52560 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( output593 X ) 
+  + ROUTED met2 ( 53040 3330 0 ) ( 53040 14400 )
+    NEW met2 ( 53040 14400 ) ( 53520 14400 )
+    NEW met2 ( 53520 14400 ) ( 53520 41255 )
+    NEW met1 ( 53520 41255 ) ( 56400 41255 )
+    NEW met1 ( 53520 41255 ) M1M2_PR
+    NEW li1 ( 56400 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( output594 X ) 
+  + ROUTED met1 ( 54960 37185 ) ( 61680 37185 )
+    NEW met2 ( 54960 3330 0 ) ( 54960 37185 )
+    NEW met1 ( 54960 37185 ) M1M2_PR
+    NEW li1 ( 61680 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( output595 X ) 
+  + ROUTED met1 ( 56880 41255 ) ( 60720 41255 )
+    NEW met2 ( 56880 3330 0 ) ( 56880 41255 )
+    NEW met1 ( 56880 41255 ) M1M2_PR
+    NEW li1 ( 60720 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( output596 X ) 
+  + ROUTED met2 ( 58800 3330 0 ) ( 58800 38665 )
+    NEW met1 ( 58800 38665 ) ( 65520 38665 )
+    NEW li1 ( 65520 38665 ) L1M1_PR_MR
+    NEW met1 ( 58800 38665 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( output597 X ) 
+  + ROUTED met2 ( 60720 19055 ) ( 61200 19055 )
+    NEW met2 ( 61200 19055 ) ( 61200 41255 )
+    NEW met1 ( 61200 41255 ) ( 64080 41255 )
+    NEW met2 ( 60720 3330 0 ) ( 60720 19055 )
+    NEW met1 ( 61200 41255 ) M1M2_PR
+    NEW li1 ( 64080 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( output598 X ) 
+  + ROUTED met2 ( 9840 3330 0 ) ( 9840 19425 )
+    NEW met2 ( 15120 19425 ) ( 15120 37185 )
+    NEW met1 ( 15120 37185 ) ( 16080 37185 )
+    NEW met1 ( 9840 19425 ) ( 15120 19425 )
+    NEW met1 ( 9840 19425 ) M1M2_PR
+    NEW met1 ( 15120 19425 ) M1M2_PR
+    NEW met1 ( 15120 37185 ) M1M2_PR
+    NEW li1 ( 16080 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( output599 X ) 
+  + ROUTED met1 ( 69360 37185 ) ( 69360 37555 )
+    NEW met2 ( 62640 3330 0 ) ( 62640 37555 )
+    NEW met1 ( 62640 37555 ) ( 69360 37555 )
+    NEW li1 ( 69360 37185 ) L1M1_PR_MR
+    NEW met1 ( 62640 37555 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( output600 X ) 
+  + ROUTED met2 ( 64080 3330 0 ) ( 64080 42735 )
+    NEW met1 ( 64080 42735 ) ( 67920 42735 )
+    NEW li1 ( 67920 42735 ) L1M1_PR_MR
+    NEW met1 ( 64080 42735 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( output601 X ) 
+  + ROUTED met2 ( 12240 3330 0 ) ( 12240 17205 )
+    NEW met1 ( 12240 17205 ) ( 14400 17205 )
+    NEW met1 ( 14400 17205 ) ( 14400 17945 )
+    NEW met1 ( 14400 17945 ) ( 26160 17945 )
+    NEW met2 ( 26160 17945 ) ( 26160 33855 )
+    NEW met1 ( 22320 33855 ) ( 26160 33855 )
+    NEW met1 ( 22320 33855 ) ( 22320 34595 )
+    NEW met1 ( 12240 17205 ) M1M2_PR
+    NEW met1 ( 26160 17945 ) M1M2_PR
+    NEW met1 ( 26160 33855 ) M1M2_PR
+    NEW li1 ( 22320 34595 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( output602 X ) 
+  + ROUTED met2 ( 14640 3330 0 ) ( 14640 13690 )
+    NEW met2 ( 14640 13690 ) ( 15120 13690 )
+    NEW met1 ( 15120 18685 ) ( 16560 18685 )
+    NEW met2 ( 16560 18685 ) ( 16560 32190 )
+    NEW met2 ( 16080 32190 ) ( 16560 32190 )
+    NEW met2 ( 16080 32190 ) ( 16080 41255 )
+    NEW met2 ( 15120 13690 ) ( 15120 18685 )
+    NEW met1 ( 15120 18685 ) M1M2_PR
+    NEW met1 ( 16560 18685 ) M1M2_PR
+    NEW li1 ( 16080 41255 ) L1M1_PR_MR
+    NEW met1 ( 16080 41255 ) M1M2_PR
+    NEW met1 ( 16080 41255 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( output603 X ) 
+  + ROUTED met1 ( 16560 17205 ) ( 19920 17205 )
+    NEW met2 ( 19920 17205 ) ( 19920 37185 )
+    NEW met2 ( 16560 3330 0 ) ( 16560 17205 )
+    NEW met1 ( 16560 17205 ) M1M2_PR
+    NEW met1 ( 19920 17205 ) M1M2_PR
+    NEW li1 ( 19920 37185 ) L1M1_PR_MR
+    NEW met1 ( 19920 37185 ) M1M2_PR
+    NEW met1 ( 19920 37185 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( output604 X ) 
+  + ROUTED met1 ( 18480 35705 ) ( 26160 35705 )
+    NEW met1 ( 26160 35705 ) ( 26160 36075 )
+    NEW met2 ( 18480 3330 0 ) ( 18480 35705 )
+    NEW met1 ( 18480 35705 ) M1M2_PR
+    NEW li1 ( 26160 36075 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( output605 X ) 
+  + ROUTED met1 ( 20400 37185 ) ( 23760 37185 )
+    NEW met2 ( 20400 3330 0 ) ( 20400 37185 )
+    NEW met1 ( 20400 37185 ) M1M2_PR
+    NEW li1 ( 23760 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( output606 X ) 
+  + ROUTED met2 ( 21840 3330 0 ) ( 21840 12765 )
+    NEW met1 ( 21840 12765 ) ( 24240 12765 )
+    NEW met1 ( 22320 40885 ) ( 24240 40885 )
+    NEW met1 ( 22320 40885 ) ( 22320 41255 )
+    NEW met2 ( 24240 12765 ) ( 24240 40885 )
+    NEW met1 ( 21840 12765 ) M1M2_PR
+    NEW met1 ( 24240 12765 ) M1M2_PR
+    NEW met1 ( 24240 40885 ) M1M2_PR
+    NEW li1 ( 22320 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( output607 X ) 
+  + ROUTED met2 ( 23760 3330 0 ) ( 23760 10175 )
+    NEW met1 ( 23760 10175 ) ( 26640 10175 )
+    NEW met1 ( 26640 37185 ) ( 27600 37185 )
+    NEW met2 ( 26640 10175 ) ( 26640 37185 )
+    NEW met1 ( 23760 10175 ) M1M2_PR
+    NEW met1 ( 26640 10175 ) M1M2_PR
+    NEW met1 ( 26640 37185 ) M1M2_PR
+    NEW li1 ( 27600 37185 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( input362 A ) 
+  + ROUTED met2 ( 5520 3330 0 ) ( 5520 28305 )
+    NEW met1 ( 5520 28305 ) ( 7920 28305 )
+    NEW met1 ( 5520 28305 ) M1M2_PR
+    NEW li1 ( 7920 28305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( input363 A ) 
+  + ROUTED met2 ( 7920 3330 0 ) ( 7920 31635 )
+    NEW li1 ( 7920 31635 ) L1M1_PR_MR
+    NEW met1 ( 7920 31635 ) M1M2_PR
+    NEW met1 ( 7920 31635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( input364 A ) 
+  + ROUTED met2 ( 10320 3330 0 ) ( 10320 31635 )
+    NEW met1 ( 10320 31635 ) ( 11760 31635 )
+    NEW met1 ( 10320 31635 ) M1M2_PR
+    NEW li1 ( 11760 31635 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( input365 A ) 
+  + ROUTED met2 ( 12720 3330 0 ) ( 12720 34965 )
+    NEW li1 ( 12720 34965 ) L1M1_PR_MR
+    NEW met1 ( 12720 34965 ) M1M2_PR
+    NEW met1 ( 12720 34965 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_stb_i ( PIN wbs_stb_i ) ( input366 A ) 
+  + ROUTED met2 ( 2640 3330 0 ) ( 2640 19055 )
+    NEW met1 ( 2640 19055 ) ( 8400 19055 )
+    NEW met2 ( 8400 19055 ) ( 8400 34965 )
+    NEW met1 ( 2640 19055 ) M1M2_PR
+    NEW met1 ( 8400 19055 ) M1M2_PR
+    NEW li1 ( 8400 34965 ) L1M1_PR_MR
+    NEW met1 ( 8400 34965 ) M1M2_PR
+    NEW met1 ( 8400 34965 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_we_i ( PIN wbs_we_i ) ( input367 A ) 
+  + ROUTED met2 ( 3120 3330 0 ) ( 3120 17205 )
+    NEW met1 ( 3120 17205 ) ( 7440 17205 )
+    NEW met1 ( 7440 38295 ) ( 7920 38295 )
+    NEW met2 ( 7440 17205 ) ( 7440 38295 )
+    NEW met1 ( 3120 17205 ) M1M2_PR
+    NEW met1 ( 7440 17205 ) M1M2_PR
+    NEW met1 ( 7440 38295 ) M1M2_PR
+    NEW li1 ( 7920 38295 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net1 ( input1 X ) ( AND2X1 A ) 
+  + ROUTED met1 ( 8880 283975 ) ( 18000 283975 )
+    NEW met1 ( 8880 283975 ) ( 8880 284345 )
+    NEW met2 ( 39840 24605 ) ( 39840 24790 )
+    NEW met2 ( 39120 24790 ) ( 39840 24790 )
+    NEW met2 ( 39120 24790 ) ( 39120 27565 )
+    NEW met1 ( 18000 27565 ) ( 39120 27565 )
+    NEW met2 ( 18000 27565 ) ( 18000 283975 )
+    NEW met1 ( 18000 283975 ) M1M2_PR
+    NEW li1 ( 8880 284345 ) L1M1_PR_MR
+    NEW met1 ( 39840 24605 ) M1M2_PR_MR
+    NEW met1 ( 39120 27565 ) M1M2_PR
+    NEW met1 ( 18000 27565 ) M1M2_PR
++ USE SIGNAL ;
+- net2 ( input2 X ) ( AOI22X1 A ) 
+  + ROUTED met2 ( 80400 43845 ) ( 80400 45510 )
+    NEW met2 ( 80400 45510 ) ( 80880 45510 )
+    NEW met2 ( 80880 45510 ) ( 80880 284345 )
+    NEW met2 ( 45360 43845 ) ( 45360 44030 )
+    NEW met2 ( 42000 44030 ) ( 45360 44030 )
+    NEW met2 ( 42000 44030 ) ( 42000 44585 )
+    NEW met1 ( 42000 44215 ) ( 42000 44585 )
+    NEW met1 ( 41280 44215 0 ) ( 42000 44215 )
+    NEW met1 ( 45360 43845 ) ( 80400 43845 )
+    NEW met1 ( 80400 43845 ) M1M2_PR
+    NEW li1 ( 80880 284345 ) L1M1_PR_MR
+    NEW met1 ( 80880 284345 ) M1M2_PR
+    NEW met1 ( 45360 43845 ) M1M2_PR
+    NEW met1 ( 42000 44585 ) M1M2_PR
+    NEW met1 ( 80880 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net3 ( input3 X ) ( AOI22X1 B ) 
+  + ROUTED met2 ( 89520 47915 ) ( 89520 76590 )
+    NEW met2 ( 89520 76590 ) ( 90000 76590 )
+    NEW met2 ( 90000 76590 ) ( 90000 284345 )
+    NEW met1 ( 39840 45325 0 ) ( 40560 45325 )
+    NEW met1 ( 40560 44955 ) ( 40560 45325 )
+    NEW met2 ( 40560 44955 ) ( 40560 47915 )
+    NEW met1 ( 40560 47915 ) ( 89520 47915 )
+    NEW met1 ( 89520 47915 ) M1M2_PR
+    NEW li1 ( 90000 284345 ) L1M1_PR_MR
+    NEW met1 ( 90000 284345 ) M1M2_PR
+    NEW met1 ( 40560 44955 ) M1M2_PR
+    NEW met1 ( 40560 47915 ) M1M2_PR
+    NEW met1 ( 90000 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net4 ( input4 X ) ( AOI22X1 C ) 
+  + ROUTED met2 ( 96720 44215 ) ( 96720 284345 )
+    NEW met1 ( 54000 44215 ) ( 54000 44585 )
+    NEW met1 ( 44880 44585 ) ( 54000 44585 )
+    NEW met2 ( 44880 44585 ) ( 44880 44770 )
+    NEW met2 ( 42720 44770 ) ( 44880 44770 )
+    NEW met2 ( 42720 44585 ) ( 42720 44770 )
+    NEW met1 ( 54000 44215 ) ( 96720 44215 )
+    NEW met1 ( 96720 44215 ) M1M2_PR
+    NEW li1 ( 96720 284345 ) L1M1_PR_MR
+    NEW met1 ( 96720 284345 ) M1M2_PR
+    NEW met1 ( 44880 44585 ) M1M2_PR
+    NEW met1 ( 42720 44585 ) M1M2_PR_MR
+    NEW met1 ( 96720 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net5 ( input5 X ) ( AOI22X1 D ) 
+  + ROUTED met2 ( 104400 44955 ) ( 104400 284345 )
+    NEW met1 ( 56880 44955 ) ( 56880 45325 )
+    NEW met1 ( 44160 45325 0 ) ( 56880 45325 )
+    NEW met1 ( 56880 44955 ) ( 104400 44955 )
+    NEW met1 ( 104400 44955 ) M1M2_PR
+    NEW li1 ( 104400 284345 ) L1M1_PR_MR
+    NEW met1 ( 104400 284345 ) M1M2_PR
+    NEW met1 ( 104400 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net6 ( input6 X ) 
++ USE SIGNAL ;
+- net7 ( input7 X ) ( BUFX2 A ) 
+  + ROUTED met1 ( 111600 283975 ) ( 111600 284345 )
+    NEW met1 ( 111600 284345 ) ( 120240 284345 )
+    NEW met2 ( 111600 54205 ) ( 111600 283975 )
+    NEW met1 ( 39840 50875 0 ) ( 40560 50875 )
+    NEW met2 ( 40560 50875 ) ( 40560 54205 )
+    NEW met1 ( 40560 54205 ) ( 111600 54205 )
+    NEW met1 ( 111600 54205 ) M1M2_PR
+    NEW met1 ( 111600 283975 ) M1M2_PR
+    NEW li1 ( 120240 284345 ) L1M1_PR_MR
+    NEW met1 ( 40560 50875 ) M1M2_PR
+    NEW met1 ( 40560 54205 ) M1M2_PR
++ USE SIGNAL ;
+- net8 ( input8 X ) 
++ USE SIGNAL ;
+- net9 ( input9 X ) ( BUFX4 A ) 
+  + ROUTED met1 ( 135600 284345 ) ( 136080 284345 )
+    NEW met2 ( 135600 60865 ) ( 135600 284345 )
+    NEW met1 ( 39840 58645 0 ) ( 40560 58645 )
+    NEW met2 ( 40560 58645 ) ( 40560 60865 )
+    NEW met1 ( 40560 60865 ) ( 135600 60865 )
+    NEW met1 ( 135600 60865 ) M1M2_PR
+    NEW met1 ( 135600 284345 ) M1M2_PR
+    NEW li1 ( 136080 284345 ) L1M1_PR_MR
+    NEW met1 ( 40560 58645 ) M1M2_PR
+    NEW met1 ( 40560 60865 ) M1M2_PR
++ USE SIGNAL ;
+- net10 ( input10 X ) 
++ USE SIGNAL ;
+- net11 ( input11 X ) ( CLKBUF1 A ) 
+  + ROUTED met1 ( 136800 67525 ) ( 136800 67895 )
+    NEW met1 ( 136800 67895 ) ( 150000 67895 )
+    NEW met2 ( 150000 67895 ) ( 150000 86400 )
+    NEW met2 ( 150000 86400 ) ( 150480 86400 )
+    NEW met2 ( 150480 86400 ) ( 150480 284345 )
+    NEW met2 ( 40560 66045 ) ( 40560 67525 )
+    NEW met1 ( 40560 67525 ) ( 136800 67525 )
+    NEW met1 ( 150000 67895 ) M1M2_PR
+    NEW li1 ( 150480 284345 ) L1M1_PR_MR
+    NEW met1 ( 150480 284345 ) M1M2_PR
+    NEW met1 ( 40560 67525 ) M1M2_PR
+    NEW met1 ( 40560 66045 ) M1M2_PR
+    NEW met1 ( 150480 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net12 ( input12 X ) ( AND2X1 B ) 
+  + ROUTED met1 ( 40560 24235 ) ( 41280 24235 0 )
+    NEW met2 ( 40560 24235 ) ( 40560 29415 )
+    NEW met1 ( 13200 29415 ) ( 40560 29415 )
+    NEW met2 ( 13200 29415 ) ( 13200 284345 )
+    NEW li1 ( 13200 284345 ) L1M1_PR_MR
+    NEW met1 ( 13200 284345 ) M1M2_PR
+    NEW met1 ( 40560 24235 ) M1M2_PR
+    NEW met1 ( 40560 29415 ) M1M2_PR
+    NEW met1 ( 13200 29415 ) M1M2_PR
+    NEW met1 ( 13200 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net13 ( input13 X ) 
++ USE SIGNAL ;
+- net14 ( input14 X ) ( INV A ) 
+  + ROUTED met1 ( 86400 71595 ) ( 86400 71965 )
+    NEW met1 ( 86400 71595 ) ( 170640 71595 )
+    NEW met2 ( 170640 71595 ) ( 170640 284345 )
+    NEW met1 ( 39840 71965 0 ) ( 86400 71965 )
+    NEW met1 ( 170640 71595 ) M1M2_PR
+    NEW li1 ( 170640 284345 ) L1M1_PR_MR
+    NEW met1 ( 170640 284345 ) M1M2_PR
+    NEW met1 ( 170640 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net15 ( input15 X ) 
++ USE SIGNAL ;
+- net16 ( input16 X ) ( INVX1 A ) 
+  + ROUTED met1 ( 176880 283605 ) ( 183600 283605 )
+    NEW met2 ( 176880 77515 ) ( 176880 283605 )
+    NEW met1 ( 39840 77515 0 ) ( 176880 77515 )
+    NEW met1 ( 176880 77515 ) M1M2_PR
+    NEW met1 ( 176880 283605 ) M1M2_PR
+    NEW li1 ( 183600 283605 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net17 ( input17 X ) 
++ USE SIGNAL ;
+- net18 ( input18 X ) ( INVX2 A ) 
+  + ROUTED met2 ( 198960 86025 ) ( 198960 283605 )
+    NEW li1 ( 158640 84175 ) ( 158640 86025 )
+    NEW met1 ( 158640 86025 ) ( 198960 86025 )
+    NEW met1 ( 39840 84175 0 ) ( 158640 84175 )
+    NEW met1 ( 198960 86025 ) M1M2_PR
+    NEW li1 ( 198960 283605 ) L1M1_PR_MR
+    NEW met1 ( 198960 283605 ) M1M2_PR
+    NEW li1 ( 158640 84175 ) L1M1_PR_MR
+    NEW li1 ( 158640 86025 ) L1M1_PR_MR
+    NEW met1 ( 198960 283605 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net19 ( input19 X ) 
++ USE SIGNAL ;
+- net20 ( input20 X ) ( INVX4 A ) 
+  + ROUTED met2 ( 214800 88985 ) ( 214800 283605 )
+    NEW met2 ( 40560 88985 ) ( 40560 91205 )
+    NEW met1 ( 40560 91205 ) ( 40560 91840 0 )
+    NEW met1 ( 40560 88985 ) ( 214800 88985 )
+    NEW met1 ( 214800 88985 ) M1M2_PR
+    NEW li1 ( 214800 283605 ) L1M1_PR_MR
+    NEW met1 ( 214800 283605 ) M1M2_PR
+    NEW met1 ( 40560 88985 ) M1M2_PR
+    NEW met1 ( 40560 91205 ) M1M2_PR
+    NEW met1 ( 214800 283605 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net21 ( input21 X ) 
++ USE SIGNAL ;
+- net22 ( input22 X ) ( INVX8 A ) 
+  + ROUTED met1 ( 230160 283605 ) ( 230640 283605 )
+    NEW met2 ( 230640 97495 ) ( 230640 283605 )
+    NEW met2 ( 41520 97310 ) ( 41520 97495 )
+    NEW met3 ( 41520 97310 ) ( 45360 97310 )
+    NEW met2 ( 45360 97310 ) ( 45360 97495 )
+    NEW met1 ( 45360 97495 ) ( 230640 97495 )
+    NEW met1 ( 230640 97495 ) M1M2_PR
+    NEW met1 ( 230640 283605 ) M1M2_PR
+    NEW li1 ( 230160 283605 ) L1M1_PR_MR
+    NEW met1 ( 41520 97495 ) M1M2_PR
+    NEW met2 ( 41520 97310 ) via2_FR
+    NEW met2 ( 45360 97310 ) via2_FR
+    NEW met1 ( 45360 97495 ) M1M2_PR
++ USE SIGNAL ;
+- net23 ( input23 X ) 
++ USE SIGNAL ;
+- net24 ( input24 X ) 
++ USE SIGNAL ;
+- net25 ( input25 X ) ( MUX2X1 A ) 
+  + ROUTED met2 ( 243600 107855 ) ( 243600 283605 )
+    NEW met2 ( 41280 104625 ) ( 41280 106190 )
+    NEW met2 ( 41280 106190 ) ( 41520 106190 )
+    NEW met2 ( 41520 106190 ) ( 41520 107855 )
+    NEW met1 ( 41520 107855 ) ( 243600 107855 )
+    NEW met1 ( 243600 107855 ) M1M2_PR
+    NEW li1 ( 243600 283605 ) L1M1_PR_MR
+    NEW met1 ( 243600 283605 ) M1M2_PR
+    NEW met1 ( 41280 104625 ) M1M2_PR_MR
+    NEW met1 ( 41520 107855 ) M1M2_PR
+    NEW met1 ( 243600 283605 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net26 ( input26 X ) ( MUX2X1 B ) 
+  + ROUTED met2 ( 254160 104155 ) ( 254160 283605 )
+    NEW met1 ( 45600 104155 0 ) ( 254160 104155 )
+    NEW met1 ( 254160 104155 ) M1M2_PR
+    NEW li1 ( 254160 283605 ) L1M1_PR_MR
+    NEW met1 ( 254160 283605 ) M1M2_PR
+    NEW met1 ( 254160 283605 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net27 ( input27 X ) ( MUX2X1 S ) 
+  + ROUTED met2 ( 264240 107485 ) ( 264240 283605 )
+    NEW met2 ( 40560 104155 ) ( 40560 107485 )
+    NEW met1 ( 40560 107485 ) ( 264240 107485 )
+    NEW met1 ( 264240 107485 ) M1M2_PR
+    NEW li1 ( 264240 283605 ) L1M1_PR_MR
+    NEW met1 ( 264240 283605 ) M1M2_PR
+    NEW met1 ( 40560 104155 ) M1M2_PR
+    NEW met1 ( 40560 107485 ) M1M2_PR
+    NEW met1 ( 264240 283605 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net28 ( input28 X ) 
++ USE SIGNAL ;
+- net29 ( input29 X ) ( NAND2X1 A ) 
+  + ROUTED met2 ( 277680 114885 ) ( 277680 283605 )
+    NEW met1 ( 41280 110815 0 ) ( 53040 110815 )
+    NEW met2 ( 53040 110815 ) ( 53040 114885 )
+    NEW met1 ( 53040 114885 ) ( 277680 114885 )
+    NEW met1 ( 277680 114885 ) M1M2_PR
+    NEW li1 ( 277680 283605 ) L1M1_PR_MR
+    NEW met1 ( 277680 283605 ) M1M2_PR
+    NEW met1 ( 53040 110815 ) M1M2_PR
+    NEW met1 ( 53040 114885 ) M1M2_PR
+    NEW met1 ( 277680 283605 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net30 ( input30 X ) ( NAND2X1 B ) 
+  + ROUTED met2 ( 205200 114145 ) ( 205200 283975 )
+    NEW met1 ( 205200 283975 ) ( 283920 283975 )
+    NEW met1 ( 39840 111925 0 ) ( 40560 111925 )
+    NEW met1 ( 40560 111555 ) ( 40560 111925 )
+    NEW met2 ( 40560 111555 ) ( 40560 114145 )
+    NEW met1 ( 40560 114145 ) ( 205200 114145 )
+    NEW met1 ( 205200 114145 ) M1M2_PR
+    NEW met1 ( 205200 283975 ) M1M2_PR
+    NEW li1 ( 283920 283975 ) L1M1_PR_MR
+    NEW met1 ( 40560 111555 ) M1M2_PR
+    NEW met1 ( 40560 114145 ) M1M2_PR
++ USE SIGNAL ;
+- net31 ( input31 X ) 
++ USE SIGNAL ;
+- net32 ( input32 X ) ( AND2X2 A ) 
+  + ROUTED met1 ( 36720 32005 ) ( 39840 32005 0 )
+    NEW met1 ( 36720 32005 ) ( 36720 32375 )
+    NEW met1 ( 25680 32375 ) ( 36720 32375 )
+    NEW met2 ( 25680 32375 ) ( 25680 284345 )
+    NEW li1 ( 25680 284345 ) L1M1_PR_MR
+    NEW met1 ( 25680 284345 ) M1M2_PR
+    NEW met1 ( 25680 32375 ) M1M2_PR
+    NEW met1 ( 25680 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net33 ( input33 X ) ( AND2X2 B ) 
+  + ROUTED met2 ( 41520 187200 ) ( 42000 187200 )
+    NEW met1 ( 36240 284345 ) ( 41520 284345 )
+    NEW met2 ( 41520 187200 ) ( 41520 284345 )
+    NEW met2 ( 42000 64800 ) ( 42000 187200 )
+    NEW met2 ( 41040 64800 ) ( 42000 64800 )
+    NEW met2 ( 41040 43290 ) ( 41040 64800 )
+    NEW met2 ( 41040 43290 ) ( 42000 43290 )
+    NEW met2 ( 42000 32005 ) ( 42000 43290 )
+    NEW met1 ( 41280 32005 0 ) ( 42000 32005 )
+    NEW met1 ( 41520 284345 ) M1M2_PR
+    NEW li1 ( 36240 284345 ) L1M1_PR_MR
+    NEW met1 ( 42000 32005 ) M1M2_PR
++ USE SIGNAL ;
+- net34 ( input34 X ) 
++ USE SIGNAL ;
+- net35 ( input35 X ) ( AOI21X1 A ) 
+  + ROUTED met1 ( 48240 283605 ) ( 49200 283605 )
+    NEW met2 ( 48240 64800 ) ( 48240 283605 )
+    NEW met2 ( 41280 38295 ) ( 41280 39590 )
+    NEW met2 ( 40080 39590 ) ( 41280 39590 )
+    NEW met2 ( 40080 39590 ) ( 40080 47545 )
+    NEW met1 ( 40080 47545 ) ( 47760 47545 )
+    NEW met2 ( 47760 47545 ) ( 47760 64800 )
+    NEW met2 ( 47760 64800 ) ( 48240 64800 )
+    NEW met1 ( 48240 283605 ) M1M2_PR
+    NEW li1 ( 49200 283605 ) L1M1_PR_MR
+    NEW met1 ( 41280 38295 ) M1M2_PR_MR
+    NEW met1 ( 40080 47545 ) M1M2_PR
+    NEW met1 ( 47760 47545 ) M1M2_PR
++ USE SIGNAL ;
+- net36 ( input36 X ) ( AOI21X1 B ) 
+  + ROUTED met2 ( 42720 38295 ) ( 42720 39405 )
+    NEW met1 ( 42720 39405 ) ( 56400 39405 )
+    NEW met2 ( 56400 39405 ) ( 56400 284345 )
+    NEW li1 ( 56400 284345 ) L1M1_PR_MR
+    NEW met1 ( 56400 284345 ) M1M2_PR
+    NEW met1 ( 42720 38295 ) M1M2_PR_MR
+    NEW met1 ( 42720 39405 ) M1M2_PR
+    NEW met1 ( 56400 39405 ) M1M2_PR
+    NEW met1 ( 56400 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net37 ( input37 X ) ( AOI21X1 C ) 
+  + ROUTED met1 ( 54480 283975 ) ( 65040 283975 )
+    NEW met1 ( 65040 283975 ) ( 65040 284345 )
+    NEW met2 ( 39840 38665 ) ( 39840 38850 )
+    NEW met2 ( 39120 38850 ) ( 39840 38850 )
+    NEW met2 ( 39120 38850 ) ( 39120 48285 )
+    NEW met1 ( 39120 48285 ) ( 54480 48285 )
+    NEW met2 ( 54480 48285 ) ( 54480 283975 )
+    NEW met1 ( 54480 283975 ) M1M2_PR
+    NEW li1 ( 65040 284345 ) L1M1_PR_MR
+    NEW met1 ( 39840 38665 ) M1M2_PR
+    NEW met1 ( 39120 48285 ) M1M2_PR
+    NEW met1 ( 54480 48285 ) M1M2_PR
++ USE SIGNAL ;
+- net38 ( input38 X ) 
++ USE SIGNAL ;
+- net39 ( input39 X ) ( NAND3X1 A ) 
+  + ROUTED met1 ( 42720 117475 0 ) ( 66480 117475 )
+    NEW met2 ( 66480 16095 ) ( 66480 117475 )
+    NEW li1 ( 66480 16095 ) L1M1_PR_MR
+    NEW met1 ( 66480 16095 ) M1M2_PR
+    NEW met1 ( 66480 117475 ) M1M2_PR
+    NEW met1 ( 66480 16095 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net40 ( input40 X ) 
++ USE SIGNAL ;
+- net41 ( input41 X ) 
++ USE SIGNAL ;
+- net42 ( input42 X ) 
++ USE SIGNAL ;
+- net43 ( input43 X ) 
++ USE SIGNAL ;
+- net44 ( input44 X ) 
++ USE SIGNAL ;
+- net45 ( input45 X ) 
++ USE SIGNAL ;
+- net46 ( input46 X ) 
++ USE SIGNAL ;
+- net47 ( input47 X ) 
++ USE SIGNAL ;
+- net48 ( input48 X ) 
++ USE SIGNAL ;
+- net49 ( input49 X ) 
++ USE SIGNAL ;
+- net50 ( input50 X ) ( XNOR2X1 A ) 
+  + ROUTED met2 ( 84240 16095 ) ( 84240 22015 )
+    NEW met1 ( 71280 22015 ) ( 84240 22015 )
+    NEW met1 ( 46800 140415 ) ( 71280 140415 )
+    NEW met2 ( 46800 137455 ) ( 46800 140415 )
+    NEW met2 ( 71280 22015 ) ( 71280 140415 )
+    NEW li1 ( 84240 16095 ) L1M1_PR_MR
+    NEW met1 ( 84240 16095 ) M1M2_PR
+    NEW met1 ( 84240 22015 ) M1M2_PR
+    NEW met1 ( 71280 22015 ) M1M2_PR
+    NEW met1 ( 71280 140415 ) M1M2_PR
+    NEW met1 ( 46800 140415 ) M1M2_PR
+    NEW met1 ( 46800 137455 ) M1M2_PR
+    NEW met1 ( 84240 16095 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net51 ( input51 X ) 
++ USE SIGNAL ;
+- net52 ( input52 X ) 
++ USE SIGNAL ;
+- net53 ( input53 X ) 
++ USE SIGNAL ;
+- net54 ( input54 X ) 
++ USE SIGNAL ;
+- net55 ( input55 X ) 
++ USE SIGNAL ;
+- net56 ( input56 X ) 
++ USE SIGNAL ;
+- net57 ( input57 X ) 
++ USE SIGNAL ;
+- net58 ( input58 X ) 
++ USE SIGNAL ;
+- net59 ( input59 X ) 
++ USE SIGNAL ;
+- net60 ( input60 X ) 
++ USE SIGNAL ;
+- net61 ( input61 X ) ( XNOR2X1 B ) 
+  + ROUTED met1 ( 88560 16095 ) ( 90480 16095 )
+    NEW met2 ( 88560 16095 ) ( 88560 22385 )
+    NEW met1 ( 83280 22385 ) ( 88560 22385 )
+    NEW met1 ( 41040 140785 ) ( 83280 140785 )
+    NEW met2 ( 41040 137455 ) ( 41040 140785 )
+    NEW met2 ( 83280 22385 ) ( 83280 140785 )
+    NEW li1 ( 90480 16095 ) L1M1_PR_MR
+    NEW met1 ( 88560 16095 ) M1M2_PR
+    NEW met1 ( 88560 22385 ) M1M2_PR
+    NEW met1 ( 83280 22385 ) M1M2_PR
+    NEW met1 ( 83280 140785 ) M1M2_PR
+    NEW met1 ( 41040 140785 ) M1M2_PR
+    NEW met1 ( 41040 137455 ) M1M2_PR
++ USE SIGNAL ;
+- net62 ( input62 X ) 
++ USE SIGNAL ;
+- net63 ( input63 X ) 
++ USE SIGNAL ;
+- net64 ( input64 X ) 
++ USE SIGNAL ;
+- net65 ( input65 X ) 
++ USE SIGNAL ;
+- net66 ( input66 X ) 
++ USE SIGNAL ;
+- net67 ( input67 X ) 
++ USE SIGNAL ;
+- net68 ( input68 X ) 
++ USE SIGNAL ;
+- net69 ( input69 X ) 
++ USE SIGNAL ;
+- net70 ( input70 X ) 
++ USE SIGNAL ;
+- net71 ( input71 X ) ( XOR2X1 A ) 
+  + ROUTED met1 ( 94320 16095 ) ( 95760 16095 )
+    NEW met2 ( 95760 16095 ) ( 95760 36000 )
+    NEW met2 ( 95760 36000 ) ( 96240 36000 )
+    NEW met2 ( 96240 36000 ) ( 96240 147445 )
+    NEW met1 ( 43440 144485 ) ( 43440 145120 0 )
+    NEW met2 ( 43440 144485 ) ( 43440 147445 )
+    NEW met1 ( 43440 147445 ) ( 96240 147445 )
+    NEW li1 ( 94320 16095 ) L1M1_PR_MR
+    NEW met1 ( 95760 16095 ) M1M2_PR
+    NEW met1 ( 96240 147445 ) M1M2_PR
+    NEW met1 ( 43440 144485 ) M1M2_PR
+    NEW met1 ( 43440 147445 ) M1M2_PR
++ USE SIGNAL ;
+- net72 ( input72 X ) ( XOR2X1 B ) 
+  + ROUTED met1 ( 89040 19055 ) ( 92880 19055 )
+    NEW met2 ( 89040 19055 ) ( 89040 64565 )
+    NEW met1 ( 71760 64565 ) ( 89040 64565 )
+    NEW met1 ( 40560 147075 ) ( 71760 147075 )
+    NEW met2 ( 40560 144115 ) ( 40560 147075 )
+    NEW met1 ( 40560 144115 ) ( 41280 144115 0 )
+    NEW met2 ( 71760 64565 ) ( 71760 147075 )
+    NEW li1 ( 92880 19055 ) L1M1_PR_MR
+    NEW met1 ( 89040 19055 ) M1M2_PR
+    NEW met1 ( 89040 64565 ) M1M2_PR
+    NEW met1 ( 71760 64565 ) M1M2_PR
+    NEW met1 ( 71760 147075 ) M1M2_PR
+    NEW met1 ( 40560 147075 ) M1M2_PR
+    NEW met1 ( 40560 144115 ) M1M2_PR
++ USE SIGNAL ;
+- net73 ( input73 X ) 
++ USE SIGNAL ;
+- net74 ( input74 X ) 
++ USE SIGNAL ;
+- net75 ( input75 X ) 
++ USE SIGNAL ;
+- net76 ( input76 X ) 
++ USE SIGNAL ;
+- net77 ( input77 X ) 
++ USE SIGNAL ;
+- net78 ( input78 X ) ( NAND3X1 B ) 
+  + ROUTED met1 ( 66960 16095 ) ( 70320 16095 )
+    NEW met2 ( 66960 16095 ) ( 66960 32375 )
+    NEW met1 ( 66000 32375 ) ( 66960 32375 )
+    NEW met1 ( 42480 115625 ) ( 66000 115625 )
+    NEW met2 ( 42480 115625 ) ( 42480 117105 )
+    NEW met1 ( 41280 117105 ) ( 42480 117105 )
+    NEW met1 ( 41280 117105 ) ( 41280 117475 0 )
+    NEW met2 ( 66000 32375 ) ( 66000 115625 )
+    NEW li1 ( 70320 16095 ) L1M1_PR_MR
+    NEW met1 ( 66960 16095 ) M1M2_PR
+    NEW met1 ( 66960 32375 ) M1M2_PR
+    NEW met1 ( 66000 32375 ) M1M2_PR
+    NEW met1 ( 66000 115625 ) M1M2_PR
+    NEW met1 ( 42480 115625 ) M1M2_PR
+    NEW met1 ( 42480 117105 ) M1M2_PR
++ USE SIGNAL ;
+- net79 ( input79 X ) 
++ USE SIGNAL ;
+- net80 ( input80 X ) 
++ USE SIGNAL ;
+- net81 ( input81 X ) 
++ USE SIGNAL ;
+- net82 ( input82 X ) 
++ USE SIGNAL ;
+- net83 ( input83 X ) 
++ USE SIGNAL ;
+- net84 ( input84 X ) 
++ USE SIGNAL ;
+- net85 ( input85 X ) 
++ USE SIGNAL ;
+- net86 ( input86 X ) 
++ USE SIGNAL ;
+- net87 ( input87 X ) 
++ USE SIGNAL ;
+- net88 ( input88 X ) 
++ USE SIGNAL ;
+- net89 ( input89 X ) ( NAND3X1 C ) 
+  + ROUTED met1 ( 65520 19425 ) ( 69840 19425 )
+    NEW met1 ( 40560 115995 ) ( 62160 115995 )
+    NEW met2 ( 40560 115995 ) ( 40560 117475 )
+    NEW met1 ( 39840 117475 0 ) ( 40560 117475 )
+    NEW met2 ( 65520 19425 ) ( 65520 61235 )
+    NEW met2 ( 62160 61235 ) ( 62160 115995 )
+    NEW met1 ( 62160 61235 ) ( 65520 61235 )
+    NEW li1 ( 69840 19425 ) L1M1_PR_MR
+    NEW met1 ( 65520 19425 ) M1M2_PR
+    NEW met1 ( 62160 115995 ) M1M2_PR
+    NEW met1 ( 40560 115995 ) M1M2_PR
+    NEW met1 ( 40560 117475 ) M1M2_PR
+    NEW met1 ( 65520 61235 ) M1M2_PR
+    NEW met1 ( 62160 61235 ) M1M2_PR
++ USE SIGNAL ;
+- net90 ( input90 X ) 
++ USE SIGNAL ;
+- net91 ( input91 X ) 
++ USE SIGNAL ;
+- net92 ( input92 X ) 
++ USE SIGNAL ;
+- net93 ( input93 X ) 
++ USE SIGNAL ;
+- net94 ( input94 X ) 
++ USE SIGNAL ;
+- net95 ( input95 X ) 
++ USE SIGNAL ;
+- net96 ( input96 X ) 
++ USE SIGNAL ;
+- net97 ( input97 X ) 
++ USE SIGNAL ;
+- net98 ( input98 X ) 
++ USE SIGNAL ;
+- net99 ( input99 X ) 
++ USE SIGNAL ;
+- net100 ( input100 X ) 
++ USE SIGNAL ;
+- net101 ( input101 X ) 
++ USE SIGNAL ;
+- net102 ( input102 X ) 
++ USE SIGNAL ;
+- net103 ( input103 X ) 
++ USE SIGNAL ;
+- net104 ( input104 X ) 
++ USE SIGNAL ;
+- net105 ( input105 X ) 
++ USE SIGNAL ;
+- net106 ( input106 X ) 
++ USE SIGNAL ;
+- net107 ( input107 X ) 
++ USE SIGNAL ;
+- net108 ( input108 X ) 
++ USE SIGNAL ;
+- net109 ( input109 X ) 
++ USE SIGNAL ;
+- net110 ( input110 X ) 
++ USE SIGNAL ;
+- net111 ( input111 X ) ( OR2X1 A ) 
+  + ROUTED met1 ( 76560 16095 ) ( 77040 16095 )
+    NEW met1 ( 40560 122655 ) ( 76560 122655 )
+    NEW met2 ( 40560 122655 ) ( 40560 125245 )
+    NEW met1 ( 39840 125245 0 ) ( 40560 125245 )
+    NEW met2 ( 76560 16095 ) ( 76560 122655 )
+    NEW li1 ( 77040 16095 ) L1M1_PR_MR
+    NEW met1 ( 76560 16095 ) M1M2_PR
+    NEW met1 ( 76560 122655 ) M1M2_PR
+    NEW met1 ( 40560 122655 ) M1M2_PR
+    NEW met1 ( 40560 125245 ) M1M2_PR
++ USE SIGNAL ;
+- net112 ( input112 X ) 
++ USE SIGNAL ;
+- net113 ( input113 X ) 
++ USE SIGNAL ;
+- net114 ( input114 X ) 
++ USE SIGNAL ;
+- net115 ( input115 X ) 
++ USE SIGNAL ;
+- net116 ( input116 X ) 
++ USE SIGNAL ;
+- net117 ( input117 X ) 
++ USE SIGNAL ;
+- net118 ( input118 X ) 
++ USE SIGNAL ;
+- net119 ( input119 X ) 
++ USE SIGNAL ;
+- net120 ( input120 X ) 
++ USE SIGNAL ;
+- net121 ( input121 X ) 
++ USE SIGNAL ;
+- net122 ( input122 X ) ( OR2X1 B ) 
+  + ROUTED met1 ( 76080 19425 ) ( 77520 19425 )
+    NEW met1 ( 65520 92315 ) ( 76080 92315 )
+    NEW met2 ( 65520 92315 ) ( 65520 125985 )
+    NEW met1 ( 42720 125985 ) ( 65520 125985 )
+    NEW met1 ( 42720 125615 ) ( 42720 125985 )
+    NEW met1 ( 41280 125615 ) ( 42720 125615 )
+    NEW met1 ( 41280 125245 0 ) ( 41280 125615 )
+    NEW met2 ( 76080 19425 ) ( 76080 92315 )
+    NEW li1 ( 77520 19425 ) L1M1_PR_MR
+    NEW met1 ( 76080 19425 ) M1M2_PR
+    NEW met1 ( 76080 92315 ) M1M2_PR
+    NEW met1 ( 65520 92315 ) M1M2_PR
+    NEW met1 ( 65520 125985 ) M1M2_PR
++ USE SIGNAL ;
+- net123 ( input123 X ) 
++ USE SIGNAL ;
+- net124 ( input124 X ) 
++ USE SIGNAL ;
+- net125 ( input125 X ) 
++ USE SIGNAL ;
+- net126 ( input126 X ) 
++ USE SIGNAL ;
+- net127 ( input127 X ) 
++ USE SIGNAL ;
+- net128 ( input128 X ) 
++ USE SIGNAL ;
+- net129 ( input129 X ) 
++ USE SIGNAL ;
+- net130 ( input130 X ) 
++ USE SIGNAL ;
+- net131 ( input131 X ) 
++ USE SIGNAL ;
+- net132 ( input132 X ) 
++ USE SIGNAL ;
+- net133 ( input133 X ) 
++ USE SIGNAL ;
+- net134 ( input134 X ) 
++ USE SIGNAL ;
+- net135 ( input135 X ) 
++ USE SIGNAL ;
+- net136 ( input136 X ) 
++ USE SIGNAL ;
+- net137 ( input137 X ) 
++ USE SIGNAL ;
+- net138 ( input138 X ) 
++ USE SIGNAL ;
+- net139 ( input139 X ) 
++ USE SIGNAL ;
+- net140 ( input140 X ) 
++ USE SIGNAL ;
+- net141 ( input141 X ) 
++ USE SIGNAL ;
+- net142 ( input142 X ) 
++ USE SIGNAL ;
+- net143 ( input143 X ) 
++ USE SIGNAL ;
+- net144 ( input144 X ) ( OR2X2 A ) 
+  + ROUTED met1 ( 78480 22755 ) ( 78960 22755 )
+    NEW met1 ( 42720 132645 ) ( 78480 132645 )
+    NEW met1 ( 42720 132275 ) ( 42720 132645 )
+    NEW met1 ( 39840 132275 ) ( 42720 132275 )
+    NEW met1 ( 39840 131905 0 ) ( 39840 132275 )
+    NEW met2 ( 78480 22755 ) ( 78480 132645 )
+    NEW li1 ( 78960 22755 ) L1M1_PR_MR
+    NEW met1 ( 78480 22755 ) M1M2_PR
+    NEW met1 ( 78480 132645 ) M1M2_PR
++ USE SIGNAL ;
+- net145 ( input145 X ) 
++ USE SIGNAL ;
+- net146 ( input146 X ) 
++ USE SIGNAL ;
+- net147 ( input147 X ) 
++ USE SIGNAL ;
+- net148 ( input148 X ) 
++ USE SIGNAL ;
+- net149 ( input149 X ) 
++ USE SIGNAL ;
+- net150 ( input150 X ) 
++ USE SIGNAL ;
+- net151 ( input151 X ) 
++ USE SIGNAL ;
+- net152 ( input152 X ) 
++ USE SIGNAL ;
+- net153 ( input153 X ) 
++ USE SIGNAL ;
+- net154 ( input154 X ) 
++ USE SIGNAL ;
+- net155 ( input155 X ) ( OR2X2 B ) 
+  + ROUTED met1 ( 43920 132275 ) ( 82800 132275 )
+    NEW li1 ( 43920 132275 ) ( 43920 132645 )
+    NEW li1 ( 42480 132645 ) ( 43920 132645 )
+    NEW li1 ( 42480 132275 ) ( 42480 132645 )
+    NEW li1 ( 42000 132275 ) ( 42480 132275 )
+    NEW li1 ( 42000 131905 ) ( 42000 132275 )
+    NEW met1 ( 41280 131905 0 ) ( 42000 131905 )
+    NEW met2 ( 82800 22755 ) ( 82800 132275 )
+    NEW li1 ( 82800 22755 ) L1M1_PR_MR
+    NEW met1 ( 82800 22755 ) M1M2_PR
+    NEW met1 ( 82800 132275 ) M1M2_PR
+    NEW li1 ( 43920 132275 ) L1M1_PR_MR
+    NEW li1 ( 42000 131905 ) L1M1_PR_MR
+    NEW met1 ( 82800 22755 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net156 ( input156 X ) 
++ USE SIGNAL ;
+- net157 ( input157 X ) 
++ USE SIGNAL ;
+- net158 ( input158 X ) 
++ USE SIGNAL ;
+- net159 ( input159 X ) 
++ USE SIGNAL ;
+- net160 ( input160 X ) 
++ USE SIGNAL ;
+- net161 ( input161 X ) 
++ USE SIGNAL ;
+- net162 ( input162 X ) 
++ USE SIGNAL ;
+- net163 ( input163 X ) 
++ USE SIGNAL ;
+- net164 ( input164 X ) 
++ USE SIGNAL ;
+- net165 ( input165 X ) 
++ USE SIGNAL ;
+- net166 ( input166 X ) 
++ USE SIGNAL ;
+- net167 ( input167 X ) 
++ USE SIGNAL ;
+- net168 ( input168 X ) 
++ USE SIGNAL ;
+- net169 ( input169 X ) 
++ USE SIGNAL ;
+- net170 ( input170 X ) 
++ USE SIGNAL ;
+- net171 ( input171 X ) 
++ USE SIGNAL ;
+- net172 ( input172 X ) 
++ USE SIGNAL ;
+- net173 ( input173 X ) 
++ USE SIGNAL ;
+- net174 ( input174 X ) 
++ USE SIGNAL ;
+- net175 ( input175 X ) 
++ USE SIGNAL ;
+- net176 ( input176 X ) 
++ USE SIGNAL ;
+- net177 ( input177 X ) 
++ USE SIGNAL ;
+- net178 ( input178 X ) 
++ USE SIGNAL ;
+- net179 ( input179 X ) 
++ USE SIGNAL ;
+- net180 ( input180 X ) 
++ USE SIGNAL ;
+- net181 ( input181 X ) 
++ USE SIGNAL ;
+- net182 ( input182 X ) 
++ USE SIGNAL ;
+- net183 ( input183 X ) 
++ USE SIGNAL ;
+- net184 ( input184 X ) 
++ USE SIGNAL ;
+- net185 ( input185 X ) 
++ USE SIGNAL ;
+- net186 ( input186 X ) 
++ USE SIGNAL ;
+- net187 ( input187 X ) 
++ USE SIGNAL ;
+- net188 ( input188 X ) 
++ USE SIGNAL ;
+- net189 ( input189 X ) 
++ USE SIGNAL ;
+- net190 ( input190 X ) 
++ USE SIGNAL ;
+- net191 ( input191 X ) 
++ USE SIGNAL ;
+- net192 ( input192 X ) 
++ USE SIGNAL ;
+- net193 ( input193 X ) 
++ USE SIGNAL ;
+- net194 ( input194 X ) 
++ USE SIGNAL ;
+- net195 ( input195 X ) 
++ USE SIGNAL ;
+- net196 ( input196 X ) 
++ USE SIGNAL ;
+- net197 ( input197 X ) 
++ USE SIGNAL ;
+- net198 ( input198 X ) 
++ USE SIGNAL ;
+- net199 ( input199 X ) 
++ USE SIGNAL ;
+- net200 ( input200 X ) 
++ USE SIGNAL ;
+- net201 ( input201 X ) 
++ USE SIGNAL ;
+- net202 ( input202 X ) 
++ USE SIGNAL ;
+- net203 ( input203 X ) 
++ USE SIGNAL ;
+- net204 ( input204 X ) 
++ USE SIGNAL ;
+- net205 ( input205 X ) 
++ USE SIGNAL ;
+- net206 ( input206 X ) 
++ USE SIGNAL ;
+- net207 ( input207 X ) 
++ USE SIGNAL ;
+- net208 ( input208 X ) 
++ USE SIGNAL ;
+- net209 ( input209 X ) 
++ USE SIGNAL ;
+- net210 ( input210 X ) 
++ USE SIGNAL ;
+- net211 ( input211 X ) 
++ USE SIGNAL ;
+- net212 ( input212 X ) 
++ USE SIGNAL ;
+- net213 ( input213 X ) 
++ USE SIGNAL ;
+- net214 ( input214 X ) 
++ USE SIGNAL ;
+- net215 ( input215 X ) 
++ USE SIGNAL ;
+- net216 ( input216 X ) 
++ USE SIGNAL ;
+- net217 ( input217 X ) 
++ USE SIGNAL ;
+- net218 ( input218 X ) 
++ USE SIGNAL ;
+- net219 ( input219 X ) 
++ USE SIGNAL ;
+- net220 ( input220 X ) 
++ USE SIGNAL ;
+- net221 ( input221 X ) 
++ USE SIGNAL ;
+- net222 ( input222 X ) 
++ USE SIGNAL ;
+- net223 ( input223 X ) 
++ USE SIGNAL ;
+- net224 ( input224 X ) 
++ USE SIGNAL ;
+- net225 ( input225 X ) 
++ USE SIGNAL ;
+- net226 ( input226 X ) 
++ USE SIGNAL ;
+- net227 ( input227 X ) 
++ USE SIGNAL ;
+- net228 ( input228 X ) 
++ USE SIGNAL ;
+- net229 ( input229 X ) 
++ USE SIGNAL ;
+- net230 ( input230 X ) 
++ USE SIGNAL ;
+- net231 ( input231 X ) 
++ USE SIGNAL ;
+- net232 ( input232 X ) 
++ USE SIGNAL ;
+- net233 ( input233 X ) 
++ USE SIGNAL ;
+- net234 ( input234 X ) 
++ USE SIGNAL ;
+- net235 ( input235 X ) 
++ USE SIGNAL ;
+- net236 ( input236 X ) 
++ USE SIGNAL ;
+- net237 ( input237 X ) 
++ USE SIGNAL ;
+- net238 ( input238 X ) 
++ USE SIGNAL ;
+- net239 ( input239 X ) 
++ USE SIGNAL ;
+- net240 ( input240 X ) 
++ USE SIGNAL ;
+- net241 ( input241 X ) 
++ USE SIGNAL ;
+- net242 ( input242 X ) 
++ USE SIGNAL ;
+- net243 ( input243 X ) 
++ USE SIGNAL ;
+- net244 ( input244 X ) 
++ USE SIGNAL ;
+- net245 ( input245 X ) 
++ USE SIGNAL ;
+- net246 ( input246 X ) 
++ USE SIGNAL ;
+- net247 ( input247 X ) 
++ USE SIGNAL ;
+- net248 ( input248 X ) 
++ USE SIGNAL ;
+- net249 ( input249 X ) 
++ USE SIGNAL ;
+- net250 ( input250 X ) 
++ USE SIGNAL ;
+- net251 ( input251 X ) 
++ USE SIGNAL ;
+- net252 ( input252 X ) 
++ USE SIGNAL ;
+- net253 ( input253 X ) 
++ USE SIGNAL ;
+- net254 ( input254 X ) 
++ USE SIGNAL ;
+- net255 ( input255 X ) 
++ USE SIGNAL ;
+- net256 ( input256 X ) 
++ USE SIGNAL ;
+- net257 ( input257 X ) 
++ USE SIGNAL ;
+- net258 ( input258 X ) 
++ USE SIGNAL ;
+- net259 ( input259 X ) 
++ USE SIGNAL ;
+- net260 ( input260 X ) 
++ USE SIGNAL ;
+- net261 ( input261 X ) 
++ USE SIGNAL ;
+- net262 ( input262 X ) 
++ USE SIGNAL ;
+- net263 ( input263 X ) 
++ USE SIGNAL ;
+- net264 ( input264 X ) 
++ USE SIGNAL ;
+- net265 ( input265 X ) 
++ USE SIGNAL ;
+- net266 ( input266 X ) 
++ USE SIGNAL ;
+- net267 ( input267 X ) 
++ USE SIGNAL ;
+- net268 ( input268 X ) 
++ USE SIGNAL ;
+- net269 ( input269 X ) 
++ USE SIGNAL ;
+- net270 ( input270 X ) 
++ USE SIGNAL ;
+- net271 ( input271 X ) 
++ USE SIGNAL ;
+- net272 ( input272 X ) 
++ USE SIGNAL ;
+- net273 ( input273 X ) 
++ USE SIGNAL ;
+- net274 ( input274 X ) 
++ USE SIGNAL ;
+- net275 ( input275 X ) 
++ USE SIGNAL ;
+- net276 ( input276 X ) 
++ USE SIGNAL ;
+- net277 ( input277 X ) 
++ USE SIGNAL ;
+- net278 ( input278 X ) 
++ USE SIGNAL ;
+- net279 ( input279 X ) 
++ USE SIGNAL ;
+- net280 ( input280 X ) 
++ USE SIGNAL ;
+- net281 ( input281 X ) 
++ USE SIGNAL ;
+- net282 ( input282 X ) 
++ USE SIGNAL ;
+- net283 ( input283 X ) 
++ USE SIGNAL ;
+- net284 ( input284 X ) 
++ USE SIGNAL ;
+- net285 ( input285 X ) 
++ USE SIGNAL ;
+- net286 ( input286 X ) 
++ USE SIGNAL ;
+- net287 ( input287 X ) 
++ USE SIGNAL ;
+- net288 ( input288 X ) 
++ USE SIGNAL ;
+- net289 ( input289 X ) 
++ USE SIGNAL ;
+- net290 ( input290 X ) 
++ USE SIGNAL ;
+- net291 ( input291 X ) 
++ USE SIGNAL ;
+- net292 ( input292 X ) 
++ USE SIGNAL ;
+- net293 ( input293 X ) 
++ USE SIGNAL ;
+- net294 ( input294 X ) 
++ USE SIGNAL ;
+- net295 ( input295 X ) 
++ USE SIGNAL ;
+- net296 ( input296 X ) 
++ USE SIGNAL ;
+- net297 ( input297 X ) 
++ USE SIGNAL ;
+- net298 ( input298 X ) 
++ USE SIGNAL ;
+- net299 ( input299 X ) 
++ USE SIGNAL ;
+- net300 ( input300 X ) 
++ USE SIGNAL ;
+- net301 ( input301 X ) 
++ USE SIGNAL ;
+- net302 ( input302 X ) 
++ USE SIGNAL ;
+- net303 ( input303 X ) 
++ USE SIGNAL ;
+- net304 ( input304 X ) 
++ USE SIGNAL ;
+- net305 ( input305 X ) 
++ USE SIGNAL ;
+- net306 ( input306 X ) 
++ USE SIGNAL ;
+- net307 ( input307 X ) 
++ USE SIGNAL ;
+- net308 ( input308 X ) 
++ USE SIGNAL ;
+- net309 ( input309 X ) 
++ USE SIGNAL ;
+- net310 ( input310 X ) 
++ USE SIGNAL ;
+- net311 ( input311 X ) 
++ USE SIGNAL ;
+- net312 ( input312 X ) 
++ USE SIGNAL ;
+- net313 ( input313 X ) 
++ USE SIGNAL ;
+- net314 ( input314 X ) 
++ USE SIGNAL ;
+- net315 ( input315 X ) 
++ USE SIGNAL ;
+- net316 ( input316 X ) 
++ USE SIGNAL ;
+- net317 ( input317 X ) 
++ USE SIGNAL ;
+- net318 ( input318 X ) 
++ USE SIGNAL ;
+- net319 ( input319 X ) 
++ USE SIGNAL ;
+- net320 ( input320 X ) 
++ USE SIGNAL ;
+- net321 ( input321 X ) 
++ USE SIGNAL ;
+- net322 ( input322 X ) 
++ USE SIGNAL ;
+- net323 ( input323 X ) 
++ USE SIGNAL ;
+- net324 ( input324 X ) 
++ USE SIGNAL ;
+- net325 ( input325 X ) 
++ USE SIGNAL ;
+- net326 ( input326 X ) 
++ USE SIGNAL ;
+- net327 ( input327 X ) 
++ USE SIGNAL ;
+- net328 ( input328 X ) 
++ USE SIGNAL ;
+- net329 ( input329 X ) 
++ USE SIGNAL ;
+- net330 ( input330 X ) 
++ USE SIGNAL ;
+- net331 ( input331 X ) 
++ USE SIGNAL ;
+- net332 ( input332 X ) 
++ USE SIGNAL ;
+- net333 ( input333 X ) 
++ USE SIGNAL ;
+- net334 ( input334 X ) 
++ USE SIGNAL ;
+- net335 ( input335 X ) 
++ USE SIGNAL ;
+- net336 ( input336 X ) 
++ USE SIGNAL ;
+- net337 ( input337 X ) 
++ USE SIGNAL ;
+- net338 ( input338 X ) 
++ USE SIGNAL ;
+- net339 ( input339 X ) 
++ USE SIGNAL ;
+- net340 ( input340 X ) 
++ USE SIGNAL ;
+- net341 ( input341 X ) 
++ USE SIGNAL ;
+- net342 ( input342 X ) 
++ USE SIGNAL ;
+- net343 ( input343 X ) 
++ USE SIGNAL ;
+- net344 ( input344 X ) 
++ USE SIGNAL ;
+- net345 ( input345 X ) 
++ USE SIGNAL ;
+- net346 ( input346 X ) 
++ USE SIGNAL ;
+- net347 ( input347 X ) 
++ USE SIGNAL ;
+- net348 ( input348 X ) 
++ USE SIGNAL ;
+- net349 ( input349 X ) 
++ USE SIGNAL ;
+- net350 ( input350 X ) 
++ USE SIGNAL ;
+- net351 ( input351 X ) 
++ USE SIGNAL ;
+- net352 ( input352 X ) 
++ USE SIGNAL ;
+- net353 ( input353 X ) 
++ USE SIGNAL ;
+- net354 ( input354 X ) 
++ USE SIGNAL ;
+- net355 ( input355 X ) 
++ USE SIGNAL ;
+- net356 ( input356 X ) 
++ USE SIGNAL ;
+- net357 ( input357 X ) 
++ USE SIGNAL ;
+- net358 ( input358 X ) 
++ USE SIGNAL ;
+- net359 ( input359 X ) 
++ USE SIGNAL ;
+- net360 ( input360 X ) 
++ USE SIGNAL ;
+- net361 ( input361 X ) 
++ USE SIGNAL ;
+- net362 ( input362 X ) 
++ USE SIGNAL ;
+- net363 ( input363 X ) 
++ USE SIGNAL ;
+- net364 ( input364 X ) 
++ USE SIGNAL ;
+- net365 ( input365 X ) 
++ USE SIGNAL ;
+- net366 ( input366 X ) 
++ USE SIGNAL ;
+- net367 ( input367 X ) 
++ USE SIGNAL ;
+- net368 ( ANTENNA_1 DIODE ) ( ANTENNA_0 DIODE ) ( output368 A ) ( _015_ HI ) 
+  + ROUTED met1 ( 8880 281015 ) ( 9840 281015 )
+    NEW met2 ( 8880 69375 ) ( 8880 281015 )
+    NEW met1 ( 256560 70485 ) ( 257520 70485 )
+    NEW met2 ( 39600 69375 ) ( 39600 70485 )
+    NEW met1 ( 8880 69375 ) ( 39600 69375 )
+    NEW met1 ( 39600 70485 ) ( 256560 70485 )
+    NEW met1 ( 8880 69375 ) M1M2_PR
+    NEW li1 ( 8880 281015 ) L1M1_PR_MR
+    NEW met1 ( 8880 281015 ) M1M2_PR
+    NEW li1 ( 9840 281015 ) L1M1_PR_MR
+    NEW li1 ( 256560 70485 ) L1M1_PR_MR
+    NEW li1 ( 257520 70485 ) L1M1_PR_MR
+    NEW met1 ( 39600 69375 ) M1M2_PR
+    NEW met1 ( 39600 70485 ) M1M2_PR
+    NEW met1 ( 8880 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net369 ( ANTENNA_3 DIODE ) ( ANTENNA_2 DIODE ) ( output369 A ) ( _022_ HI ) 
+  + ROUTED met1 ( 68880 284345 ) ( 70320 284345 )
+    NEW met2 ( 70320 52355 ) ( 70320 284345 )
+    NEW met1 ( 266640 51985 ) ( 266640 52355 )
+    NEW met1 ( 265680 52355 ) ( 266640 52355 )
+    NEW met1 ( 70320 52355 ) ( 265680 52355 )
+    NEW met1 ( 70320 52355 ) M1M2_PR
+    NEW li1 ( 70320 284345 ) L1M1_PR_MR
+    NEW met1 ( 70320 284345 ) M1M2_PR
+    NEW li1 ( 68880 284345 ) L1M1_PR_MR
+    NEW li1 ( 265680 52355 ) L1M1_PR_MR
+    NEW li1 ( 266640 51985 ) L1M1_PR_MR
+    NEW met1 ( 70320 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net370 ( ANTENNA_5 DIODE ) ( ANTENNA_4 DIODE ) ( output370 A ) ( _023_ HI ) 
+  + ROUTED met2 ( 89040 74185 ) ( 89040 86400 )
+    NEW met2 ( 89040 86400 ) ( 89520 86400 )
+    NEW met1 ( 89520 281015 ) ( 91440 281015 )
+    NEW met2 ( 89520 86400 ) ( 89520 281015 )
+    NEW met1 ( 245040 74185 ) ( 245040 74555 )
+    NEW met1 ( 244080 74185 ) ( 245040 74185 )
+    NEW met1 ( 89040 74185 ) ( 244080 74185 )
+    NEW met1 ( 89040 74185 ) M1M2_PR
+    NEW li1 ( 89520 281015 ) L1M1_PR_MR
+    NEW met1 ( 89520 281015 ) M1M2_PR
+    NEW li1 ( 91440 281015 ) L1M1_PR_MR
+    NEW li1 ( 244080 74185 ) L1M1_PR_MR
+    NEW li1 ( 245040 74555 ) L1M1_PR_MR
+    NEW met1 ( 89520 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net371 ( ANTENNA_7 DIODE ) ( ANTENNA_6 DIODE ) ( output371 A ) ( _000_ HI ) 
+  + ROUTED met1 ( 100560 281015 ) ( 102000 281015 )
+    NEW met2 ( 102000 94535 ) ( 102000 281015 )
+    NEW met1 ( 246960 94535 ) ( 247920 94535 )
+    NEW met1 ( 102000 94535 ) ( 246960 94535 )
+    NEW met1 ( 102000 94535 ) M1M2_PR
+    NEW li1 ( 102000 281015 ) L1M1_PR_MR
+    NEW met1 ( 102000 281015 ) M1M2_PR
+    NEW li1 ( 100560 281015 ) L1M1_PR_MR
+    NEW li1 ( 246960 94535 ) L1M1_PR_MR
+    NEW li1 ( 247920 94535 ) L1M1_PR_MR
+    NEW met1 ( 102000 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net372 ( output372 A ) ( _001_ HI ) 
+  + ROUTED met2 ( 107280 202205 ) ( 107280 281015 )
+    NEW met1 ( 107280 202205 ) ( 251760 202205 )
+    NEW met1 ( 107280 202205 ) M1M2_PR
+    NEW li1 ( 107280 281015 ) L1M1_PR_MR
+    NEW met1 ( 107280 281015 ) M1M2_PR
+    NEW li1 ( 251760 202205 ) L1M1_PR_MR
+    NEW met1 ( 107280 281015 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net373 ( output373 A ) ( _024_ LO ) 
+  + ROUTED met2 ( 114960 234025 ) ( 114960 281015 )
+    NEW met1 ( 187200 233655 ) ( 187200 234025 )
+    NEW met1 ( 114960 234025 ) ( 187200 234025 )
+    NEW met1 ( 187200 233655 ) ( 264720 233655 )
+    NEW met1 ( 114960 234025 ) M1M2_PR
+    NEW li1 ( 114960 281015 ) L1M1_PR_MR
+    NEW met1 ( 114960 281015 ) M1M2_PR
+    NEW li1 ( 264720 233655 ) L1M1_PR_MR
+    NEW met1 ( 114960 281015 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net374 ( output374 A ) ( _002_ HI ) 
+  + ROUTED met2 ( 122160 201835 ) ( 122160 281015 )
+    NEW met1 ( 122160 201835 ) ( 269040 201835 )
+    NEW met1 ( 122160 201835 ) M1M2_PR
+    NEW li1 ( 122160 281015 ) L1M1_PR_MR
+    NEW met1 ( 122160 281015 ) M1M2_PR
+    NEW li1 ( 269040 201835 ) L1M1_PR_MR
+    NEW met1 ( 122160 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net375 ( output375 A ) ( _025_ LO ) 
+  + ROUTED met2 ( 130800 257705 ) ( 130800 281015 )
+    NEW met1 ( 130800 257705 ) ( 257040 257705 )
+    NEW met1 ( 130800 257705 ) M1M2_PR
+    NEW li1 ( 130800 281015 ) L1M1_PR_MR
+    NEW met1 ( 130800 281015 ) M1M2_PR
+    NEW li1 ( 257040 257705 ) L1M1_PR_MR
+    NEW met1 ( 130800 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net376 ( ANTENNA_9 DIODE ) ( ANTENNA_8 DIODE ) ( output376 A ) ( _003_ HI ) 
+  + ROUTED met1 ( 112560 22755 ) ( 114000 22755 )
+    NEW met1 ( 114000 22755 ) ( 137520 22755 )
+    NEW met1 ( 137520 281015 ) ( 138480 281015 )
+    NEW met2 ( 137520 22755 ) ( 137520 281015 )
+    NEW li1 ( 114000 22755 ) L1M1_PR_MR
+    NEW li1 ( 112560 22755 ) L1M1_PR_MR
+    NEW met1 ( 137520 22755 ) M1M2_PR
+    NEW li1 ( 137520 281015 ) L1M1_PR_MR
+    NEW met1 ( 137520 281015 ) M1M2_PR
+    NEW li1 ( 138480 281015 ) L1M1_PR_MR
+    NEW met1 ( 137520 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net377 ( output377 A ) ( _026_ LO ) 
+  + ROUTED met1 ( 201840 180745 ) ( 201840 181115 )
+    NEW met1 ( 201840 181115 ) ( 216720 181115 )
+    NEW met1 ( 163440 180745 ) ( 201840 180745 )
+    NEW met2 ( 163440 180745 ) ( 163440 284345 )
+    NEW li1 ( 216720 181115 ) L1M1_PR_MR
+    NEW met1 ( 163440 180745 ) M1M2_PR
+    NEW li1 ( 163440 284345 ) L1M1_PR_MR
+    NEW met1 ( 163440 284345 ) M1M2_PR
+    NEW met1 ( 163440 284345 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net378 ( ANTENNA_11 DIODE ) ( ANTENNA_10 DIODE ) ( output378 A ) ( _004_ HI ) 
+  + ROUTED met1 ( 128880 90465 ) ( 130320 90465 )
+    NEW met1 ( 130320 90465 ) ( 154320 90465 )
+    NEW met1 ( 153360 281015 ) ( 154320 281015 )
+    NEW met2 ( 154320 90465 ) ( 154320 281015 )
+    NEW li1 ( 130320 90465 ) L1M1_PR_MR
+    NEW li1 ( 128880 90465 ) L1M1_PR_MR
+    NEW met1 ( 154320 90465 ) M1M2_PR
+    NEW li1 ( 154320 281015 ) L1M1_PR_MR
+    NEW met1 ( 154320 281015 ) M1M2_PR
+    NEW li1 ( 153360 281015 ) L1M1_PR_MR
+    NEW met1 ( 154320 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net379 ( ANTENNA_13 DIODE ) ( ANTENNA_12 DIODE ) ( output379 A ) ( _016_ HI ) 
+  + ROUTED met1 ( 12720 281385 ) ( 18960 281385 )
+    NEW met1 ( 11280 281385 ) ( 12720 281385 )
+    NEW met1 ( 90000 39405 ) ( 91440 39405 )
+    NEW met2 ( 90000 39405 ) ( 90000 76035 )
+    NEW met1 ( 92400 38665 ) ( 92400 39405 )
+    NEW met1 ( 91440 39405 ) ( 92400 39405 )
+    NEW met2 ( 18960 76035 ) ( 18960 281385 )
+    NEW met1 ( 18960 76035 ) ( 90000 76035 )
+    NEW li1 ( 12720 281385 ) L1M1_PR_MR
+    NEW met1 ( 18960 281385 ) M1M2_PR
+    NEW li1 ( 11280 281385 ) L1M1_PR_MR
+    NEW li1 ( 91440 39405 ) L1M1_PR_MR
+    NEW met1 ( 90000 39405 ) M1M2_PR
+    NEW met1 ( 90000 76035 ) M1M2_PR
+    NEW li1 ( 92400 38665 ) L1M1_PR_MR
+    NEW met1 ( 18960 76035 ) M1M2_PR
++ USE SIGNAL ;
+- net380 ( output380 A ) ( _027_ LO ) 
+  + ROUTED met1 ( 177360 219225 ) ( 188400 219225 )
+    NEW met1 ( 162480 281385 ) ( 177360 281385 )
+    NEW met2 ( 177360 219225 ) ( 177360 281385 )
+    NEW li1 ( 188400 219225 ) L1M1_PR_MR
+    NEW met1 ( 177360 219225 ) M1M2_PR
+    NEW met1 ( 177360 281385 ) M1M2_PR
+    NEW li1 ( 162480 281385 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net381 ( ANTENNA_16 DIODE ) ( ANTENNA_15 DIODE ) ( ANTENNA_14 DIODE ) ( output381 A ) 
+( _005_ HI ) 
+  + ROUTED met1 ( 169680 281015 ) ( 171120 281015 )
+    NEW met1 ( 168720 281015 ) ( 169680 281015 )
+    NEW met2 ( 171120 75665 ) ( 171120 281015 )
+    NEW met1 ( 35760 75665 ) ( 171120 75665 )
+    NEW met1 ( 34320 38665 ) ( 35760 38665 )
+    NEW met2 ( 35760 38665 ) ( 35760 75665 )
+    NEW met1 ( 171120 75665 ) M1M2_PR
+    NEW li1 ( 171120 281015 ) L1M1_PR_MR
+    NEW met1 ( 171120 281015 ) M1M2_PR
+    NEW li1 ( 169680 281015 ) L1M1_PR_MR
+    NEW li1 ( 168720 281015 ) L1M1_PR_MR
+    NEW met1 ( 35760 75665 ) M1M2_PR
+    NEW li1 ( 35760 38665 ) L1M1_PR_MR
+    NEW met1 ( 35760 38665 ) M1M2_PR
+    NEW li1 ( 34320 38665 ) L1M1_PR_MR
+    NEW met1 ( 171120 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 35760 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net382 ( output382 A ) ( _028_ LO ) 
+  + ROUTED met1 ( 212400 228475 ) ( 220560 228475 )
+    NEW met2 ( 212400 228475 ) ( 212400 282125 )
+    NEW met1 ( 181200 281755 ) ( 181200 282125 )
+    NEW met1 ( 181200 282125 ) ( 212400 282125 )
+    NEW li1 ( 220560 228475 ) L1M1_PR_MR
+    NEW met1 ( 212400 228475 ) M1M2_PR
+    NEW met1 ( 212400 282125 ) M1M2_PR
+    NEW li1 ( 181200 281755 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net383 ( ANTENNA_17 DIODE ) ( output383 A ) ( _006_ HI ) 
+  + ROUTED met2 ( 202320 267695 ) ( 202320 283605 )
+    NEW met1 ( 202320 284345 ) ( 203760 284345 )
+    NEW met1 ( 202320 283605 ) ( 202320 284345 )
+    NEW met1 ( 58800 267695 ) ( 202320 267695 )
+    NEW li1 ( 202320 283605 ) L1M1_PR_MR
+    NEW met1 ( 202320 283605 ) M1M2_PR
+    NEW met1 ( 202320 267695 ) M1M2_PR
+    NEW li1 ( 203760 284345 ) L1M1_PR_MR
+    NEW li1 ( 58800 267695 ) L1M1_PR_MR
+    NEW met1 ( 202320 283605 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net384 ( ANTENNA_20 DIODE ) ( ANTENNA_19 DIODE ) ( ANTENNA_18 DIODE ) ( output384 A ) 
+( _029_ LO ) 
+  + ROUTED met2 ( 20400 139305 ) ( 20400 141155 )
+    NEW met1 ( 18960 139305 ) ( 20400 139305 )
+    NEW met1 ( 192720 281015 ) ( 193680 281015 )
+    NEW met1 ( 193680 281015 ) ( 195120 281015 )
+    NEW met2 ( 193680 141155 ) ( 193680 281015 )
+    NEW met1 ( 20400 141155 ) ( 36000 141155 )
+    NEW met1 ( 36000 141155 ) ( 36000 141525 )
+    NEW met1 ( 187200 141155 ) ( 193680 141155 )
+    NEW met1 ( 187200 141155 ) ( 187200 141525 )
+    NEW met1 ( 36000 141525 ) ( 187200 141525 )
+    NEW li1 ( 20400 139305 ) L1M1_PR_MR
+    NEW met1 ( 20400 139305 ) M1M2_PR
+    NEW met1 ( 20400 141155 ) M1M2_PR
+    NEW li1 ( 18960 139305 ) L1M1_PR_MR
+    NEW met1 ( 193680 141155 ) M1M2_PR
+    NEW li1 ( 193680 281015 ) L1M1_PR_MR
+    NEW met1 ( 193680 281015 ) M1M2_PR
+    NEW li1 ( 192720 281015 ) L1M1_PR_MR
+    NEW li1 ( 195120 281015 ) L1M1_PR_MR
+    NEW met1 ( 20400 139305 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 193680 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net385 ( output385 A ) ( _007_ HI ) 
+  + ROUTED met1 ( 219120 271765 ) ( 222480 271765 )
+    NEW met2 ( 219120 271765 ) ( 219120 281385 )
+    NEW met1 ( 201360 281385 ) ( 219120 281385 )
+    NEW li1 ( 222480 271765 ) L1M1_PR_MR
+    NEW met1 ( 219120 271765 ) M1M2_PR
+    NEW met1 ( 219120 281385 ) M1M2_PR
+    NEW li1 ( 201360 281385 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net386 ( output386 A ) ( _030_ LO ) 
+  + ROUTED met2 ( 194160 246975 ) ( 194160 281755 )
+    NEW met1 ( 194160 281755 ) ( 210000 281755 )
+    NEW met1 ( 147600 246975 ) ( 194160 246975 )
+    NEW met1 ( 194160 246975 ) M1M2_PR
+    NEW met1 ( 194160 281755 ) M1M2_PR
+    NEW li1 ( 210000 281755 ) L1M1_PR_MR
+    NEW li1 ( 147600 246975 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net387 ( ANTENNA_21 DIODE ) ( output387 A ) ( _008_ HI ) 
+  + ROUTED met1 ( 216240 281015 ) ( 217200 281015 )
+    NEW met2 ( 216240 236985 ) ( 216240 281015 )
+    NEW met1 ( 78960 236985 ) ( 216240 236985 )
+    NEW met1 ( 216240 236985 ) M1M2_PR
+    NEW li1 ( 216240 281015 ) L1M1_PR_MR
+    NEW met1 ( 216240 281015 ) M1M2_PR
+    NEW li1 ( 217200 281015 ) L1M1_PR_MR
+    NEW li1 ( 78960 236985 ) L1M1_PR_MR
+    NEW met1 ( 216240 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net388 ( ANTENNA_23 DIODE ) ( ANTENNA_22 DIODE ) ( output388 A ) ( _031_ LO ) 
+  + ROUTED met1 ( 219600 281385 ) ( 223920 281385 )
+    NEW met1 ( 223920 281385 ) ( 225360 281385 )
+    NEW met2 ( 219600 61235 ) ( 219600 281385 )
+    NEW met1 ( 181680 60865 ) ( 183120 60865 )
+    NEW met1 ( 183120 60865 ) ( 183120 61235 )
+    NEW met1 ( 183120 61235 ) ( 219600 61235 )
+    NEW met1 ( 219600 61235 ) M1M2_PR
+    NEW li1 ( 223920 281385 ) L1M1_PR_MR
+    NEW met1 ( 219600 281385 ) M1M2_PR
+    NEW li1 ( 225360 281385 ) L1M1_PR_MR
+    NEW li1 ( 183120 60865 ) L1M1_PR_MR
+    NEW li1 ( 181680 60865 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net389 ( ANTENNA_24 DIODE ) ( output389 A ) ( _009_ HI ) 
+  + ROUTED met1 ( 222000 281015 ) ( 232080 281015 )
+    NEW met1 ( 232080 281015 ) ( 233520 281015 )
+    NEW met2 ( 222000 161135 ) ( 222000 281015 )
+    NEW met1 ( 24240 161135 ) ( 222000 161135 )
+    NEW li1 ( 24240 161135 ) L1M1_PR_MR
+    NEW met1 ( 222000 161135 ) M1M2_PR
+    NEW li1 ( 232080 281015 ) L1M1_PR_MR
+    NEW met1 ( 222000 281015 ) M1M2_PR
+    NEW li1 ( 233520 281015 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net390 ( ANTENNA_26 DIODE ) ( ANTENNA_25 DIODE ) ( output390 A ) ( _218_ LO ) 
+  + ROUTED met1 ( 22800 281015 ) ( 23760 281015 )
+    NEW met1 ( 21360 281015 ) ( 22800 281015 )
+    NEW met1 ( 68880 46065 ) ( 69360 46065 )
+    NEW met2 ( 68880 46065 ) ( 68880 49395 )
+    NEW met1 ( 69360 46065 ) ( 70320 46065 )
+    NEW met2 ( 23760 49395 ) ( 23760 281015 )
+    NEW met1 ( 23760 49395 ) ( 68880 49395 )
+    NEW li1 ( 22800 281015 ) L1M1_PR_MR
+    NEW met1 ( 23760 281015 ) M1M2_PR
+    NEW li1 ( 21360 281015 ) L1M1_PR_MR
+    NEW li1 ( 69360 46065 ) L1M1_PR_MR
+    NEW met1 ( 68880 46065 ) M1M2_PR
+    NEW met1 ( 68880 49395 ) M1M2_PR
+    NEW li1 ( 70320 46065 ) L1M1_PR_MR
+    NEW met1 ( 23760 49395 ) M1M2_PR
++ USE SIGNAL ;
+- net391 ( ANTENNA_29 DIODE ) ( ANTENNA_28 DIODE ) ( ANTENNA_27 DIODE ) ( output391 A ) 
+( _032_ LO ) 
+  + ROUTED met1 ( 88560 85655 ) ( 104880 85655 )
+    NEW li1 ( 104880 84915 ) ( 104880 85655 )
+    NEW met1 ( 87120 85655 ) ( 88560 85655 )
+    NEW met2 ( 220080 84915 ) ( 220080 282125 )
+    NEW met1 ( 104880 84915 ) ( 220080 84915 )
+    NEW met1 ( 238800 281015 ) ( 240720 281015 )
+    NEW li1 ( 238800 281015 ) ( 238800 282125 )
+    NEW met1 ( 240720 281015 ) ( 242160 281015 )
+    NEW met1 ( 220080 282125 ) ( 239280 282125 )
+    NEW li1 ( 88560 85655 ) L1M1_PR_MR
+    NEW li1 ( 104880 85655 ) L1M1_PR_MR
+    NEW li1 ( 104880 84915 ) L1M1_PR_MR
+    NEW li1 ( 87120 85655 ) L1M1_PR_MR
+    NEW met1 ( 220080 84915 ) M1M2_PR
+    NEW met1 ( 220080 282125 ) M1M2_PR
+    NEW li1 ( 239280 282125 ) L1M1_PR_MR
+    NEW li1 ( 240720 281015 ) L1M1_PR_MR
+    NEW li1 ( 238800 281015 ) L1M1_PR_MR
+    NEW li1 ( 238800 282125 ) L1M1_PR_MR
+    NEW li1 ( 242160 281015 ) L1M1_PR_MR
+    NEW met1 ( 238800 282125 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- net392 ( output392 A ) ( _010_ HI ) 
+  + ROUTED met2 ( 263760 255855 ) ( 263760 281755 )
+    NEW met1 ( 249360 281755 ) ( 263760 281755 )
+    NEW li1 ( 263760 255855 ) L1M1_PR_MR
+    NEW met1 ( 263760 255855 ) M1M2_PR
+    NEW met1 ( 263760 281755 ) M1M2_PR
+    NEW li1 ( 249360 281755 ) L1M1_PR_MR
+    NEW met1 ( 263760 255855 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net393 ( output393 A ) ( _011_ HI ) 
+  + ROUTED met2 ( 234960 187775 ) ( 234960 237600 )
+    NEW met2 ( 234960 237600 ) ( 235440 237600 )
+    NEW met2 ( 235440 237600 ) ( 235440 281385 )
+    NEW met1 ( 135120 187775 ) ( 234960 187775 )
+    NEW met1 ( 235440 281385 ) ( 260400 281385 )
+    NEW li1 ( 135120 187775 ) L1M1_PR_MR
+    NEW met1 ( 234960 187775 ) M1M2_PR
+    NEW met1 ( 235440 281385 ) M1M2_PR
+    NEW li1 ( 260400 281385 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net394 ( ANTENNA_31 DIODE ) ( ANTENNA_30 DIODE ) ( output394 A ) ( _012_ HI ) 
+  + ROUTED met1 ( 153360 122655 ) ( 154800 122655 )
+    NEW met1 ( 154800 122655 ) ( 264720 122655 )
+    NEW met1 ( 263760 281015 ) ( 264720 281015 )
+    NEW met2 ( 264720 122655 ) ( 264720 281015 )
+    NEW li1 ( 154800 122655 ) L1M1_PR_MR
+    NEW li1 ( 153360 122655 ) L1M1_PR_MR
+    NEW met1 ( 264720 122655 ) M1M2_PR
+    NEW li1 ( 264720 281015 ) L1M1_PR_MR
+    NEW met1 ( 264720 281015 ) M1M2_PR
+    NEW li1 ( 263760 281015 ) L1M1_PR_MR
+    NEW met1 ( 264720 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net395 ( ANTENNA_33 DIODE ) ( ANTENNA_32 DIODE ) ( output395 A ) ( _033_ LO ) 
+  + ROUTED met1 ( 144720 67525 ) ( 146160 67525 )
+    NEW met1 ( 146160 67525 ) ( 272400 67525 )
+    NEW met1 ( 271440 281015 ) ( 272400 281015 )
+    NEW met2 ( 272400 67525 ) ( 272400 281015 )
+    NEW li1 ( 146160 67525 ) L1M1_PR_MR
+    NEW li1 ( 144720 67525 ) L1M1_PR_MR
+    NEW met1 ( 272400 67525 ) M1M2_PR
+    NEW li1 ( 272400 281015 ) L1M1_PR_MR
+    NEW met1 ( 272400 281015 ) M1M2_PR
+    NEW li1 ( 271440 281015 ) L1M1_PR_MR
+    NEW met1 ( 272400 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net396 ( ANTENNA_35 DIODE ) ( ANTENNA_34 DIODE ) ( output396 A ) ( _013_ HI ) 
+  + ROUTED met1 ( 220080 62715 ) ( 234480 62715 )
+    NEW met1 ( 218640 62715 ) ( 220080 62715 )
+    NEW met2 ( 234480 62715 ) ( 234480 281755 )
+    NEW met1 ( 240240 282125 ) ( 278640 282125 )
+    NEW met1 ( 240240 281755 ) ( 240240 282125 )
+    NEW met1 ( 280080 281755 ) ( 280080 282125 )
+    NEW met1 ( 278640 282125 ) ( 280080 282125 )
+    NEW met1 ( 234480 281755 ) ( 240240 281755 )
+    NEW li1 ( 220080 62715 ) L1M1_PR_MR
+    NEW met1 ( 234480 62715 ) M1M2_PR
+    NEW li1 ( 218640 62715 ) L1M1_PR_MR
+    NEW met1 ( 234480 281755 ) M1M2_PR
+    NEW li1 ( 278640 282125 ) L1M1_PR_MR
+    NEW li1 ( 280080 281755 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net397 ( ANTENNA_37 DIODE ) ( ANTENNA_36 DIODE ) ( output397 A ) ( _014_ HI ) 
+  + ROUTED met2 ( 275280 50875 ) ( 275280 51430 )
+    NEW met2 ( 275280 51430 ) ( 275760 51430 )
+    NEW met2 ( 275760 51430 ) ( 275760 52355 )
+    NEW met1 ( 275760 52355 ) ( 286320 52355 )
+    NEW met1 ( 286320 276945 ) ( 286800 276945 )
+    NEW met1 ( 286800 276945 ) ( 286800 277685 )
+    NEW met2 ( 286320 52355 ) ( 286320 276945 )
+    NEW met1 ( 286800 277685 ) ( 288240 277685 )
+    NEW met1 ( 47280 50875 ) ( 48720 50875 )
+    NEW met1 ( 48720 50875 ) ( 275280 50875 )
+    NEW li1 ( 288240 277685 ) L1M1_PR_MR
+    NEW met1 ( 275280 50875 ) M1M2_PR
+    NEW met1 ( 275760 52355 ) M1M2_PR
+    NEW met1 ( 286320 52355 ) M1M2_PR
+    NEW li1 ( 286800 276945 ) L1M1_PR_MR
+    NEW met1 ( 286320 276945 ) M1M2_PR
+    NEW li1 ( 48720 50875 ) L1M1_PR_MR
+    NEW li1 ( 47280 50875 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net398 ( ANTENNA_38 DIODE ) ( output398 A ) ( _034_ LO ) 
+  + ROUTED met2 ( 130320 172605 ) ( 130320 274355 )
+    NEW met1 ( 288240 274355 ) ( 289200 274355 )
+    NEW met1 ( 130320 274355 ) ( 288240 274355 )
+    NEW li1 ( 130320 172605 ) L1M1_PR_MR
+    NEW met1 ( 130320 172605 ) M1M2_PR
+    NEW met1 ( 130320 274355 ) M1M2_PR
+    NEW li1 ( 289200 274355 ) L1M1_PR_MR
+    NEW li1 ( 288240 274355 ) L1M1_PR_MR
+    NEW met1 ( 130320 172605 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net399 ( output399 A ) ( _017_ HI ) 
+  + ROUTED met2 ( 28080 157065 ) ( 28080 281015 )
+    NEW met1 ( 28080 157065 ) ( 94320 157065 )
+    NEW met1 ( 28080 157065 ) M1M2_PR
+    NEW li1 ( 28080 281015 ) L1M1_PR_MR
+    NEW met1 ( 28080 281015 ) M1M2_PR
+    NEW li1 ( 94320 157065 ) L1M1_PR_MR
+    NEW met1 ( 28080 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net400 ( output400 A ) ( _018_ HI ) 
+  + ROUTED met2 ( 36240 278055 ) ( 36240 281015 )
+    NEW met1 ( 36240 278055 ) ( 272400 278055 )
+    NEW met1 ( 36240 278055 ) M1M2_PR
+    NEW li1 ( 36240 281015 ) L1M1_PR_MR
+    NEW met1 ( 36240 281015 ) M1M2_PR
+    NEW li1 ( 272400 278055 ) L1M1_PR_MR
+    NEW met1 ( 36240 281015 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net401 ( ANTENNA_40 DIODE ) ( ANTENNA_39 DIODE ) ( output401 A ) ( _219_ LO ) 
+  + ROUTED met1 ( 27600 145965 ) ( 29040 145965 )
+    NEW met2 ( 39600 145965 ) ( 39600 187200 )
+    NEW met2 ( 39600 187200 ) ( 41040 187200 )
+    NEW met1 ( 29040 145965 ) ( 39600 145965 )
+    NEW met1 ( 41040 281015 ) ( 42480 281015 )
+    NEW met2 ( 41040 187200 ) ( 41040 281015 )
+    NEW li1 ( 29040 145965 ) L1M1_PR_MR
+    NEW li1 ( 27600 145965 ) L1M1_PR_MR
+    NEW met1 ( 39600 145965 ) M1M2_PR
+    NEW li1 ( 41040 281015 ) L1M1_PR_MR
+    NEW met1 ( 41040 281015 ) M1M2_PR
+    NEW li1 ( 42480 281015 ) L1M1_PR_MR
+    NEW met1 ( 41040 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net402 ( output402 A ) ( _019_ HI ) 
+  + ROUTED met2 ( 52080 250305 ) ( 52080 281015 )
+    NEW met1 ( 52080 250305 ) ( 228720 250305 )
+    NEW li1 ( 228720 250305 ) L1M1_PR_MR
+    NEW met1 ( 52080 250305 ) M1M2_PR
+    NEW li1 ( 52080 281015 ) L1M1_PR_MR
+    NEW met1 ( 52080 281015 ) M1M2_PR
+    NEW met1 ( 52080 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net403 ( ANTENNA_42 DIODE ) ( ANTENNA_41 DIODE ) ( output403 A ) ( _020_ HI ) 
+  + ROUTED met1 ( 86400 140415 ) ( 86400 141155 )
+    NEW met1 ( 57840 141155 ) ( 86400 141155 )
+    NEW met1 ( 57840 281015 ) ( 59760 281015 )
+    NEW met2 ( 57840 141155 ) ( 57840 281015 )
+    NEW met1 ( 86400 140415 ) ( 136800 140415 )
+    NEW met1 ( 140880 141155 ) ( 141360 141155 )
+    NEW met1 ( 136800 140415 ) ( 136800 141155 )
+    NEW met1 ( 136800 141155 ) ( 140880 141155 )
+    NEW met1 ( 57840 141155 ) M1M2_PR
+    NEW li1 ( 57840 281015 ) L1M1_PR_MR
+    NEW met1 ( 57840 281015 ) M1M2_PR
+    NEW li1 ( 59760 281015 ) L1M1_PR_MR
+    NEW li1 ( 140880 141155 ) L1M1_PR_MR
+    NEW li1 ( 141360 141155 ) L1M1_PR_MR
+    NEW met1 ( 57840 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net404 ( output404 A ) ( _021_ HI ) 
+  + ROUTED met1 ( 114480 262515 ) ( 135600 262515 )
+    NEW met2 ( 114480 262515 ) ( 114480 281385 )
+    NEW met1 ( 67920 281385 ) ( 114480 281385 )
+    NEW li1 ( 135600 262515 ) L1M1_PR_MR
+    NEW met1 ( 114480 262515 ) M1M2_PR
+    NEW met1 ( 114480 281385 ) M1M2_PR
+    NEW li1 ( 67920 281385 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net405 ( output405 A ) ( _220_ LO ) 
+  + ROUTED met1 ( 76080 127465 ) ( 246480 127465 )
+    NEW met1 ( 75600 281015 ) ( 76080 281015 )
+    NEW met2 ( 76080 127465 ) ( 76080 281015 )
+    NEW met1 ( 76080 127465 ) M1M2_PR
+    NEW li1 ( 246480 127465 ) L1M1_PR_MR
+    NEW met1 ( 76080 281015 ) M1M2_PR
+    NEW li1 ( 75600 281015 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net406 ( output406 A ) ( _035_ LO ) 
+  + ROUTED met1 ( 8880 277685 ) ( 9360 277685 )
+    NEW met2 ( 9360 187035 ) ( 9360 277685 )
+    NEW met1 ( 73680 187405 ) ( 75120 187405 )
+    NEW met1 ( 9360 187035 ) ( 73680 187035 )
+    NEW met1 ( 73680 187035 ) ( 73680 187405 )
+    NEW met1 ( 75120 187035 ) ( 75120 187405 )
+    NEW met1 ( 75120 187035 ) ( 104400 187035 )
+    NEW met1 ( 9360 187035 ) M1M2_PR
+    NEW met1 ( 9360 277685 ) M1M2_PR
+    NEW li1 ( 8880 277685 ) L1M1_PR_MR
+    NEW li1 ( 104400 187035 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net407 ( output407 A ) ( _042_ LO ) 
+  + ROUTED met1 ( 86160 207385 ) ( 86160 207755 )
+    NEW met1 ( 86160 207385 ) ( 200400 207385 )
+    NEW met2 ( 86160 207755 ) ( 86160 281015 )
+    NEW li1 ( 200400 207385 ) L1M1_PR_MR
+    NEW met1 ( 86160 207755 ) M1M2_PR
+    NEW li1 ( 86160 281015 ) L1M1_PR_MR
+    NEW met1 ( 86160 281015 ) M1M2_PR
+    NEW met1 ( 86160 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net408 ( ANTENNA_44 DIODE ) ( ANTENNA_43 DIODE ) ( output408 A ) ( _043_ LO ) 
+  + ROUTED met1 ( 95280 281755 ) ( 95280 282125 )
+    NEW met1 ( 93840 281755 ) ( 95280 281755 )
+    NEW met1 ( 141840 92685 ) ( 148560 92685 )
+    NEW met1 ( 148560 92685 ) ( 149520 92685 )
+    NEW met1 ( 95280 282125 ) ( 141840 282125 )
+    NEW met2 ( 141840 92685 ) ( 141840 282125 )
+    NEW li1 ( 95280 281755 ) L1M1_PR_MR
+    NEW li1 ( 93840 281755 ) L1M1_PR_MR
+    NEW li1 ( 148560 92685 ) L1M1_PR_MR
+    NEW met1 ( 141840 92685 ) M1M2_PR
+    NEW li1 ( 149520 92685 ) L1M1_PR_MR
+    NEW met1 ( 141840 282125 ) M1M2_PR
++ USE SIGNAL ;
+- net409 ( ANTENNA_46 DIODE ) ( ANTENNA_45 DIODE ) ( output409 A ) ( _044_ LO ) 
+  + ROUTED met1 ( 100080 277685 ) ( 101520 277685 )
+    NEW met1 ( 100080 276945 ) ( 100080 277685 )
+    NEW met2 ( 100080 135235 ) ( 100080 276945 )
+    NEW met1 ( 58320 135235 ) ( 59760 135235 )
+    NEW met1 ( 59760 135235 ) ( 100080 135235 )
+    NEW met1 ( 100080 135235 ) M1M2_PR
+    NEW li1 ( 100080 276945 ) L1M1_PR_MR
+    NEW met1 ( 100080 276945 ) M1M2_PR
+    NEW li1 ( 101520 277685 ) L1M1_PR_MR
+    NEW li1 ( 59760 135235 ) L1M1_PR_MR
+    NEW li1 ( 58320 135235 ) L1M1_PR_MR
+    NEW met1 ( 100080 276945 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net410 ( ANTENNA_48 DIODE ) ( ANTENNA_47 DIODE ) ( output410 A ) ( _045_ LO ) 
+  + ROUTED met1 ( 95280 81955 ) ( 110640 81955 )
+    NEW met1 ( 93840 81955 ) ( 95280 81955 )
+    NEW met1 ( 109680 281015 ) ( 110640 281015 )
+    NEW met2 ( 110640 81955 ) ( 110640 281015 )
+    NEW li1 ( 95280 81955 ) L1M1_PR_MR
+    NEW met1 ( 110640 81955 ) M1M2_PR
+    NEW li1 ( 93840 81955 ) L1M1_PR_MR
+    NEW li1 ( 110640 281015 ) L1M1_PR_MR
+    NEW met1 ( 110640 281015 ) M1M2_PR
+    NEW li1 ( 109680 281015 ) L1M1_PR_MR
+    NEW met1 ( 110640 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net411 ( ANTENNA_50 DIODE ) ( ANTENNA_49 DIODE ) ( output411 A ) ( AOI22X1 Y ) 
+  + ROUTED met1 ( 115920 277685 ) ( 117360 277685 )
+    NEW met1 ( 115920 276945 ) ( 115920 277685 )
+    NEW met2 ( 115920 49395 ) ( 115920 276945 )
+    NEW met2 ( 69840 45695 ) ( 69840 49395 )
+    NEW met1 ( 69840 49395 ) ( 115920 49395 )
+    NEW met2 ( 41520 43845 ) ( 41520 44030 )
+    NEW met3 ( 41520 44030 ) ( 46320 44030 )
+    NEW met2 ( 46320 44030 ) ( 46320 45695 )
+    NEW met1 ( 38160 44215 ) ( 38640 44215 )
+    NEW met2 ( 38640 44030 ) ( 38640 44215 )
+    NEW met3 ( 38640 44030 ) ( 41520 44030 )
+    NEW met1 ( 46320 45695 ) ( 69840 45695 )
+    NEW met1 ( 115920 49395 ) M1M2_PR
+    NEW li1 ( 115920 276945 ) L1M1_PR_MR
+    NEW met1 ( 115920 276945 ) M1M2_PR
+    NEW li1 ( 117360 277685 ) L1M1_PR_MR
+    NEW met1 ( 69840 45695 ) M1M2_PR
+    NEW met1 ( 69840 49395 ) M1M2_PR
+    NEW met1 ( 41520 43845 ) M1M2_PR
+    NEW met2 ( 41520 44030 ) via2_FR
+    NEW met2 ( 46320 44030 ) via2_FR
+    NEW met1 ( 46320 45695 ) M1M2_PR
+    NEW li1 ( 38160 44215 ) L1M1_PR_MR
+    NEW met1 ( 38640 44215 ) M1M2_PR
+    NEW met2 ( 38640 44030 ) via2_FR
+    NEW met1 ( 115920 276945 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net412 ( output412 A ) ( _046_ LO ) 
+  + ROUTED met1 ( 125040 277685 ) ( 125520 277685 )
+    NEW met2 ( 125040 154105 ) ( 125040 277685 )
+    NEW met1 ( 53520 154105 ) ( 125040 154105 )
+    NEW met1 ( 125040 154105 ) M1M2_PR
+    NEW met1 ( 125040 277685 ) M1M2_PR
+    NEW li1 ( 125520 277685 ) L1M1_PR_MR
+    NEW li1 ( 53520 154105 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net413 ( ANTENNA_52 DIODE ) ( ANTENNA_51 DIODE ) ( output413 A ) ( BUFX2 Y ) 
+  + ROUTED met1 ( 132720 281015 ) ( 134160 281015 )
+    NEW met2 ( 132720 53835 ) ( 132720 281015 )
+    NEW met1 ( 41760 52355 0 ) ( 48240 52355 )
+    NEW met2 ( 48240 52355 ) ( 48240 53835 )
+    NEW met1 ( 38160 52725 ) ( 39840 52725 )
+    NEW met1 ( 39840 52355 ) ( 39840 52725 )
+    NEW met1 ( 39840 52355 ) ( 41760 52355 0 )
+    NEW met1 ( 48240 53835 ) ( 132720 53835 )
+    NEW met1 ( 132720 53835 ) M1M2_PR
+    NEW li1 ( 132720 281015 ) L1M1_PR_MR
+    NEW met1 ( 132720 281015 ) M1M2_PR
+    NEW li1 ( 134160 281015 ) L1M1_PR_MR
+    NEW met1 ( 48240 52355 ) M1M2_PR
+    NEW met1 ( 48240 53835 ) M1M2_PR
+    NEW li1 ( 38160 52725 ) L1M1_PR_MR
+    NEW met1 ( 132720 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net414 ( ANTENNA_54 DIODE ) ( ANTENNA_53 DIODE ) ( output414 A ) ( _047_ LO ) 
+  + ROUTED met1 ( 245040 131165 ) ( 246000 131165 )
+    NEW met1 ( 245040 130425 ) ( 245040 131165 )
+    NEW met1 ( 140880 130425 ) ( 245040 130425 )
+    NEW met1 ( 140880 281015 ) ( 142800 281015 )
+    NEW met2 ( 140880 130425 ) ( 140880 281015 )
+    NEW met1 ( 140880 130425 ) M1M2_PR
+    NEW li1 ( 245040 130425 ) L1M1_PR_MR
+    NEW li1 ( 246000 131165 ) L1M1_PR_MR
+    NEW li1 ( 140880 281015 ) L1M1_PR_MR
+    NEW met1 ( 140880 281015 ) M1M2_PR
+    NEW li1 ( 142800 281015 ) L1M1_PR_MR
+    NEW met1 ( 140880 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net415 ( ANTENNA_56 DIODE ) ( ANTENNA_55 DIODE ) ( output415 A ) ( BUFX4 Y ) 
+  + ROUTED met1 ( 146640 281015 ) ( 148080 281015 )
+    NEW met2 ( 146640 59385 ) ( 146640 281015 )
+    NEW met2 ( 42960 59015 ) ( 42960 59570 )
+    NEW met2 ( 42960 59570 ) ( 43920 59570 )
+    NEW met2 ( 43920 59385 ) ( 43920 59570 )
+    NEW met1 ( 38160 59385 ) ( 39840 59385 )
+    NEW met1 ( 39840 59015 ) ( 39840 59385 )
+    NEW met1 ( 39840 59015 ) ( 41520 59015 )
+    NEW met1 ( 41520 59015 ) ( 41520 59385 )
+    NEW met1 ( 41520 59385 ) ( 42000 59385 )
+    NEW met2 ( 42000 59385 ) ( 42000 59570 )
+    NEW met2 ( 42000 59570 ) ( 42960 59570 )
+    NEW met1 ( 43920 59385 ) ( 146640 59385 )
+    NEW met1 ( 146640 59385 ) M1M2_PR
+    NEW li1 ( 146640 281015 ) L1M1_PR_MR
+    NEW met1 ( 146640 281015 ) M1M2_PR
+    NEW li1 ( 148080 281015 ) L1M1_PR_MR
+    NEW met1 ( 42960 59015 ) M1M2_PR
+    NEW met1 ( 43920 59385 ) M1M2_PR
+    NEW li1 ( 38160 59385 ) L1M1_PR_MR
+    NEW met1 ( 42000 59385 ) M1M2_PR
+    NEW met1 ( 146640 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net416 ( output416 A ) ( _048_ LO ) 
+  + ROUTED met1 ( 96720 185925 ) ( 126000 185925 )
+    NEW met2 ( 126000 185925 ) ( 126000 281755 )
+    NEW met1 ( 126000 281755 ) ( 158640 281755 )
+    NEW li1 ( 96720 185925 ) L1M1_PR_MR
+    NEW met1 ( 126000 185925 ) M1M2_PR
+    NEW met1 ( 126000 281755 ) M1M2_PR
+    NEW li1 ( 158640 281755 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net417 ( output417 A ) ( _036_ LO ) 
+  + ROUTED met2 ( 16560 197765 ) ( 16560 281015 )
+    NEW met1 ( 16560 197765 ) ( 265680 197765 )
+    NEW met1 ( 16560 197765 ) M1M2_PR
+    NEW li1 ( 16560 281015 ) L1M1_PR_MR
+    NEW met1 ( 16560 281015 ) M1M2_PR
+    NEW li1 ( 265680 197765 ) L1M1_PR_MR
+    NEW met1 ( 16560 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net418 ( ANTENNA_58 DIODE ) ( ANTENNA_57 DIODE ) ( output418 A ) ( CLKBUF1 Y ) 
+  + ROUTED met1 ( 164880 281015 ) ( 165360 281015 )
+    NEW met1 ( 165360 281015 ) ( 165840 281015 )
+    NEW met2 ( 165360 68265 ) ( 165360 281015 )
+    NEW met2 ( 38160 66045 ) ( 38160 67155 )
+    NEW met1 ( 38160 67155 ) ( 49680 67155 )
+    NEW met1 ( 49680 68265 ) ( 165360 68265 )
+    NEW met1 ( 48965 63825 0 ) ( 49680 63825 )
+    NEW met2 ( 49680 63825 ) ( 49680 68265 )
+    NEW met1 ( 165360 68265 ) M1M2_PR
+    NEW li1 ( 164880 281015 ) L1M1_PR_MR
+    NEW met1 ( 165360 281015 ) M1M2_PR
+    NEW li1 ( 165840 281015 ) L1M1_PR_MR
+    NEW met1 ( 49680 68265 ) M1M2_PR
+    NEW li1 ( 38160 66045 ) L1M1_PR_MR
+    NEW met1 ( 38160 66045 ) M1M2_PR
+    NEW met1 ( 38160 67155 ) M1M2_PR
+    NEW met1 ( 49680 67155 ) M1M2_PR
+    NEW met1 ( 49680 63825 ) M1M2_PR
+    NEW met1 ( 38160 66045 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 49680 67155 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- net419 ( ANTENNA_60 DIODE ) ( ANTENNA_59 DIODE ) ( output419 A ) ( _049_ LO ) 
+  + ROUTED met1 ( 207600 61975 ) ( 208560 61975 )
+    NEW met1 ( 174000 61975 ) ( 207600 61975 )
+    NEW met1 ( 172560 281015 ) ( 174000 281015 )
+    NEW met2 ( 174000 61975 ) ( 174000 281015 )
+    NEW li1 ( 207600 61975 ) L1M1_PR_MR
+    NEW li1 ( 208560 61975 ) L1M1_PR_MR
+    NEW met1 ( 174000 61975 ) M1M2_PR
+    NEW li1 ( 174000 281015 ) L1M1_PR_MR
+    NEW met1 ( 174000 281015 ) M1M2_PR
+    NEW li1 ( 172560 281015 ) L1M1_PR_MR
+    NEW met1 ( 174000 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net420 ( ANTENNA_62 DIODE ) ( ANTENNA_61 DIODE ) ( output420 A ) ( INV Y ) 
+  + ROUTED met1 ( 183600 281015 ) ( 184560 281015 )
+    NEW met2 ( 184560 70855 ) ( 184560 281015 )
+    NEW met1 ( 39120 72335 0 ) ( 40560 72335 )
+    NEW li1 ( 40560 70855 ) ( 40560 72335 )
+    NEW met1 ( 38160 71965 ) ( 38640 71965 )
+    NEW met1 ( 38640 71965 ) ( 38640 72335 )
+    NEW met1 ( 38640 72335 ) ( 39120 72335 0 )
+    NEW met1 ( 40560 70855 ) ( 184560 70855 )
+    NEW met1 ( 184560 70855 ) M1M2_PR
+    NEW li1 ( 184560 281015 ) L1M1_PR_MR
+    NEW met1 ( 184560 281015 ) M1M2_PR
+    NEW li1 ( 183600 281015 ) L1M1_PR_MR
+    NEW li1 ( 40560 72335 ) L1M1_PR_MR
+    NEW li1 ( 40560 70855 ) L1M1_PR_MR
+    NEW li1 ( 38160 71965 ) L1M1_PR_MR
+    NEW met1 ( 184560 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net421 ( output421 A ) ( _050_ LO ) 
+  + ROUTED met2 ( 188880 191475 ) ( 188880 281015 )
+    NEW met1 ( 188880 191475 ) ( 285840 191475 )
+    NEW met1 ( 188880 191475 ) M1M2_PR
+    NEW li1 ( 188880 281015 ) L1M1_PR_MR
+    NEW met1 ( 188880 281015 ) M1M2_PR
+    NEW li1 ( 285840 191475 ) L1M1_PR_MR
+    NEW met1 ( 188880 281015 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net422 ( ANTENNA_64 DIODE ) ( ANTENNA_63 DIODE ) ( output422 A ) ( INVX1 Y ) 
+  + ROUTED met1 ( 195120 277685 ) ( 196080 277685 )
+    NEW met2 ( 196080 77145 ) ( 196080 277685 )
+    NEW met1 ( 38160 77145 ) ( 39120 77145 0 )
+    NEW met1 ( 39120 77145 0 ) ( 196080 77145 )
+    NEW met1 ( 196080 77145 ) M1M2_PR
+    NEW li1 ( 196080 277685 ) L1M1_PR_MR
+    NEW met1 ( 196080 277685 ) M1M2_PR
+    NEW li1 ( 195120 277685 ) L1M1_PR_MR
+    NEW li1 ( 38160 77145 ) L1M1_PR_MR
+    NEW met1 ( 196080 277685 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net423 ( ANTENNA_66 DIODE ) ( ANTENNA_65 DIODE ) ( output423 A ) ( _051_ LO ) 
+  + ROUTED met2 ( 202800 275835 ) ( 202800 276945 )
+    NEW met1 ( 202800 277685 ) ( 204240 277685 )
+    NEW met2 ( 202800 276945 ) ( 202800 277685 )
+    NEW met1 ( 75600 86025 ) ( 77040 86025 )
+    NEW met2 ( 77040 86025 ) ( 77040 275835 )
+    NEW met1 ( 77040 275835 ) ( 202800 275835 )
+    NEW li1 ( 202800 276945 ) L1M1_PR_MR
+    NEW met1 ( 202800 276945 ) M1M2_PR
+    NEW met1 ( 202800 275835 ) M1M2_PR
+    NEW li1 ( 204240 277685 ) L1M1_PR_MR
+    NEW met1 ( 202800 277685 ) M1M2_PR
+    NEW li1 ( 77040 86025 ) L1M1_PR_MR
+    NEW met1 ( 77040 86025 ) M1M2_PR
+    NEW li1 ( 75600 86025 ) L1M1_PR_MR
+    NEW met1 ( 77040 275835 ) M1M2_PR
+    NEW met1 ( 202800 276945 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 77040 86025 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net424 ( ANTENNA_68 DIODE ) ( ANTENNA_67 DIODE ) ( output424 A ) ( INVX2 Y ) 
+  + ROUTED met1 ( 211920 281015 ) ( 213360 281015 )
+    NEW met2 ( 211920 83805 ) ( 211920 281015 )
+    NEW met1 ( 38160 83805 ) ( 39120 83805 0 )
+    NEW met1 ( 39120 83805 0 ) ( 211920 83805 )
+    NEW met1 ( 211920 83805 ) M1M2_PR
+    NEW li1 ( 211920 281015 ) L1M1_PR_MR
+    NEW met1 ( 211920 281015 ) M1M2_PR
+    NEW li1 ( 213360 281015 ) L1M1_PR_MR
+    NEW li1 ( 38160 83805 ) L1M1_PR_MR
+    NEW met1 ( 211920 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net425 ( ANTENNA_70 DIODE ) ( ANTENNA_69 DIODE ) ( output425 A ) ( _052_ LO ) 
+  + ROUTED met1 ( 124080 104895 ) ( 125520 104895 )
+    NEW met1 ( 220080 281015 ) ( 221040 281015 )
+    NEW met2 ( 221040 104895 ) ( 221040 281015 )
+    NEW met1 ( 125520 104895 ) ( 221040 104895 )
+    NEW li1 ( 125520 104895 ) L1M1_PR_MR
+    NEW li1 ( 124080 104895 ) L1M1_PR_MR
+    NEW met1 ( 221040 104895 ) M1M2_PR
+    NEW li1 ( 221040 281015 ) L1M1_PR_MR
+    NEW met1 ( 221040 281015 ) M1M2_PR
+    NEW li1 ( 220080 281015 ) L1M1_PR_MR
+    NEW met1 ( 221040 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net426 ( ANTENNA_72 DIODE ) ( ANTENNA_71 DIODE ) ( output426 A ) ( INVX4 Y ) 
+  + ROUTED met1 ( 226320 277685 ) ( 227760 277685 )
+    NEW met2 ( 226320 276945 ) ( 226320 277685 )
+    NEW met2 ( 226320 92315 ) ( 226320 276945 )
+    NEW met1 ( 86400 92315 ) ( 86400 92685 )
+    NEW met1 ( 86400 92315 ) ( 226320 92315 )
+    NEW met1 ( 41040 92315 0 ) ( 41040 92685 )
+    NEW met1 ( 38160 92685 ) ( 39600 92685 )
+    NEW li1 ( 39600 92315 ) ( 39600 92685 )
+    NEW li1 ( 39600 92315 ) ( 41040 92315 )
+    NEW li1 ( 41040 92315 ) ( 41040 92685 )
+    NEW met1 ( 41040 92685 ) ( 86400 92685 )
+    NEW met1 ( 226320 92315 ) M1M2_PR
+    NEW li1 ( 226320 276945 ) L1M1_PR_MR
+    NEW met1 ( 226320 276945 ) M1M2_PR
+    NEW li1 ( 227760 277685 ) L1M1_PR_MR
+    NEW met1 ( 226320 277685 ) M1M2_PR
+    NEW li1 ( 38160 92685 ) L1M1_PR_MR
+    NEW li1 ( 39600 92685 ) L1M1_PR_MR
+    NEW li1 ( 41040 92685 ) L1M1_PR_MR
+    NEW met1 ( 226320 276945 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 41040 92685 ) RECT ( 0 -70 595 70 )
++ USE SIGNAL ;
+- net427 ( ANTENNA_74 DIODE ) ( ANTENNA_73 DIODE ) ( output427 A ) ( _053_ LO ) 
+  + ROUTED met1 ( 234480 277685 ) ( 235920 277685 )
+    NEW met1 ( 247920 76035 ) ( 248400 76035 )
+    NEW met1 ( 248400 75295 ) ( 248400 76035 )
+    NEW met1 ( 235920 277685 ) ( 248400 277685 )
+    NEW met2 ( 248400 76035 ) ( 248400 277685 )
+    NEW li1 ( 235920 277685 ) L1M1_PR_MR
+    NEW li1 ( 234480 277685 ) L1M1_PR_MR
+    NEW li1 ( 247920 76035 ) L1M1_PR_MR
+    NEW met1 ( 248400 76035 ) M1M2_PR
+    NEW li1 ( 248400 75295 ) L1M1_PR_MR
+    NEW met1 ( 248400 277685 ) M1M2_PR
++ USE SIGNAL ;
+- net428 ( ANTENNA_76 DIODE ) ( ANTENNA_75 DIODE ) ( output428 A ) ( AND2X1 Y ) 
+  + ROUTED met1 ( 22800 277685 ) ( 23280 277685 )
+    NEW met1 ( 21360 277685 ) ( 22800 277685 )
+    NEW met1 ( 23760 26085 ) ( 37680 26085 )
+    NEW met2 ( 23760 26085 ) ( 23760 32190 )
+    NEW met2 ( 23280 32190 ) ( 23760 32190 )
+    NEW met1 ( 43200 25715 0 ) ( 43200 26085 )
+    NEW met1 ( 42480 26085 ) ( 43200 26085 )
+    NEW li1 ( 42480 25715 ) ( 42480 26085 )
+    NEW li1 ( 41040 25715 ) ( 42480 25715 )
+    NEW li1 ( 41040 25715 ) ( 41040 26085 )
+    NEW li1 ( 39600 26085 ) ( 41040 26085 )
+    NEW li1 ( 39600 25715 ) ( 39600 26085 )
+    NEW li1 ( 38640 25715 ) ( 39600 25715 )
+    NEW li1 ( 38640 25715 ) ( 38640 26085 )
+    NEW met1 ( 37680 26085 ) ( 38640 26085 )
+    NEW met2 ( 23280 32190 ) ( 23280 277685 )
+    NEW li1 ( 22800 277685 ) L1M1_PR_MR
+    NEW met1 ( 23280 277685 ) M1M2_PR
+    NEW li1 ( 21360 277685 ) L1M1_PR_MR
+    NEW li1 ( 37680 26085 ) L1M1_PR_MR
+    NEW met1 ( 23760 26085 ) M1M2_PR
+    NEW li1 ( 42480 26085 ) L1M1_PR_MR
+    NEW li1 ( 38640 26085 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net429 ( ANTENNA_78 DIODE ) ( ANTENNA_77 DIODE ) ( output429 A ) ( INVX8 Y ) 
+  + ROUTED met1 ( 243600 281015 ) ( 244080 281015 )
+    NEW met1 ( 244080 281015 ) ( 244560 281015 )
+    NEW met2 ( 244080 99345 ) ( 244080 281015 )
+    NEW met1 ( 43920 98975 0 ) ( 43920 99345 )
+    NEW met2 ( 38160 99345 ) ( 38160 100455 )
+    NEW met1 ( 38160 100455 ) ( 43920 100455 )
+    NEW met2 ( 43920 99345 ) ( 43920 100455 )
+    NEW met1 ( 43920 99345 ) ( 244080 99345 )
+    NEW met1 ( 244080 99345 ) M1M2_PR
+    NEW li1 ( 243600 281015 ) L1M1_PR_MR
+    NEW met1 ( 244080 281015 ) M1M2_PR
+    NEW li1 ( 244560 281015 ) L1M1_PR_MR
+    NEW li1 ( 38160 99345 ) L1M1_PR_MR
+    NEW met1 ( 38160 99345 ) M1M2_PR
+    NEW met1 ( 38160 100455 ) M1M2_PR
+    NEW met1 ( 43920 100455 ) M1M2_PR
+    NEW met1 ( 43920 99345 ) M1M2_PR
+    NEW met1 ( 38160 99345 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 43920 99345 ) RECT ( 0 -70 595 70 )
++ USE SIGNAL ;
+- net430 ( output430 A ) ( _054_ LO ) 
+  + ROUTED met2 ( 245520 272505 ) ( 245520 281015 )
+    NEW met1 ( 245520 281015 ) ( 253200 281015 )
+    NEW met1 ( 226800 272505 ) ( 245520 272505 )
+    NEW li1 ( 226800 272505 ) L1M1_PR_MR
+    NEW met1 ( 245520 272505 ) M1M2_PR
+    NEW met1 ( 245520 281015 ) M1M2_PR
+    NEW li1 ( 253200 281015 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net431 ( ANTENNA_81 DIODE ) ( ANTENNA_80 DIODE ) ( ANTENNA_79 DIODE ) ( output431 A ) 
+( _055_ LO ) 
+  + ROUTED met1 ( 258480 276945 ) ( 258960 276945 )
+    NEW met1 ( 258960 277685 ) ( 259440 277685 )
+    NEW met2 ( 258960 276945 ) ( 258960 277685 )
+    NEW met1 ( 259440 277685 ) ( 260880 277685 )
+    NEW met2 ( 258960 74925 ) ( 258960 276945 )
+    NEW met1 ( 47760 74925 ) ( 49200 74925 )
+    NEW met1 ( 49200 74925 ) ( 258960 74925 )
+    NEW met1 ( 258960 74925 ) M1M2_PR
+    NEW li1 ( 258480 276945 ) L1M1_PR_MR
+    NEW met1 ( 258960 276945 ) M1M2_PR
+    NEW li1 ( 259440 277685 ) L1M1_PR_MR
+    NEW met1 ( 258960 277685 ) M1M2_PR
+    NEW li1 ( 260880 277685 ) L1M1_PR_MR
+    NEW li1 ( 49200 74925 ) L1M1_PR_MR
+    NEW li1 ( 47760 74925 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net432 ( output432 A ) ( _056_ LO ) 
+  + ROUTED met2 ( 269040 264365 ) ( 269040 281015 )
+    NEW met1 ( 167760 264365 ) ( 269040 264365 )
+    NEW li1 ( 167760 264365 ) L1M1_PR_MR
+    NEW met1 ( 269040 264365 ) M1M2_PR
+    NEW li1 ( 269040 281015 ) L1M1_PR_MR
+    NEW met1 ( 269040 281015 ) M1M2_PR
+    NEW met1 ( 269040 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net433 ( ANTENNA_84 DIODE ) ( ANTENNA_83 DIODE ) ( ANTENNA_82 DIODE ) ( output433 A ) 
+( MUX2X1 Y ) 
+  + ROUTED met1 ( 275280 281015 ) ( 276240 281015 )
+    NEW met1 ( 276240 281015 ) ( 277680 281015 )
+    NEW met2 ( 276240 103785 ) ( 276240 281015 )
+    NEW met2 ( 43920 102675 ) ( 43920 103785 )
+    NEW met1 ( 38160 102675 ) ( 43920 102675 )
+    NEW met2 ( 38160 102675 ) ( 38160 103785 )
+    NEW met2 ( 46800 102675 ) ( 46800 103785 )
+    NEW met1 ( 43920 102675 ) ( 46800 102675 )
+    NEW met1 ( 46800 103785 ) ( 276240 103785 )
+    NEW met1 ( 276240 103785 ) M1M2_PR
+    NEW li1 ( 276240 281015 ) L1M1_PR_MR
+    NEW met1 ( 276240 281015 ) M1M2_PR
+    NEW li1 ( 275280 281015 ) L1M1_PR_MR
+    NEW li1 ( 277680 281015 ) L1M1_PR_MR
+    NEW met1 ( 43920 103785 ) M1M2_PR
+    NEW met1 ( 43920 102675 ) M1M2_PR
+    NEW met1 ( 38160 102675 ) M1M2_PR
+    NEW li1 ( 38160 103785 ) L1M1_PR_MR
+    NEW met1 ( 38160 103785 ) M1M2_PR
+    NEW met1 ( 46800 103785 ) M1M2_PR
+    NEW met1 ( 46800 102675 ) M1M2_PR
+    NEW met1 ( 276240 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 38160 103785 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net434 ( output434 A ) ( _057_ LO ) 
+  + ROUTED met2 ( 161040 159285 ) ( 161040 277315 )
+    NEW met1 ( 283440 277315 ) ( 283440 277685 )
+    NEW met1 ( 161040 277315 ) ( 283440 277315 )
+    NEW li1 ( 161040 159285 ) L1M1_PR_MR
+    NEW met1 ( 161040 159285 ) M1M2_PR
+    NEW met1 ( 161040 277315 ) M1M2_PR
+    NEW li1 ( 283440 277685 ) L1M1_PR_MR
+    NEW met1 ( 161040 159285 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net435 ( output435 A ) ( _058_ LO ) 
+  + ROUTED met2 ( 289680 192585 ) ( 289680 271025 )
+    NEW met1 ( 273360 192585 ) ( 289680 192585 )
+    NEW met1 ( 289680 192585 ) M1M2_PR
+    NEW li1 ( 289680 271025 ) L1M1_PR_MR
+    NEW met1 ( 289680 271025 ) M1M2_PR
+    NEW li1 ( 273360 192585 ) L1M1_PR_MR
+    NEW met1 ( 289680 271025 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net436 ( ANTENNA_86 DIODE ) ( ANTENNA_85 DIODE ) ( output436 A ) ( NAND2X1 Y ) 
+  + ROUTED met1 ( 288240 267695 ) ( 289200 267695 )
+    NEW met1 ( 288240 266955 ) ( 288240 267695 )
+    NEW met2 ( 288240 114515 ) ( 288240 266955 )
+    NEW met2 ( 41520 112295 ) ( 41520 114515 )
+    NEW met1 ( 38160 110445 ) ( 41040 110445 )
+    NEW met2 ( 41040 110445 ) ( 41040 110630 )
+    NEW met2 ( 41040 110630 ) ( 41520 110630 )
+    NEW met2 ( 41520 110630 ) ( 41520 112295 )
+    NEW met1 ( 41520 114515 ) ( 288240 114515 )
+    NEW met1 ( 288240 114515 ) M1M2_PR
+    NEW li1 ( 288240 266955 ) L1M1_PR_MR
+    NEW met1 ( 288240 266955 ) M1M2_PR
+    NEW li1 ( 289200 267695 ) L1M1_PR_MR
+    NEW met1 ( 41520 112295 ) M1M2_PR
+    NEW met1 ( 41520 114515 ) M1M2_PR
+    NEW li1 ( 38160 110445 ) L1M1_PR_MR
+    NEW met1 ( 41040 110445 ) M1M2_PR
+    NEW met1 ( 288240 266955 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net437 ( output437 A ) ( _037_ LO ) 
+  + ROUTED met2 ( 31920 271395 ) ( 31920 281015 )
+    NEW met1 ( 31920 271395 ) ( 282480 271395 )
+    NEW met1 ( 31920 271395 ) M1M2_PR
+    NEW li1 ( 31920 281015 ) L1M1_PR_MR
+    NEW met1 ( 31920 281015 ) M1M2_PR
+    NEW li1 ( 282480 271395 ) L1M1_PR_MR
+    NEW met1 ( 31920 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net438 ( output438 A ) ( _038_ LO ) 
+  + ROUTED met1 ( 38640 239205 ) ( 56400 239205 )
+    NEW met2 ( 38640 239205 ) ( 38640 277685 )
+    NEW li1 ( 56400 239205 ) L1M1_PR_MR
+    NEW met1 ( 38640 239205 ) M1M2_PR
+    NEW li1 ( 38640 277685 ) L1M1_PR_MR
+    NEW met1 ( 38640 277685 ) M1M2_PR
+    NEW met1 ( 38640 277685 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net439 ( ANTENNA_88 DIODE ) ( ANTENNA_87 DIODE ) ( output439 A ) ( AND2X2 Y ) 
+  + ROUTED met1 ( 45360 277685 ) ( 46320 277685 )
+    NEW met2 ( 46320 64800 ) ( 46320 277685 )
+    NEW met1 ( 43200 32375 0 ) ( 45360 32375 )
+    NEW met2 ( 45360 32375 ) ( 45360 38110 )
+    NEW met2 ( 45360 38110 ) ( 45840 38110 )
+    NEW met2 ( 45840 38110 ) ( 45840 64800 )
+    NEW met2 ( 45840 64800 ) ( 46320 64800 )
+    NEW met1 ( 38160 32375 ) ( 39840 32375 )
+    NEW met1 ( 39840 32375 ) ( 39840 32745 )
+    NEW met1 ( 39840 32745 ) ( 41280 32745 )
+    NEW met1 ( 41280 32375 ) ( 41280 32745 )
+    NEW met1 ( 41280 32375 ) ( 43200 32375 0 )
+    NEW li1 ( 46320 277685 ) L1M1_PR_MR
+    NEW met1 ( 46320 277685 ) M1M2_PR
+    NEW li1 ( 45360 277685 ) L1M1_PR_MR
+    NEW met1 ( 45360 32375 ) M1M2_PR
+    NEW li1 ( 38160 32375 ) L1M1_PR_MR
+    NEW met1 ( 46320 277685 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net440 ( ANTENNA_90 DIODE ) ( ANTENNA_89 DIODE ) ( output440 A ) ( _039_ LO ) 
+  + ROUTED met1 ( 191760 48285 ) ( 192720 48285 )
+    NEW met1 ( 54480 281015 ) ( 55920 281015 )
+    NEW met1 ( 138000 47545 ) ( 138000 48285 )
+    NEW met1 ( 138000 48285 ) ( 191760 48285 )
+    NEW met2 ( 55920 47545 ) ( 55920 281015 )
+    NEW met1 ( 55920 47545 ) ( 138000 47545 )
+    NEW li1 ( 191760 48285 ) L1M1_PR_MR
+    NEW li1 ( 192720 48285 ) L1M1_PR_MR
+    NEW li1 ( 55920 281015 ) L1M1_PR_MR
+    NEW met1 ( 55920 281015 ) M1M2_PR
+    NEW li1 ( 54480 281015 ) L1M1_PR_MR
+    NEW met1 ( 55920 47545 ) M1M2_PR
+    NEW met1 ( 55920 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net441 ( output441 A ) ( _040_ LO ) 
+  + ROUTED met1 ( 63600 204425 ) ( 202320 204425 )
+    NEW met2 ( 63600 204425 ) ( 63600 281015 )
+    NEW li1 ( 202320 204425 ) L1M1_PR_MR
+    NEW met1 ( 63600 204425 ) M1M2_PR
+    NEW li1 ( 63600 281015 ) L1M1_PR_MR
+    NEW met1 ( 63600 281015 ) M1M2_PR
+    NEW met1 ( 63600 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net442 ( output442 A ) ( _041_ LO ) 
+  + ROUTED met2 ( 53040 273615 ) ( 53040 277685 )
+    NEW met1 ( 53040 277685 ) ( 70320 277685 )
+    NEW met1 ( 34320 273615 ) ( 53040 273615 )
+    NEW li1 ( 34320 273615 ) L1M1_PR_MR
+    NEW met1 ( 53040 273615 ) M1M2_PR
+    NEW met1 ( 53040 277685 ) M1M2_PR
+    NEW li1 ( 70320 277685 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net443 ( ANTENNA_92 DIODE ) ( ANTENNA_91 DIODE ) ( output443 A ) ( AOI21X1 Y ) 
+  + ROUTED met1 ( 78000 281015 ) ( 78960 281015 )
+    NEW met2 ( 78960 52725 ) ( 78960 281015 )
+    NEW met1 ( 38160 39035 ) ( 39120 39035 0 )
+    NEW met2 ( 38160 39035 ) ( 38160 53835 )
+    NEW met1 ( 38160 53835 ) ( 41520 53835 )
+    NEW met2 ( 41520 52725 ) ( 41520 53835 )
+    NEW met1 ( 41520 52725 ) ( 78960 52725 )
+    NEW met1 ( 78960 52725 ) M1M2_PR
+    NEW li1 ( 78960 281015 ) L1M1_PR_MR
+    NEW met1 ( 78960 281015 ) M1M2_PR
+    NEW li1 ( 78000 281015 ) L1M1_PR_MR
+    NEW met1 ( 38160 39035 ) M1M2_PR
+    NEW met1 ( 38160 53835 ) M1M2_PR
+    NEW met1 ( 41520 53835 ) M1M2_PR
+    NEW met1 ( 41520 52725 ) M1M2_PR
+    NEW li1 ( 38160 39035 ) L1M1_PR_MR
+    NEW met1 ( 78960 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 38160 39035 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- net444 ( output444 A ) ( _059_ LO ) 
+  + ROUTED met2 ( 72240 68635 ) ( 72240 74555 )
+    NEW met1 ( 72240 68635 ) ( 95760 68635 )
+    NEW met1 ( 8880 74555 ) ( 72240 74555 )
+    NEW li1 ( 8880 74555 ) L1M1_PR_MR
+    NEW li1 ( 95760 68635 ) L1M1_PR_MR
+    NEW met1 ( 72240 74555 ) M1M2_PR
+    NEW met1 ( 72240 68635 ) M1M2_PR
++ USE SIGNAL ;
+- net445 ( output445 A ) ( _060_ LO ) 
+  + ROUTED met1 ( 230640 92685 ) ( 231120 92685 )
+    NEW met2 ( 231120 92685 ) ( 231120 151885 )
+    NEW met1 ( 231120 151885 ) ( 289680 151885 )
+    NEW li1 ( 230640 92685 ) L1M1_PR_MR
+    NEW met1 ( 231120 92685 ) M1M2_PR
+    NEW met1 ( 231120 151885 ) M1M2_PR
+    NEW li1 ( 289680 151885 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net446 ( output446 A ) ( _061_ LO ) 
+  + ROUTED met1 ( 86400 224405 ) ( 86400 224775 )
+    NEW met1 ( 8880 224775 ) ( 86400 224775 )
+    NEW met2 ( 155280 224405 ) ( 155280 233655 )
+    NEW met1 ( 86400 224405 ) ( 155280 224405 )
+    NEW li1 ( 8880 224775 ) L1M1_PR_MR
+    NEW met1 ( 155280 224405 ) M1M2_PR
+    NEW li1 ( 155280 233655 ) L1M1_PR_MR
+    NEW met1 ( 155280 233655 ) M1M2_PR
+    NEW met1 ( 155280 233655 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net447 ( output447 A ) ( _062_ LO ) 
+  + ROUTED met2 ( 112560 18685 ) ( 112560 20535 )
+    NEW met2 ( 71760 18685 ) ( 71760 31265 )
+    NEW met1 ( 69840 31265 ) ( 71760 31265 )
+    NEW met1 ( 71760 18685 ) ( 112560 18685 )
+    NEW met1 ( 187200 20535 ) ( 187200 20905 )
+    NEW met1 ( 112560 20535 ) ( 187200 20535 )
+    NEW met1 ( 187200 20905 ) ( 275760 20905 )
+    NEW met1 ( 112560 18685 ) M1M2_PR
+    NEW met1 ( 112560 20535 ) M1M2_PR
+    NEW met1 ( 71760 18685 ) M1M2_PR
+    NEW met1 ( 71760 31265 ) M1M2_PR
+    NEW li1 ( 69840 31265 ) L1M1_PR_MR
+    NEW li1 ( 275760 20905 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net448 ( output448 A ) ( _157_ LO ) 
+  + ROUTED met2 ( 168240 69005 ) ( 168240 71225 )
+    NEW met1 ( 168240 69005 ) ( 254640 69005 )
+    NEW met2 ( 254640 32005 ) ( 254640 69005 )
+    NEW li1 ( 254640 32005 ) L1M1_PR_MR
+    NEW met1 ( 254640 32005 ) M1M2_PR
+    NEW met1 ( 168240 69005 ) M1M2_PR
+    NEW li1 ( 168240 71225 ) L1M1_PR_MR
+    NEW met1 ( 168240 71225 ) M1M2_PR
+    NEW met1 ( 254640 69005 ) M1M2_PR
+    NEW met1 ( 254640 32005 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 168240 71225 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net449 ( output449 A ) ( _158_ LO ) 
+  + ROUTED met1 ( 249840 35335 ) ( 251760 35335 )
+    NEW met1 ( 207600 64935 ) ( 249840 64935 )
+    NEW met2 ( 249840 35335 ) ( 249840 64935 )
+    NEW li1 ( 207600 64935 ) L1M1_PR_MR
+    NEW li1 ( 251760 35335 ) L1M1_PR_MR
+    NEW met1 ( 249840 35335 ) M1M2_PR
+    NEW met1 ( 249840 64935 ) M1M2_PR
++ USE SIGNAL ;
+- net450 ( ANTENNA_94 DIODE ) ( ANTENNA_93 DIODE ) ( output450 A ) ( _159_ LO ) 
+  + ROUTED met2 ( 227280 31265 ) ( 227280 237600 )
+    NEW met1 ( 220560 278425 ) ( 226800 278425 )
+    NEW met2 ( 226800 237600 ) ( 226800 278425 )
+    NEW met2 ( 226800 237600 ) ( 227280 237600 )
+    NEW met1 ( 219120 278425 ) ( 220560 278425 )
+    NEW met1 ( 256560 31265 ) ( 258000 31265 )
+    NEW met1 ( 227280 31265 ) ( 256560 31265 )
+    NEW met1 ( 227280 31265 ) M1M2_PR
+    NEW li1 ( 220560 278425 ) L1M1_PR_MR
+    NEW met1 ( 226800 278425 ) M1M2_PR
+    NEW li1 ( 219120 278425 ) L1M1_PR_MR
+    NEW li1 ( 256560 31265 ) L1M1_PR_MR
+    NEW li1 ( 258000 31265 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net451 ( output451 A ) ( _160_ LO ) 
+  + ROUTED met1 ( 168240 97865 ) ( 172080 97865 )
+    NEW met1 ( 261840 32005 ) ( 262320 32005 )
+    NEW met2 ( 172080 68265 ) ( 172080 97865 )
+    NEW met1 ( 172080 68265 ) ( 261840 68265 )
+    NEW met2 ( 261840 32005 ) ( 261840 68265 )
+    NEW met1 ( 172080 97865 ) M1M2_PR
+    NEW li1 ( 168240 97865 ) L1M1_PR_MR
+    NEW li1 ( 262320 32005 ) L1M1_PR_MR
+    NEW met1 ( 261840 32005 ) M1M2_PR
+    NEW met1 ( 172080 68265 ) M1M2_PR
+    NEW met1 ( 261840 68265 ) M1M2_PR
++ USE SIGNAL ;
+- net452 ( output452 A ) ( _161_ LO ) 
+  + ROUTED met1 ( 254160 67155 ) ( 260400 67155 )
+    NEW met2 ( 260400 35335 ) ( 260400 67155 )
+    NEW li1 ( 260400 35335 ) L1M1_PR_MR
+    NEW met1 ( 260400 35335 ) M1M2_PR
+    NEW met1 ( 260400 67155 ) M1M2_PR
+    NEW li1 ( 254160 67155 ) L1M1_PR_MR
+    NEW met1 ( 260400 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net453 ( ANTENNA_95 DIODE ) ( output453 A ) ( _162_ LO ) 
+  + ROUTED met1 ( 102480 84545 ) ( 102480 84915 )
+    NEW met1 ( 159600 84175 ) ( 159600 84545 )
+    NEW met1 ( 159600 84175 ) ( 187200 84175 )
+    NEW met1 ( 187200 84175 ) ( 187200 84545 )
+    NEW met1 ( 102480 84545 ) ( 159600 84545 )
+    NEW met2 ( 257520 39405 ) ( 257520 84545 )
+    NEW met1 ( 258960 38665 ) ( 258960 39405 )
+    NEW met1 ( 257520 39405 ) ( 258960 39405 )
+    NEW met1 ( 187200 84545 ) ( 257520 84545 )
+    NEW met1 ( 62160 84915 ) ( 102480 84915 )
+    NEW li1 ( 257520 39405 ) L1M1_PR_MR
+    NEW met1 ( 257520 39405 ) M1M2_PR
+    NEW met1 ( 257520 84545 ) M1M2_PR
+    NEW li1 ( 258960 38665 ) L1M1_PR_MR
+    NEW li1 ( 62160 84915 ) L1M1_PR_MR
+    NEW met1 ( 257520 39405 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net454 ( output454 A ) ( _163_ LO ) 
+  + ROUTED met1 ( 142800 113775 ) ( 162960 113775 )
+    NEW met2 ( 162960 81955 ) ( 162960 113775 )
+    NEW met1 ( 162960 81955 ) ( 264240 81955 )
+    NEW met2 ( 264240 35335 ) ( 264240 81955 )
+    NEW met1 ( 162960 113775 ) M1M2_PR
+    NEW li1 ( 142800 113775 ) L1M1_PR_MR
+    NEW li1 ( 264240 35335 ) L1M1_PR_MR
+    NEW met1 ( 264240 35335 ) M1M2_PR
+    NEW met1 ( 162960 81955 ) M1M2_PR
+    NEW met1 ( 264240 81955 ) M1M2_PR
+    NEW met1 ( 264240 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net455 ( ANTENNA_97 DIODE ) ( ANTENNA_96 DIODE ) ( output455 A ) ( _164_ LO ) 
+  + ROUTED met2 ( 118800 239205 ) ( 118800 240315 )
+    NEW met1 ( 117360 240315 ) ( 118800 240315 )
+    NEW met1 ( 261360 38665 ) ( 262800 38665 )
+    NEW met1 ( 261360 38665 ) ( 261360 39405 )
+    NEW met1 ( 118800 239205 ) ( 261360 239205 )
+    NEW met2 ( 261360 39405 ) ( 261360 239205 )
+    NEW li1 ( 118800 240315 ) L1M1_PR_MR
+    NEW met1 ( 118800 240315 ) M1M2_PR
+    NEW met1 ( 118800 239205 ) M1M2_PR
+    NEW li1 ( 117360 240315 ) L1M1_PR_MR
+    NEW li1 ( 261360 39405 ) L1M1_PR_MR
+    NEW met1 ( 261360 39405 ) M1M2_PR
+    NEW li1 ( 262800 38665 ) L1M1_PR_MR
+    NEW met1 ( 261360 239205 ) M1M2_PR
+    NEW met1 ( 118800 240315 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 261360 39405 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net456 ( output456 A ) ( _165_ LO ) 
+  + ROUTED met1 ( 258480 38295 ) ( 267120 38295 )
+    NEW met2 ( 258480 38295 ) ( 258480 60495 )
+    NEW met1 ( 247920 60495 ) ( 258480 60495 )
+    NEW li1 ( 267120 38295 ) L1M1_PR_MR
+    NEW met1 ( 258480 38295 ) M1M2_PR
+    NEW met1 ( 258480 60495 ) M1M2_PR
+    NEW li1 ( 247920 60495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net457 ( output457 A ) ( _166_ LO ) 
+  + ROUTED met2 ( 198480 65675 ) ( 198480 67155 )
+    NEW met1 ( 251280 41625 ) ( 266640 41625 )
+    NEW met2 ( 251280 41625 ) ( 251280 65675 )
+    NEW met1 ( 198480 65675 ) ( 251280 65675 )
+    NEW met1 ( 198480 65675 ) M1M2_PR
+    NEW li1 ( 198480 67155 ) L1M1_PR_MR
+    NEW met1 ( 198480 67155 ) M1M2_PR
+    NEW li1 ( 266640 41625 ) L1M1_PR_MR
+    NEW met1 ( 251280 41625 ) M1M2_PR
+    NEW met1 ( 251280 65675 ) M1M2_PR
+    NEW met1 ( 198480 67155 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net458 ( ANTENNA_99 DIODE ) ( ANTENNA_98 DIODE ) ( output458 A ) ( _069_ LO ) 
+  + ROUTED met1 ( 87120 32005 ) ( 88560 32005 )
+    NEW met1 ( 88560 57535 ) ( 97200 57535 )
+    NEW met2 ( 88560 32005 ) ( 88560 57535 )
+    NEW met2 ( 97200 57535 ) ( 97200 250675 )
+    NEW met1 ( 273360 250675 ) ( 273360 251045 )
+    NEW met1 ( 272400 250675 ) ( 273360 250675 )
+    NEW met1 ( 97200 250675 ) ( 272400 250675 )
+    NEW li1 ( 88560 32005 ) L1M1_PR_MR
+    NEW met1 ( 88560 32005 ) M1M2_PR
+    NEW li1 ( 87120 32005 ) L1M1_PR_MR
+    NEW met1 ( 88560 57535 ) M1M2_PR
+    NEW met1 ( 97200 57535 ) M1M2_PR
+    NEW met1 ( 97200 250675 ) M1M2_PR
+    NEW li1 ( 272400 250675 ) L1M1_PR_MR
+    NEW li1 ( 273360 251045 ) L1M1_PR_MR
+    NEW met1 ( 88560 32005 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net459 ( ANTENNA_101 DIODE ) ( ANTENNA_100 DIODE ) ( output459 A ) ( _167_ LO ) 
+  + ROUTED met1 ( 117360 244015 ) ( 117360 244385 )
+    NEW met1 ( 115920 244015 ) ( 117360 244015 )
+    NEW met1 ( 269040 41995 ) ( 270000 41995 )
+    NEW met1 ( 117360 244015 ) ( 270000 244015 )
+    NEW met2 ( 270000 41995 ) ( 270000 244015 )
+    NEW li1 ( 117360 244385 ) L1M1_PR_MR
+    NEW li1 ( 115920 244015 ) L1M1_PR_MR
+    NEW li1 ( 270000 41995 ) L1M1_PR_MR
+    NEW met1 ( 270000 41995 ) M1M2_PR
+    NEW li1 ( 269040 41995 ) L1M1_PR_MR
+    NEW met1 ( 270000 244015 ) M1M2_PR
+    NEW met1 ( 270000 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net460 ( output460 A ) ( _168_ LO ) 
+  + ROUTED met2 ( 151440 44955 ) ( 151440 177785 )
+    NEW met1 ( 151440 44955 ) ( 273360 44955 )
+    NEW met1 ( 151440 44955 ) M1M2_PR
+    NEW li1 ( 151440 177785 ) L1M1_PR_MR
+    NEW met1 ( 151440 177785 ) M1M2_PR
+    NEW li1 ( 273360 44955 ) L1M1_PR_MR
+    NEW met1 ( 151440 177785 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net461 ( ANTENNA_102 DIODE ) ( output461 A ) ( _169_ LO ) 
+  + ROUTED met1 ( 138480 47175 ) ( 138480 47545 )
+    NEW met1 ( 270480 47915 ) ( 271920 47915 )
+    NEW met1 ( 270480 47545 ) ( 270480 47915 )
+    NEW met1 ( 138480 47545 ) ( 270480 47545 )
+    NEW met1 ( 60720 90465 ) ( 62640 90465 )
+    NEW met2 ( 60720 90465 ) ( 60720 120435 )
+    NEW met2 ( 62640 47175 ) ( 62640 90465 )
+    NEW met1 ( 62640 47175 ) ( 138480 47175 )
+    NEW li1 ( 60720 120435 ) L1M1_PR_MR
+    NEW met1 ( 60720 120435 ) M1M2_PR
+    NEW li1 ( 270480 47545 ) L1M1_PR_MR
+    NEW li1 ( 271920 47915 ) L1M1_PR_MR
+    NEW met1 ( 60720 90465 ) M1M2_PR
+    NEW met1 ( 62640 90465 ) M1M2_PR
+    NEW met1 ( 62640 47175 ) M1M2_PR
+    NEW met1 ( 60720 120435 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net462 ( ANTENNA_105 DIODE ) ( ANTENNA_104 DIODE ) ( ANTENNA_103 DIODE ) ( output462 A ) 
+( _170_ LO ) 
+  + ROUTED met1 ( 16080 244385 ) ( 17520 244385 )
+    NEW met1 ( 17520 244385 ) ( 36000 244385 )
+    NEW met1 ( 36000 244385 ) ( 36000 244755 )
+    NEW met1 ( 36000 244755 ) ( 136800 244755 )
+    NEW met1 ( 136800 244385 ) ( 136800 244755 )
+    NEW met1 ( 275760 46065 ) ( 278160 46065 )
+    NEW met1 ( 276720 44955 ) ( 277680 44955 )
+    NEW met1 ( 277680 44955 ) ( 277680 46065 )
+    NEW met1 ( 136800 244385 ) ( 278160 244385 )
+    NEW met2 ( 278160 46065 ) ( 278160 244385 )
+    NEW li1 ( 17520 244385 ) L1M1_PR_MR
+    NEW li1 ( 16080 244385 ) L1M1_PR_MR
+    NEW li1 ( 278160 46065 ) L1M1_PR_MR
+    NEW met1 ( 278160 46065 ) M1M2_PR
+    NEW li1 ( 275760 46065 ) L1M1_PR_MR
+    NEW li1 ( 276720 44955 ) L1M1_PR_MR
+    NEW met1 ( 278160 244385 ) M1M2_PR
+    NEW met1 ( 278160 46065 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net463 ( output463 A ) ( _171_ LO ) 
+  + ROUTED met1 ( 205200 13875 ) ( 275760 13875 )
+    NEW met1 ( 275760 48655 ) ( 276240 48655 )
+    NEW met2 ( 275760 13875 ) ( 275760 48655 )
+    NEW li1 ( 205200 13875 ) L1M1_PR_MR
+    NEW met1 ( 275760 13875 ) M1M2_PR
+    NEW met1 ( 275760 48655 ) M1M2_PR
+    NEW li1 ( 276240 48655 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net464 ( ANTENNA_108 DIODE ) ( ANTENNA_107 DIODE ) ( ANTENNA_106 DIODE ) ( output464 A ) 
+( _172_ LO ) 
+  + ROUTED met1 ( 210960 180375 ) ( 210960 180745 )
+    NEW met1 ( 77520 180375 ) ( 78960 180375 )
+    NEW met1 ( 154320 180375 ) ( 154320 180745 )
+    NEW met1 ( 154320 180745 ) ( 155280 180745 )
+    NEW met1 ( 155280 180375 ) ( 155280 180745 )
+    NEW met1 ( 78960 180375 ) ( 154320 180375 )
+    NEW met1 ( 155280 180375 ) ( 210960 180375 )
+    NEW met1 ( 278640 48655 ) ( 279600 48655 )
+    NEW met1 ( 279600 48655 ) ( 281040 48655 )
+    NEW met1 ( 210960 180745 ) ( 279600 180745 )
+    NEW met2 ( 279600 48655 ) ( 279600 180745 )
+    NEW li1 ( 78960 180375 ) L1M1_PR_MR
+    NEW li1 ( 77520 180375 ) L1M1_PR_MR
+    NEW li1 ( 279600 48655 ) L1M1_PR_MR
+    NEW met1 ( 279600 48655 ) M1M2_PR
+    NEW li1 ( 278640 48655 ) L1M1_PR_MR
+    NEW li1 ( 281040 48655 ) L1M1_PR_MR
+    NEW met1 ( 279600 180745 ) M1M2_PR
+    NEW met1 ( 279600 48655 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net465 ( output465 A ) ( _173_ LO ) 
+  + ROUTED met2 ( 147600 51615 ) ( 147600 184445 )
+    NEW met1 ( 147600 51615 ) ( 279600 51615 )
+    NEW met1 ( 147600 51615 ) M1M2_PR
+    NEW li1 ( 147600 184445 ) L1M1_PR_MR
+    NEW met1 ( 147600 184445 ) M1M2_PR
+    NEW li1 ( 279600 51615 ) L1M1_PR_MR
+    NEW met1 ( 147600 184445 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net466 ( ANTENNA_109 DIODE ) ( output466 A ) ( _174_ LO ) 
+  + ROUTED met1 ( 150480 111185 ) ( 150960 111185 )
+    NEW met2 ( 150480 51985 ) ( 150480 67710 )
+    NEW met2 ( 150480 67710 ) ( 150960 67710 )
+    NEW met2 ( 150960 67710 ) ( 150960 111185 )
+    NEW met1 ( 265200 51245 ) ( 281520 51245 )
+    NEW li1 ( 265200 51245 ) ( 265200 51985 )
+    NEW met1 ( 281520 51245 ) ( 282960 51245 )
+    NEW met1 ( 150480 51985 ) ( 265200 51985 )
+    NEW met1 ( 150960 111185 ) M1M2_PR
+    NEW li1 ( 150480 111185 ) L1M1_PR_MR
+    NEW met1 ( 150480 51985 ) M1M2_PR
+    NEW li1 ( 281520 51245 ) L1M1_PR_MR
+    NEW li1 ( 265200 51245 ) L1M1_PR_MR
+    NEW li1 ( 265200 51985 ) L1M1_PR_MR
+    NEW li1 ( 282960 51245 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net467 ( output467 A ) ( _175_ LO ) 
+  + ROUTED met1 ( 252720 41995 ) ( 252720 42365 )
+    NEW met1 ( 252720 42365 ) ( 280080 42365 )
+    NEW met2 ( 280080 42365 ) ( 280080 51615 )
+    NEW met1 ( 280080 51615 ) ( 287280 51615 )
+    NEW li1 ( 252720 41995 ) L1M1_PR_MR
+    NEW met1 ( 280080 42365 ) M1M2_PR
+    NEW met1 ( 280080 51615 ) M1M2_PR
+    NEW li1 ( 287280 51615 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net468 ( output468 A ) ( _176_ LO ) 
+  + ROUTED met1 ( 270480 54945 ) ( 286800 54945 )
+    NEW met1 ( 236880 184445 ) ( 270480 184445 )
+    NEW met2 ( 270480 54945 ) ( 270480 184445 )
+    NEW li1 ( 236880 184445 ) L1M1_PR_MR
+    NEW li1 ( 286800 54945 ) L1M1_PR_MR
+    NEW met1 ( 270480 54945 ) M1M2_PR
+    NEW met1 ( 270480 184445 ) M1M2_PR
++ USE SIGNAL ;
+- net469 ( output469 A ) ( _070_ LO ) 
+  + ROUTED met2 ( 86640 35335 ) ( 86640 60495 )
+    NEW met1 ( 187200 60495 ) ( 187200 60865 )
+    NEW met1 ( 86640 60495 ) ( 187200 60495 )
+    NEW met1 ( 187200 60865 ) ( 244560 60865 )
+    NEW li1 ( 86640 35335 ) L1M1_PR_MR
+    NEW met1 ( 86640 35335 ) M1M2_PR
+    NEW met1 ( 86640 60495 ) M1M2_PR
+    NEW li1 ( 244560 60865 ) L1M1_PR_MR
+    NEW met1 ( 86640 35335 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net470 ( ANTENNA_110 DIODE ) ( output470 A ) ( _177_ LO ) 
+  + ROUTED met2 ( 101520 58275 ) ( 101520 86400 )
+    NEW met2 ( 101040 86400 ) ( 101520 86400 )
+    NEW met2 ( 101040 86400 ) ( 101040 191105 )
+    NEW met1 ( 284880 58275 ) ( 286320 58275 )
+    NEW met1 ( 101520 58275 ) ( 284880 58275 )
+    NEW li1 ( 101040 191105 ) L1M1_PR_MR
+    NEW met1 ( 101040 191105 ) M1M2_PR
+    NEW met1 ( 101520 58275 ) M1M2_PR
+    NEW li1 ( 284880 58275 ) L1M1_PR_MR
+    NEW li1 ( 286320 58275 ) L1M1_PR_MR
+    NEW met1 ( 101040 191105 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net471 ( output471 A ) ( _178_ LO ) 
+  + ROUTED met1 ( 248880 61605 ) ( 288720 61605 )
+    NEW met1 ( 248400 151515 ) ( 248880 151515 )
+    NEW met2 ( 248880 61605 ) ( 248880 151515 )
+    NEW li1 ( 288720 61605 ) L1M1_PR_MR
+    NEW met1 ( 248880 61605 ) M1M2_PR
+    NEW met1 ( 248880 151515 ) M1M2_PR
+    NEW li1 ( 248400 151515 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net472 ( ANTENNA_111 DIODE ) ( output472 A ) ( _179_ LO ) 
+  + ROUTED met1 ( 265680 46065 ) ( 266160 46065 )
+    NEW met1 ( 266160 45325 ) ( 266640 45325 )
+    NEW met1 ( 266160 45325 ) ( 266160 46065 )
+    NEW met1 ( 61680 160395 ) ( 266160 160395 )
+    NEW met2 ( 266160 46065 ) ( 266160 160395 )
+    NEW li1 ( 61680 160395 ) L1M1_PR_MR
+    NEW li1 ( 265680 46065 ) L1M1_PR_MR
+    NEW met1 ( 266160 46065 ) M1M2_PR
+    NEW li1 ( 266640 45325 ) L1M1_PR_MR
+    NEW met1 ( 266160 160395 ) M1M2_PR
++ USE SIGNAL ;
+- net473 ( ANTENNA_113 DIODE ) ( ANTENNA_112 DIODE ) ( output473 A ) ( _180_ LO ) 
+  + ROUTED met2 ( 158640 232545 ) ( 158640 233655 )
+    NEW met1 ( 157200 233655 ) ( 158640 233655 )
+    NEW met1 ( 158640 232545 ) ( 280080 232545 )
+    NEW met1 ( 279120 55315 ) ( 280080 55315 )
+    NEW met2 ( 280080 55315 ) ( 280080 232545 )
+    NEW li1 ( 158640 233655 ) L1M1_PR_MR
+    NEW met1 ( 158640 233655 ) M1M2_PR
+    NEW met1 ( 158640 232545 ) M1M2_PR
+    NEW li1 ( 157200 233655 ) L1M1_PR_MR
+    NEW met1 ( 280080 232545 ) M1M2_PR
+    NEW li1 ( 280080 55315 ) L1M1_PR_MR
+    NEW met1 ( 280080 55315 ) M1M2_PR
+    NEW li1 ( 279120 55315 ) L1M1_PR_MR
+    NEW met1 ( 158640 233655 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 280080 55315 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net474 ( ANTENNA_116 DIODE ) ( ANTENNA_115 DIODE ) ( ANTENNA_114 DIODE ) ( output474 A ) 
+( _181_ LO ) 
+  + ROUTED met1 ( 12240 191105 ) ( 13680 191105 )
+    NEW met2 ( 13680 55315 ) ( 13680 191105 )
+    NEW met2 ( 273840 52725 ) ( 273840 55315 )
+    NEW met1 ( 275280 51985 ) ( 275280 52725 )
+    NEW met1 ( 273840 52725 ) ( 275280 52725 )
+    NEW met1 ( 275280 51985 ) ( 276720 51985 )
+    NEW met1 ( 13680 55315 ) ( 273840 55315 )
+    NEW li1 ( 13680 191105 ) L1M1_PR_MR
+    NEW li1 ( 12240 191105 ) L1M1_PR_MR
+    NEW met1 ( 13680 191105 ) M1M2_PR
+    NEW met1 ( 13680 55315 ) M1M2_PR
+    NEW li1 ( 273840 52725 ) L1M1_PR_MR
+    NEW met1 ( 273840 52725 ) M1M2_PR
+    NEW met1 ( 273840 55315 ) M1M2_PR
+    NEW li1 ( 275280 51985 ) L1M1_PR_MR
+    NEW li1 ( 276720 51985 ) L1M1_PR_MR
+    NEW met1 ( 13680 191105 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 273840 52725 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net475 ( ANTENNA_117 DIODE ) ( output475 A ) ( _182_ LO ) 
+  + ROUTED met1 ( 89520 124505 ) ( 136800 124505 )
+    NEW met1 ( 136800 124135 ) ( 136800 124505 )
+    NEW met1 ( 136800 124135 ) ( 262320 124135 )
+    NEW met1 ( 261360 41995 ) ( 262320 41995 )
+    NEW met2 ( 262320 41995 ) ( 262320 124135 )
+    NEW li1 ( 89520 124505 ) L1M1_PR_MR
+    NEW met1 ( 262320 124135 ) M1M2_PR
+    NEW li1 ( 262320 41995 ) L1M1_PR_MR
+    NEW met1 ( 262320 41995 ) M1M2_PR
+    NEW li1 ( 261360 41995 ) L1M1_PR_MR
+    NEW met1 ( 262320 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net476 ( output476 A ) ( _183_ LO ) 
+  + ROUTED met2 ( 150960 47915 ) ( 150960 67155 )
+    NEW met1 ( 140880 67155 ) ( 150960 67155 )
+    NEW met2 ( 255600 38665 ) ( 255600 47915 )
+    NEW met1 ( 150960 47915 ) ( 255600 47915 )
+    NEW met1 ( 150960 47915 ) M1M2_PR
+    NEW met1 ( 150960 67155 ) M1M2_PR
+    NEW li1 ( 140880 67155 ) L1M1_PR_MR
+    NEW li1 ( 255600 38665 ) L1M1_PR_MR
+    NEW met1 ( 255600 38665 ) M1M2_PR
+    NEW met1 ( 255600 47915 ) M1M2_PR
+    NEW met1 ( 255600 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net477 ( ANTENNA_118 DIODE ) ( output477 A ) ( _184_ LO ) 
+  + ROUTED met1 ( 86400 57905 ) ( 86400 58645 )
+    NEW met1 ( 281040 57905 ) ( 282480 57905 )
+    NEW met1 ( 86400 57905 ) ( 281040 57905 )
+    NEW met2 ( 54960 58645 ) ( 54960 133755 )
+    NEW met1 ( 54960 58645 ) ( 86400 58645 )
+    NEW li1 ( 54960 133755 ) L1M1_PR_MR
+    NEW met1 ( 54960 133755 ) M1M2_PR
+    NEW li1 ( 281040 57905 ) L1M1_PR_MR
+    NEW li1 ( 282480 57905 ) L1M1_PR_MR
+    NEW met1 ( 54960 58645 ) M1M2_PR
+    NEW met1 ( 54960 133755 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net478 ( output478 A ) ( XNOR2X1 Y ) 
+  + ROUTED met1 ( 91920 32005 ) ( 92400 32005 )
+    NEW met2 ( 91920 32005 ) ( 91920 139305 )
+    NEW met1 ( 43205 137085 0 ) ( 44880 137085 )
+    NEW li1 ( 44880 137085 ) ( 44880 138195 )
+    NEW met1 ( 44880 138195 ) ( 46320 138195 )
+    NEW li1 ( 46320 138195 ) ( 46320 138935 )
+    NEW li1 ( 46320 138935 ) ( 46800 138935 )
+    NEW li1 ( 46800 138935 ) ( 46800 139305 )
+    NEW met1 ( 46800 139305 ) ( 91920 139305 )
+    NEW li1 ( 92400 32005 ) L1M1_PR_MR
+    NEW met1 ( 91920 32005 ) M1M2_PR
+    NEW met1 ( 91920 139305 ) M1M2_PR
+    NEW li1 ( 44880 137085 ) L1M1_PR_MR
+    NEW li1 ( 44880 138195 ) L1M1_PR_MR
+    NEW li1 ( 46320 138195 ) L1M1_PR_MR
+    NEW li1 ( 46800 139305 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net479 ( output479 A ) ( _071_ LO ) 
+  + ROUTED met2 ( 90480 35335 ) ( 90480 266955 )
+    NEW met1 ( 84720 266955 ) ( 90480 266955 )
+    NEW li1 ( 90480 35335 ) L1M1_PR_MR
+    NEW met1 ( 90480 35335 ) M1M2_PR
+    NEW met1 ( 90480 266955 ) M1M2_PR
+    NEW li1 ( 84720 266955 ) L1M1_PR_MR
+    NEW met1 ( 90480 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net480 ( ANTENNA_120 DIODE ) ( ANTENNA_119 DIODE ) ( output480 A ) ( _072_ LO ) 
+  + ROUTED met1 ( 96240 32005 ) ( 96240 32745 )
+    NEW met1 ( 94320 32745 ) ( 96240 32745 )
+    NEW met2 ( 94320 32745 ) ( 94320 270655 )
+    NEW met1 ( 262320 270655 ) ( 262320 271025 )
+    NEW met1 ( 261360 270655 ) ( 262320 270655 )
+    NEW met1 ( 94320 270655 ) ( 261360 270655 )
+    NEW li1 ( 94320 32745 ) L1M1_PR_MR
+    NEW met1 ( 94320 32745 ) M1M2_PR
+    NEW li1 ( 96240 32005 ) L1M1_PR_MR
+    NEW met1 ( 94320 270655 ) M1M2_PR
+    NEW li1 ( 261360 270655 ) L1M1_PR_MR
+    NEW li1 ( 262320 271025 ) L1M1_PR_MR
+    NEW met1 ( 94320 32745 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net481 ( output481 A ) ( XOR2X1 Y ) 
+  + ROUTED met1 ( 94320 35335 ) ( 94800 35335 )
+    NEW met2 ( 94800 35335 ) ( 94800 142635 )
+    NEW met2 ( 43205 142635 ) ( 43205 143745 )
+    NEW met1 ( 43205 142635 ) ( 94800 142635 )
+    NEW li1 ( 94320 35335 ) L1M1_PR_MR
+    NEW met1 ( 94800 35335 ) M1M2_PR
+    NEW met1 ( 94800 142635 ) M1M2_PR
+    NEW met1 ( 43205 142635 ) M1M2_PR
+    NEW met1 ( 43205 143745 ) M1M2_PR
++ USE SIGNAL ;
+- net482 ( output482 A ) ( _073_ LO ) 
+  + ROUTED met1 ( 97680 32005 ) ( 100080 32005 )
+    NEW met2 ( 97680 32005 ) ( 97680 158545 )
+    NEW met1 ( 97680 158545 ) ( 203280 158545 )
+    NEW li1 ( 100080 32005 ) L1M1_PR_MR
+    NEW met1 ( 97680 32005 ) M1M2_PR
+    NEW met1 ( 97680 158545 ) M1M2_PR
+    NEW li1 ( 203280 158545 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net483 ( output483 A ) ( _074_ LO ) 
+  + ROUTED met2 ( 103920 32005 ) ( 103920 69375 )
+    NEW met2 ( 165840 69375 ) ( 165840 74555 )
+    NEW met1 ( 103920 69375 ) ( 165840 69375 )
+    NEW met1 ( 165840 74555 ) ( 234960 74555 )
+    NEW li1 ( 103920 32005 ) L1M1_PR_MR
+    NEW met1 ( 103920 32005 ) M1M2_PR
+    NEW met1 ( 103920 69375 ) M1M2_PR
+    NEW li1 ( 234960 74555 ) L1M1_PR_MR
+    NEW met1 ( 165840 69375 ) M1M2_PR
+    NEW met1 ( 165840 74555 ) M1M2_PR
+    NEW met1 ( 103920 32005 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net484 ( output484 A ) ( _075_ LO ) 
+  + ROUTED met2 ( 196560 119325 ) ( 196560 120435 )
+    NEW met1 ( 102000 46065 ) ( 118800 46065 )
+    NEW met2 ( 102000 35335 ) ( 102000 46065 )
+    NEW met2 ( 118800 46065 ) ( 118800 119325 )
+    NEW met1 ( 118800 119325 ) ( 196560 119325 )
+    NEW li1 ( 102000 35335 ) L1M1_PR_MR
+    NEW met1 ( 102000 35335 ) M1M2_PR
+    NEW met1 ( 118800 119325 ) M1M2_PR
+    NEW met1 ( 196560 119325 ) M1M2_PR
+    NEW li1 ( 196560 120435 ) L1M1_PR_MR
+    NEW met1 ( 196560 120435 ) M1M2_PR
+    NEW met1 ( 102000 46065 ) M1M2_PR
+    NEW met1 ( 118800 46065 ) M1M2_PR
+    NEW met1 ( 102000 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 196560 120435 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net485 ( output485 A ) ( _076_ LO ) 
+  + ROUTED met1 ( 107280 32005 ) ( 107760 32005 )
+    NEW met2 ( 107280 32005 ) ( 107280 68635 )
+    NEW met2 ( 209040 68635 ) ( 209040 87135 )
+    NEW met1 ( 107280 68635 ) ( 209040 68635 )
+    NEW li1 ( 107760 32005 ) L1M1_PR_MR
+    NEW met1 ( 107280 32005 ) M1M2_PR
+    NEW li1 ( 209040 87135 ) L1M1_PR_MR
+    NEW met1 ( 209040 87135 ) M1M2_PR
+    NEW met1 ( 107280 68635 ) M1M2_PR
+    NEW met1 ( 209040 68635 ) M1M2_PR
+    NEW met1 ( 209040 87135 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net486 ( output486 A ) ( _063_ LO ) 
+  + ROUTED met2 ( 112080 61235 ) ( 112080 180745 )
+    NEW met2 ( 68400 35335 ) ( 68400 61235 )
+    NEW met1 ( 68400 61235 ) ( 112080 61235 )
+    NEW met1 ( 112080 180745 ) ( 136800 180745 )
+    NEW met1 ( 136800 180745 ) ( 136800 181115 )
+    NEW met1 ( 136800 181115 ) ( 154800 181115 )
+    NEW met1 ( 112080 61235 ) M1M2_PR
+    NEW met1 ( 112080 180745 ) M1M2_PR
+    NEW li1 ( 68400 35335 ) L1M1_PR_MR
+    NEW met1 ( 68400 35335 ) M1M2_PR
+    NEW met1 ( 68400 61235 ) M1M2_PR
+    NEW li1 ( 154800 181115 ) L1M1_PR_MR
+    NEW met1 ( 68400 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net487 ( output487 A ) ( _077_ LO ) 
+  + ROUTED met2 ( 106800 27565 ) ( 106800 34965 )
+    NEW met1 ( 105840 34965 ) ( 106800 34965 )
+    NEW met2 ( 207600 28675 ) ( 207600 40515 )
+    NEW met1 ( 106800 27565 ) ( 136800 27565 )
+    NEW met1 ( 187200 28675 ) ( 207600 28675 )
+    NEW met1 ( 136800 27565 ) ( 136800 27935 )
+    NEW met1 ( 136800 27935 ) ( 187200 27935 )
+    NEW met1 ( 187200 27935 ) ( 187200 28675 )
+    NEW met1 ( 106800 27565 ) M1M2_PR
+    NEW met1 ( 106800 34965 ) M1M2_PR
+    NEW li1 ( 105840 34965 ) L1M1_PR_MR
+    NEW met1 ( 207600 28675 ) M1M2_PR
+    NEW li1 ( 207600 40515 ) L1M1_PR_MR
+    NEW met1 ( 207600 40515 ) M1M2_PR
+    NEW met1 ( 207600 40515 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net488 ( ANTENNA_122 DIODE ) ( ANTENNA_121 DIODE ) ( output488 A ) ( _078_ LO ) 
+  + ROUTED met2 ( 104880 38665 ) ( 104880 48285 )
+    NEW met1 ( 103440 38665 ) ( 104880 38665 )
+    NEW met1 ( 137520 48285 ) ( 137520 48655 )
+    NEW met1 ( 137520 48655 ) ( 154800 48655 )
+    NEW met1 ( 104880 48285 ) ( 137520 48285 )
+    NEW met2 ( 154800 48655 ) ( 154800 136800 )
+    NEW met2 ( 154800 136800 ) ( 155760 136800 )
+    NEW met2 ( 155760 136800 ) ( 155760 177785 )
+    NEW met1 ( 285360 177785 ) ( 286320 177785 )
+    NEW met1 ( 155760 177785 ) ( 285360 177785 )
+    NEW li1 ( 104880 38665 ) L1M1_PR_MR
+    NEW met1 ( 104880 38665 ) M1M2_PR
+    NEW met1 ( 104880 48285 ) M1M2_PR
+    NEW li1 ( 103440 38665 ) L1M1_PR_MR
+    NEW met1 ( 154800 48655 ) M1M2_PR
+    NEW met1 ( 155760 177785 ) M1M2_PR
+    NEW li1 ( 285360 177785 ) L1M1_PR_MR
+    NEW li1 ( 286320 177785 ) L1M1_PR_MR
+    NEW met1 ( 104880 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net489 ( ANTENNA_124 DIODE ) ( ANTENNA_123 DIODE ) ( output489 A ) ( _079_ LO ) 
+  + ROUTED met1 ( 108240 35705 ) ( 108720 35705 )
+    NEW met1 ( 109200 35335 ) ( 109200 35705 )
+    NEW met1 ( 108720 35705 ) ( 109200 35705 )
+    NEW met1 ( 89520 226995 ) ( 108720 226995 )
+    NEW met1 ( 88080 226995 ) ( 89520 226995 )
+    NEW met2 ( 108720 35705 ) ( 108720 226995 )
+    NEW li1 ( 108240 35705 ) L1M1_PR_MR
+    NEW met1 ( 108720 35705 ) M1M2_PR
+    NEW li1 ( 109200 35335 ) L1M1_PR_MR
+    NEW li1 ( 89520 226995 ) L1M1_PR_MR
+    NEW met1 ( 108720 226995 ) M1M2_PR
+    NEW li1 ( 88080 226995 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net490 ( ANTENNA_126 DIODE ) ( ANTENNA_125 DIODE ) ( output490 A ) ( _080_ LO ) 
+  + ROUTED met1 ( 113520 32745 ) ( 114000 32745 )
+    NEW met1 ( 114960 32005 ) ( 114960 32745 )
+    NEW met1 ( 114000 32745 ) ( 114960 32745 )
+    NEW met2 ( 114000 32745 ) ( 114000 237725 )
+    NEW met1 ( 221040 237725 ) ( 222000 237725 )
+    NEW met1 ( 114000 237725 ) ( 221040 237725 )
+    NEW li1 ( 113520 32745 ) L1M1_PR_MR
+    NEW met1 ( 114000 32745 ) M1M2_PR
+    NEW li1 ( 114960 32005 ) L1M1_PR_MR
+    NEW met1 ( 114000 237725 ) M1M2_PR
+    NEW li1 ( 221040 237725 ) L1M1_PR_MR
+    NEW li1 ( 222000 237725 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net491 ( output491 A ) ( _081_ LO ) 
+  + ROUTED met1 ( 104880 133755 ) ( 113520 133755 )
+    NEW met2 ( 113520 35335 ) ( 113520 133755 )
+    NEW li1 ( 113520 35335 ) L1M1_PR_MR
+    NEW met1 ( 113520 35335 ) M1M2_PR
+    NEW met1 ( 113520 133755 ) M1M2_PR
+    NEW li1 ( 104880 133755 ) L1M1_PR_MR
+    NEW met1 ( 113520 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net492 ( output492 A ) ( _082_ LO ) 
+  + ROUTED met1 ( 112560 31635 ) ( 118800 31635 )
+    NEW met2 ( 112560 31635 ) ( 112560 82325 )
+    NEW met2 ( 80400 82325 ) ( 80400 84545 )
+    NEW met1 ( 80400 82325 ) ( 112560 82325 )
+    NEW li1 ( 118800 31635 ) L1M1_PR_MR
+    NEW met1 ( 112560 31635 ) M1M2_PR
+    NEW met1 ( 112560 82325 ) M1M2_PR
+    NEW met1 ( 80400 82325 ) M1M2_PR
+    NEW li1 ( 80400 84545 ) L1M1_PR_MR
+    NEW met1 ( 80400 84545 ) M1M2_PR
+    NEW met1 ( 80400 84545 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net493 ( output493 A ) ( _083_ LO ) 
+  + ROUTED met2 ( 193680 34965 ) ( 193680 60495 )
+    NEW met1 ( 117360 34965 ) ( 193680 34965 )
+    NEW li1 ( 117360 34965 ) L1M1_PR_MR
+    NEW met1 ( 193680 34965 ) M1M2_PR
+    NEW li1 ( 193680 60495 ) L1M1_PR_MR
+    NEW met1 ( 193680 60495 ) M1M2_PR
+    NEW met1 ( 193680 60495 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net494 ( ANTENNA_128 DIODE ) ( ANTENNA_127 DIODE ) ( output494 A ) ( _084_ LO ) 
+  + ROUTED met1 ( 122640 32005 ) ( 123120 32005 )
+    NEW met1 ( 121200 32005 ) ( 122640 32005 )
+    NEW met2 ( 123120 32005 ) ( 123120 48655 )
+    NEW met1 ( 207600 187035 ) ( 208560 187035 )
+    NEW met2 ( 137040 46065 ) ( 137040 48655 )
+    NEW met1 ( 137040 46065 ) ( 162000 46065 )
+    NEW met1 ( 123120 48655 ) ( 137040 48655 )
+    NEW met2 ( 162000 46065 ) ( 162000 187035 )
+    NEW met1 ( 162000 187035 ) ( 207600 187035 )
+    NEW li1 ( 122640 32005 ) L1M1_PR_MR
+    NEW met1 ( 123120 32005 ) M1M2_PR
+    NEW li1 ( 121200 32005 ) L1M1_PR_MR
+    NEW met1 ( 123120 48655 ) M1M2_PR
+    NEW li1 ( 207600 187035 ) L1M1_PR_MR
+    NEW li1 ( 208560 187035 ) L1M1_PR_MR
+    NEW met1 ( 137040 48655 ) M1M2_PR
+    NEW met1 ( 137040 46065 ) M1M2_PR
+    NEW met1 ( 162000 46065 ) M1M2_PR
+    NEW met1 ( 162000 187035 ) M1M2_PR
++ USE SIGNAL ;
+- net495 ( output495 A ) ( _085_ LO ) 
+  + ROUTED met2 ( 200880 95645 ) ( 200880 97865 )
+    NEW met2 ( 121200 35335 ) ( 121200 57535 )
+    NEW met1 ( 144720 95645 ) ( 200880 95645 )
+    NEW met1 ( 121200 57535 ) ( 144720 57535 )
+    NEW met2 ( 144720 57535 ) ( 144720 95645 )
+    NEW li1 ( 121200 35335 ) L1M1_PR_MR
+    NEW met1 ( 121200 35335 ) M1M2_PR
+    NEW met1 ( 200880 95645 ) M1M2_PR
+    NEW li1 ( 200880 97865 ) L1M1_PR_MR
+    NEW met1 ( 200880 97865 ) M1M2_PR
+    NEW met1 ( 121200 57535 ) M1M2_PR
+    NEW met1 ( 144720 95645 ) M1M2_PR
+    NEW met1 ( 144720 57535 ) M1M2_PR
+    NEW met1 ( 121200 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 200880 97865 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net496 ( output496 A ) ( _086_ LO ) 
+  + ROUTED met1 ( 119760 113775 ) ( 130320 113775 )
+    NEW met2 ( 119760 38665 ) ( 119760 113775 )
+    NEW met1 ( 119760 113775 ) M1M2_PR
+    NEW li1 ( 130320 113775 ) L1M1_PR_MR
+    NEW li1 ( 119760 38665 ) L1M1_PR_MR
+    NEW met1 ( 119760 38665 ) M1M2_PR
+    NEW met1 ( 119760 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net497 ( output497 A ) ( _064_ LO ) 
+  + ROUTED met2 ( 94320 29415 ) ( 94320 30895 )
+    NEW met1 ( 86400 30895 ) ( 94320 30895 )
+    NEW met1 ( 86400 30895 ) ( 86400 31265 )
+    NEW met1 ( 73680 31265 ) ( 86400 31265 )
+    NEW met1 ( 149040 14245 ) ( 151440 14245 )
+    NEW met2 ( 149040 14245 ) ( 149040 29415 )
+    NEW met1 ( 94320 29415 ) ( 149040 29415 )
+    NEW met1 ( 94320 30895 ) M1M2_PR
+    NEW met1 ( 94320 29415 ) M1M2_PR
+    NEW li1 ( 73680 31265 ) L1M1_PR_MR
+    NEW li1 ( 151440 14245 ) L1M1_PR_MR
+    NEW met1 ( 149040 14245 ) M1M2_PR
+    NEW met1 ( 149040 29415 ) M1M2_PR
++ USE SIGNAL ;
+- net498 ( ANTENNA_130 DIODE ) ( ANTENNA_129 DIODE ) ( output498 A ) ( _087_ LO ) 
+  + ROUTED met2 ( 215280 205535 ) ( 215280 207015 )
+    NEW met1 ( 215280 207015 ) ( 216240 207015 )
+    NEW met2 ( 123600 38665 ) ( 123600 64565 )
+    NEW met1 ( 122160 39035 ) ( 123600 39035 )
+    NEW met1 ( 123600 38665 ) ( 123600 39035 )
+    NEW met1 ( 142320 205535 ) ( 215280 205535 )
+    NEW met1 ( 123600 64565 ) ( 142320 64565 )
+    NEW met2 ( 142320 64565 ) ( 142320 205535 )
+    NEW li1 ( 215280 207015 ) L1M1_PR_MR
+    NEW met1 ( 215280 207015 ) M1M2_PR
+    NEW met1 ( 215280 205535 ) M1M2_PR
+    NEW li1 ( 216240 207015 ) L1M1_PR_MR
+    NEW li1 ( 123600 38665 ) L1M1_PR_MR
+    NEW met1 ( 123600 38665 ) M1M2_PR
+    NEW met1 ( 123600 64565 ) M1M2_PR
+    NEW li1 ( 122160 39035 ) L1M1_PR_MR
+    NEW met1 ( 142320 205535 ) M1M2_PR
+    NEW met1 ( 142320 64565 ) M1M2_PR
+    NEW met1 ( 215280 207015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 123600 38665 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net499 ( ANTENNA_132 DIODE ) ( ANTENNA_131 DIODE ) ( output499 A ) ( _088_ LO ) 
+  + ROUTED met1 ( 126960 35335 ) ( 128400 35335 )
+    NEW met2 ( 128400 35335 ) ( 128400 174085 )
+    NEW met1 ( 128400 174085 ) ( 136800 174085 )
+    NEW met1 ( 136800 174455 ) ( 152880 174455 )
+    NEW met1 ( 136800 174085 ) ( 136800 174455 )
+    NEW met1 ( 152880 174455 ) ( 153840 174455 )
+    NEW li1 ( 128400 35335 ) L1M1_PR_MR
+    NEW met1 ( 128400 35335 ) M1M2_PR
+    NEW li1 ( 126960 35335 ) L1M1_PR_MR
+    NEW met1 ( 128400 174085 ) M1M2_PR
+    NEW li1 ( 152880 174455 ) L1M1_PR_MR
+    NEW li1 ( 153840 174455 ) L1M1_PR_MR
+    NEW met1 ( 128400 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net500 ( ANTENNA_134 DIODE ) ( ANTENNA_133 DIODE ) ( output500 A ) ( _089_ LO ) 
+  + ROUTED met2 ( 24720 238835 ) ( 24720 240315 )
+    NEW met1 ( 23280 240315 ) ( 24720 240315 )
+    NEW met1 ( 125520 38295 ) ( 126960 38295 )
+    NEW met2 ( 125520 38295 ) ( 125520 39405 )
+    NEW met2 ( 125520 39405 ) ( 125520 238835 )
+    NEW met1 ( 24720 238835 ) ( 125520 238835 )
+    NEW li1 ( 24720 240315 ) L1M1_PR_MR
+    NEW met1 ( 24720 240315 ) M1M2_PR
+    NEW met1 ( 24720 238835 ) M1M2_PR
+    NEW li1 ( 23280 240315 ) L1M1_PR_MR
+    NEW li1 ( 125520 39405 ) L1M1_PR_MR
+    NEW met1 ( 125520 39405 ) M1M2_PR
+    NEW li1 ( 126960 38295 ) L1M1_PR_MR
+    NEW met1 ( 125520 38295 ) M1M2_PR
+    NEW met1 ( 125520 238835 ) M1M2_PR
+    NEW met1 ( 24720 240315 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 125520 39405 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net501 ( output501 A ) ( _090_ LO ) 
+  + ROUTED met1 ( 88560 153735 ) ( 132240 153735 )
+    NEW met2 ( 132240 35335 ) ( 132240 153735 )
+    NEW li1 ( 132240 35335 ) L1M1_PR_MR
+    NEW met1 ( 132240 35335 ) M1M2_PR
+    NEW met1 ( 132240 153735 ) M1M2_PR
+    NEW li1 ( 88560 153735 ) L1M1_PR_MR
+    NEW met1 ( 132240 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net502 ( output502 A ) ( _091_ LO ) 
+  + ROUTED met2 ( 131280 38665 ) ( 131280 89355 )
+    NEW met2 ( 250800 89355 ) ( 250800 91205 )
+    NEW met1 ( 131280 89355 ) ( 250800 89355 )
+    NEW met1 ( 131280 89355 ) M1M2_PR
+    NEW li1 ( 131280 38665 ) L1M1_PR_MR
+    NEW met1 ( 131280 38665 ) M1M2_PR
+    NEW met1 ( 250800 89355 ) M1M2_PR
+    NEW li1 ( 250800 91205 ) L1M1_PR_MR
+    NEW met1 ( 250800 91205 ) M1M2_PR
+    NEW met1 ( 131280 38665 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 250800 91205 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net503 ( ANTENNA_136 DIODE ) ( ANTENNA_135 DIODE ) ( output503 A ) ( _092_ LO ) 
+  + ROUTED met1 ( 134640 35335 ) ( 136080 35335 )
+    NEW met2 ( 136080 35335 ) ( 136080 253635 )
+    NEW met1 ( 152880 253635 ) ( 153840 253635 )
+    NEW met1 ( 136080 253635 ) ( 152880 253635 )
+    NEW li1 ( 136080 35335 ) L1M1_PR_MR
+    NEW met1 ( 136080 35335 ) M1M2_PR
+    NEW li1 ( 134640 35335 ) L1M1_PR_MR
+    NEW met1 ( 136080 253635 ) M1M2_PR
+    NEW li1 ( 152880 253635 ) L1M1_PR_MR
+    NEW li1 ( 153840 253635 ) L1M1_PR_MR
+    NEW met1 ( 136080 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net504 ( output504 A ) ( _093_ LO ) 
+  + ROUTED met2 ( 286800 106005 ) ( 286800 107115 )
+    NEW met1 ( 155760 106005 ) ( 286800 106005 )
+    NEW met1 ( 141360 49395 ) ( 155760 49395 )
+    NEW met2 ( 141360 32005 ) ( 141360 49395 )
+    NEW met2 ( 155760 49395 ) ( 155760 106005 )
+    NEW li1 ( 141360 32005 ) L1M1_PR_MR
+    NEW met1 ( 141360 32005 ) M1M2_PR
+    NEW met1 ( 155760 106005 ) M1M2_PR
+    NEW met1 ( 286800 106005 ) M1M2_PR
+    NEW li1 ( 286800 107115 ) L1M1_PR_MR
+    NEW met1 ( 286800 107115 ) M1M2_PR
+    NEW met1 ( 141360 49395 ) M1M2_PR
+    NEW met1 ( 155760 49395 ) M1M2_PR
+    NEW met1 ( 141360 32005 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 286800 107115 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net505 ( output505 A ) ( _094_ LO ) 
+  + ROUTED met1 ( 114480 37555 ) ( 135120 37555 )
+    NEW met1 ( 135120 37555 ) ( 135120 37925 )
+    NEW met2 ( 114480 27195 ) ( 114480 37555 )
+    NEW met1 ( 60720 27195 ) ( 114480 27195 )
+    NEW met1 ( 114480 27195 ) M1M2_PR
+    NEW met1 ( 114480 37555 ) M1M2_PR
+    NEW li1 ( 135120 37925 ) L1M1_PR_MR
+    NEW li1 ( 60720 27195 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net506 ( output506 A ) ( _095_ LO ) 
+  + ROUTED met2 ( 224400 112295 ) ( 224400 113775 )
+    NEW met1 ( 177360 112295 ) ( 224400 112295 )
+    NEW met1 ( 139920 61235 ) ( 177360 61235 )
+    NEW met2 ( 139920 35335 ) ( 139920 61235 )
+    NEW met2 ( 177360 61235 ) ( 177360 112295 )
+    NEW met1 ( 224400 112295 ) M1M2_PR
+    NEW li1 ( 224400 113775 ) L1M1_PR_MR
+    NEW met1 ( 224400 113775 ) M1M2_PR
+    NEW li1 ( 139920 35335 ) L1M1_PR_MR
+    NEW met1 ( 139920 35335 ) M1M2_PR
+    NEW met1 ( 177360 112295 ) M1M2_PR
+    NEW met1 ( 139920 61235 ) M1M2_PR
+    NEW met1 ( 177360 61235 ) M1M2_PR
+    NEW met1 ( 224400 113775 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 139920 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net507 ( ANTENNA_138 DIODE ) ( ANTENNA_137 DIODE ) ( output507 A ) ( _096_ LO ) 
+  + ROUTED met1 ( 233520 190365 ) ( 234480 190365 )
+    NEW met2 ( 233520 189255 ) ( 233520 190365 )
+    NEW met1 ( 234000 191105 ) ( 235440 191105 )
+    NEW li1 ( 234000 190365 ) ( 234000 191105 )
+    NEW met1 ( 143760 32005 ) ( 145200 32005 )
+    NEW met1 ( 169200 189255 ) ( 233520 189255 )
+    NEW met1 ( 145200 60865 ) ( 169200 60865 )
+    NEW met2 ( 145200 32005 ) ( 145200 60865 )
+    NEW met2 ( 169200 60865 ) ( 169200 189255 )
+    NEW li1 ( 234480 190365 ) L1M1_PR_MR
+    NEW met1 ( 233520 190365 ) M1M2_PR
+    NEW met1 ( 233520 189255 ) M1M2_PR
+    NEW li1 ( 235440 191105 ) L1M1_PR_MR
+    NEW li1 ( 234000 191105 ) L1M1_PR_MR
+    NEW li1 ( 234000 190365 ) L1M1_PR_MR
+    NEW li1 ( 145200 32005 ) L1M1_PR_MR
+    NEW met1 ( 145200 32005 ) M1M2_PR
+    NEW li1 ( 143760 32005 ) L1M1_PR_MR
+    NEW met1 ( 169200 189255 ) M1M2_PR
+    NEW met1 ( 145200 60865 ) M1M2_PR
+    NEW met1 ( 169200 60865 ) M1M2_PR
+    NEW met1 ( 234000 190365 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 145200 32005 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net508 ( output508 A ) ( NAND3X1 Y ) 
+  + ROUTED met1 ( 42720 118955 ) ( 77520 118955 )
+    NEW met1 ( 42720 118955 ) ( 42720 119325 )
+    NEW met1 ( 41040 119325 ) ( 42720 119325 )
+    NEW met1 ( 41040 118955 0 ) ( 41040 119325 )
+    NEW met2 ( 77520 32005 ) ( 77520 118955 )
+    NEW li1 ( 77520 32005 ) L1M1_PR_MR
+    NEW met1 ( 77520 32005 ) M1M2_PR
+    NEW met1 ( 77520 118955 ) M1M2_PR
+    NEW met1 ( 77520 32005 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net509 ( ANTENNA_140 DIODE ) ( ANTENNA_139 DIODE ) ( output509 A ) ( _097_ LO ) 
+  + ROUTED met1 ( 120720 184445 ) ( 122160 184445 )
+    NEW met1 ( 142320 35335 ) ( 143280 35335 )
+    NEW met1 ( 122160 184445 ) ( 143280 184445 )
+    NEW met2 ( 143280 35335 ) ( 143280 184445 )
+    NEW li1 ( 122160 184445 ) L1M1_PR_MR
+    NEW li1 ( 120720 184445 ) L1M1_PR_MR
+    NEW li1 ( 143280 35335 ) L1M1_PR_MR
+    NEW met1 ( 143280 35335 ) M1M2_PR
+    NEW li1 ( 142320 35335 ) L1M1_PR_MR
+    NEW met1 ( 143280 184445 ) M1M2_PR
+    NEW met1 ( 143280 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net510 ( output510 A ) ( _098_ LO ) 
+  + ROUTED met1 ( 86400 48285 ) ( 86400 49025 )
+    NEW met2 ( 141840 38665 ) ( 141840 49025 )
+    NEW met1 ( 86400 49025 ) ( 141840 49025 )
+    NEW met1 ( 64800 48285 ) ( 86400 48285 )
+    NEW met2 ( 26640 41995 ) ( 26640 48655 )
+    NEW met1 ( 26640 48655 ) ( 64800 48655 )
+    NEW met1 ( 64800 48285 ) ( 64800 48655 )
+    NEW li1 ( 141840 38665 ) L1M1_PR_MR
+    NEW met1 ( 141840 38665 ) M1M2_PR
+    NEW met1 ( 141840 49025 ) M1M2_PR
+    NEW li1 ( 26640 41995 ) L1M1_PR_MR
+    NEW met1 ( 26640 41995 ) M1M2_PR
+    NEW met1 ( 26640 48655 ) M1M2_PR
+    NEW met1 ( 141840 38665 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 26640 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net511 ( output511 A ) ( _099_ LO ) 
+  + ROUTED met1 ( 147600 32745 ) ( 179280 32745 )
+    NEW met2 ( 147600 32745 ) ( 147600 34595 )
+    NEW li1 ( 179280 32745 ) L1M1_PR_MR
+    NEW met1 ( 147600 32745 ) M1M2_PR
+    NEW li1 ( 147600 34595 ) L1M1_PR_MR
+    NEW met1 ( 147600 34595 ) M1M2_PR
+    NEW met1 ( 147600 34595 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net512 ( output512 A ) ( _100_ LO ) 
+  + ROUTED met1 ( 153360 120435 ) ( 159120 120435 )
+    NEW met2 ( 153360 32005 ) ( 153360 120435 )
+    NEW li1 ( 153360 32005 ) L1M1_PR_MR
+    NEW met1 ( 153360 32005 ) M1M2_PR
+    NEW met1 ( 153360 120435 ) M1M2_PR
+    NEW li1 ( 159120 120435 ) L1M1_PR_MR
+    NEW met1 ( 153360 32005 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net513 ( output513 A ) ( _101_ LO ) 
+  + ROUTED met2 ( 198000 71965 ) ( 198000 102675 )
+    NEW met2 ( 249840 102675 ) ( 249840 104525 )
+    NEW met1 ( 198000 102675 ) ( 249840 102675 )
+    NEW met2 ( 147120 38665 ) ( 147120 71965 )
+    NEW met1 ( 147120 71965 ) ( 198000 71965 )
+    NEW met1 ( 198000 102675 ) M1M2_PR
+    NEW met1 ( 198000 71965 ) M1M2_PR
+    NEW met1 ( 249840 102675 ) M1M2_PR
+    NEW li1 ( 249840 104525 ) L1M1_PR_MR
+    NEW met1 ( 249840 104525 ) M1M2_PR
+    NEW li1 ( 147120 38665 ) L1M1_PR_MR
+    NEW met1 ( 147120 38665 ) M1M2_PR
+    NEW met1 ( 147120 71965 ) M1M2_PR
+    NEW met1 ( 249840 104525 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 147120 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net514 ( output514 A ) ( _102_ LO ) 
+  + ROUTED met1 ( 162480 124505 ) ( 179760 124505 )
+    NEW met2 ( 150960 38665 ) ( 150960 43845 )
+    NEW met1 ( 150960 43845 ) ( 162480 43845 )
+    NEW met2 ( 162480 43845 ) ( 162480 124505 )
+    NEW met1 ( 162480 124505 ) M1M2_PR
+    NEW li1 ( 179760 124505 ) L1M1_PR_MR
+    NEW li1 ( 150960 38665 ) L1M1_PR_MR
+    NEW met1 ( 150960 38665 ) M1M2_PR
+    NEW met1 ( 150960 43845 ) M1M2_PR
+    NEW met1 ( 162480 43845 ) M1M2_PR
+    NEW met1 ( 150960 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net515 ( output515 A ) ( _103_ LO ) 
+  + ROUTED met2 ( 212880 81585 ) ( 212880 86025 )
+    NEW met1 ( 154800 35335 ) ( 156720 35335 )
+    NEW met2 ( 156720 35335 ) ( 156720 81585 )
+    NEW met1 ( 156720 81585 ) ( 212880 81585 )
+    NEW met1 ( 212880 86025 ) ( 281040 86025 )
+    NEW met2 ( 281040 86025 ) ( 281040 127095 )
+    NEW met1 ( 212880 81585 ) M1M2_PR
+    NEW met1 ( 212880 86025 ) M1M2_PR
+    NEW li1 ( 154800 35335 ) L1M1_PR_MR
+    NEW met1 ( 156720 35335 ) M1M2_PR
+    NEW li1 ( 281040 127095 ) L1M1_PR_MR
+    NEW met1 ( 281040 127095 ) M1M2_PR
+    NEW met1 ( 156720 81585 ) M1M2_PR
+    NEW met1 ( 281040 86025 ) M1M2_PR
+    NEW met1 ( 281040 127095 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net516 ( output516 A ) ( _104_ LO ) 
+  + ROUTED met2 ( 161040 32005 ) ( 161040 137825 )
+    NEW met1 ( 161040 137825 ) ( 282960 137825 )
+    NEW li1 ( 161040 32005 ) L1M1_PR_MR
+    NEW met1 ( 161040 32005 ) M1M2_PR
+    NEW met1 ( 161040 137825 ) M1M2_PR
+    NEW li1 ( 282960 137825 ) L1M1_PR_MR
+    NEW met1 ( 161040 32005 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net517 ( ANTENNA_142 DIODE ) ( ANTENNA_141 DIODE ) ( output517 A ) ( _105_ LO ) 
+  + ROUTED met1 ( 126960 260295 ) ( 128400 260295 )
+    NEW met1 ( 157200 35335 ) ( 158160 35335 )
+    NEW met1 ( 141360 64935 ) ( 158160 64935 )
+    NEW met2 ( 158160 35335 ) ( 158160 64935 )
+    NEW met1 ( 128400 260295 ) ( 141360 260295 )
+    NEW met2 ( 141360 64935 ) ( 141360 260295 )
+    NEW li1 ( 128400 260295 ) L1M1_PR_MR
+    NEW li1 ( 126960 260295 ) L1M1_PR_MR
+    NEW li1 ( 158160 35335 ) L1M1_PR_MR
+    NEW met1 ( 158160 35335 ) M1M2_PR
+    NEW li1 ( 157200 35335 ) L1M1_PR_MR
+    NEW met1 ( 141360 64935 ) M1M2_PR
+    NEW met1 ( 158160 64935 ) M1M2_PR
+    NEW met1 ( 141360 260295 ) M1M2_PR
+    NEW met1 ( 158160 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net518 ( output518 A ) ( _106_ LO ) 
+  + ROUTED met1 ( 156240 165945 ) ( 181680 165945 )
+    NEW met2 ( 156240 38665 ) ( 156240 165945 )
+    NEW li1 ( 156240 38665 ) L1M1_PR_MR
+    NEW met1 ( 156240 38665 ) M1M2_PR
+    NEW met1 ( 156240 165945 ) M1M2_PR
+    NEW li1 ( 181680 165945 ) L1M1_PR_MR
+    NEW met1 ( 156240 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net519 ( output519 A ) ( _065_ LO ) 
+  + ROUTED met2 ( 8880 66045 ) ( 8880 67155 )
+    NEW met1 ( 74160 35335 ) ( 75600 35335 )
+    NEW met2 ( 74160 35335 ) ( 74160 67895 )
+    NEW met1 ( 8880 67155 ) ( 14400 67155 )
+    NEW met1 ( 14400 67155 ) ( 14400 67895 )
+    NEW met1 ( 14400 67895 ) ( 74160 67895 )
+    NEW li1 ( 8880 66045 ) L1M1_PR_MR
+    NEW met1 ( 8880 66045 ) M1M2_PR
+    NEW met1 ( 8880 67155 ) M1M2_PR
+    NEW li1 ( 75600 35335 ) L1M1_PR_MR
+    NEW met1 ( 74160 35335 ) M1M2_PR
+    NEW met1 ( 74160 67895 ) M1M2_PR
+    NEW met1 ( 8880 66045 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net520 ( ANTENNA_144 DIODE ) ( ANTENNA_143 DIODE ) ( output520 A ) ( _107_ LO ) 
+  + ROUTED met1 ( 162480 35335 ) ( 162480 35705 )
+    NEW met1 ( 160560 35705 ) ( 162480 35705 )
+    NEW met1 ( 287280 230695 ) ( 287280 231065 )
+    NEW met1 ( 286320 230695 ) ( 287280 230695 )
+    NEW met1 ( 160560 230695 ) ( 286320 230695 )
+    NEW met2 ( 160560 35705 ) ( 160560 230695 )
+    NEW li1 ( 160560 35705 ) L1M1_PR_MR
+    NEW met1 ( 160560 35705 ) M1M2_PR
+    NEW li1 ( 162480 35335 ) L1M1_PR_MR
+    NEW met1 ( 160560 230695 ) M1M2_PR
+    NEW li1 ( 286320 230695 ) L1M1_PR_MR
+    NEW li1 ( 287280 231065 ) L1M1_PR_MR
+    NEW met1 ( 160560 35705 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net521 ( ANTENNA_146 DIODE ) ( ANTENNA_145 DIODE ) ( output521 A ) ( _108_ LO ) 
+  + ROUTED met1 ( 205680 220335 ) ( 206640 220335 )
+    NEW met1 ( 166320 32005 ) ( 167760 32005 )
+    NEW met1 ( 167760 220335 ) ( 205680 220335 )
+    NEW met2 ( 167760 32005 ) ( 167760 220335 )
+    NEW li1 ( 205680 220335 ) L1M1_PR_MR
+    NEW li1 ( 206640 220335 ) L1M1_PR_MR
+    NEW li1 ( 167760 32005 ) L1M1_PR_MR
+    NEW met1 ( 167760 32005 ) M1M2_PR
+    NEW li1 ( 166320 32005 ) L1M1_PR_MR
+    NEW met1 ( 167760 220335 ) M1M2_PR
+    NEW met1 ( 167760 32005 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net522 ( ANTENNA_148 DIODE ) ( ANTENNA_147 DIODE ) ( output522 A ) ( _109_ LO ) 
+  + ROUTED met1 ( 164880 35335 ) ( 165840 35335 )
+    NEW met1 ( 164880 35335 ) ( 164880 35705 )
+    NEW met1 ( 61680 171125 ) ( 63120 171125 )
+    NEW met1 ( 63120 171125 ) ( 164880 171125 )
+    NEW met2 ( 164880 35705 ) ( 164880 171125 )
+    NEW li1 ( 164880 35705 ) L1M1_PR_MR
+    NEW met1 ( 164880 35705 ) M1M2_PR
+    NEW li1 ( 165840 35335 ) L1M1_PR_MR
+    NEW li1 ( 63120 171125 ) L1M1_PR_MR
+    NEW li1 ( 61680 171125 ) L1M1_PR_MR
+    NEW met1 ( 164880 171125 ) M1M2_PR
+    NEW met1 ( 164880 35705 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net523 ( output523 A ) ( _110_ LO ) 
+  + ROUTED met1 ( 123120 51245 ) ( 133200 51245 )
+    NEW met2 ( 133200 32375 ) ( 133200 51245 )
+    NEW met1 ( 171600 32005 ) ( 171600 32375 )
+    NEW met1 ( 133200 32375 ) ( 171600 32375 )
+    NEW met1 ( 133200 32375 ) M1M2_PR
+    NEW met1 ( 133200 51245 ) M1M2_PR
+    NEW li1 ( 123120 51245 ) L1M1_PR_MR
+    NEW li1 ( 171600 32005 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net524 ( ANTENNA_149 DIODE ) ( output524 A ) ( _111_ LO ) 
+  + ROUTED met1 ( 168720 35335 ) ( 169680 35335 )
+    NEW met2 ( 169680 35335 ) ( 169680 79365 )
+    NEW met2 ( 24240 79365 ) ( 24240 80475 )
+    NEW met1 ( 24240 79365 ) ( 169680 79365 )
+    NEW li1 ( 169680 35335 ) L1M1_PR_MR
+    NEW met1 ( 169680 35335 ) M1M2_PR
+    NEW li1 ( 168720 35335 ) L1M1_PR_MR
+    NEW met1 ( 169680 79365 ) M1M2_PR
+    NEW met1 ( 24240 79365 ) M1M2_PR
+    NEW li1 ( 24240 80475 ) L1M1_PR_MR
+    NEW met1 ( 24240 80475 ) M1M2_PR
+    NEW met1 ( 169680 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 24240 80475 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net525 ( ANTENNA_150 DIODE ) ( output525 A ) ( _112_ LO ) 
+  + ROUTED met2 ( 173520 29045 ) ( 173520 30525 )
+    NEW met1 ( 173520 28675 ) ( 173520 29045 )
+    NEW met1 ( 173520 31265 ) ( 174960 31265 )
+    NEW met1 ( 173520 30525 ) ( 173520 31265 )
+    NEW met2 ( 35280 28675 ) ( 35280 40515 )
+    NEW met1 ( 30000 40515 ) ( 35280 40515 )
+    NEW met1 ( 35280 28675 ) ( 173520 28675 )
+    NEW li1 ( 173520 30525 ) L1M1_PR_MR
+    NEW met1 ( 173520 30525 ) M1M2_PR
+    NEW met1 ( 173520 29045 ) M1M2_PR
+    NEW li1 ( 174960 31265 ) L1M1_PR_MR
+    NEW met1 ( 35280 28675 ) M1M2_PR
+    NEW met1 ( 35280 40515 ) M1M2_PR
+    NEW li1 ( 30000 40515 ) L1M1_PR_MR
+    NEW met1 ( 173520 30525 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net526 ( ANTENNA_152 DIODE ) ( ANTENNA_151 DIODE ) ( output526 A ) ( _113_ LO ) 
+  + ROUTED met2 ( 103440 205905 ) ( 103440 207015 )
+    NEW met1 ( 86160 207015 ) ( 103440 207015 )
+    NEW met1 ( 103440 205905 ) ( 168720 205905 )
+    NEW met1 ( 167760 38665 ) ( 168720 38665 )
+    NEW met2 ( 168720 38665 ) ( 168720 205905 )
+    NEW met1 ( 103440 207015 ) M1M2_PR
+    NEW met1 ( 103440 205905 ) M1M2_PR
+    NEW li1 ( 87600 207015 ) L1M1_PR_MR
+    NEW li1 ( 86160 207015 ) L1M1_PR_MR
+    NEW met1 ( 168720 205905 ) M1M2_PR
+    NEW li1 ( 168720 38665 ) L1M1_PR_MR
+    NEW met1 ( 168720 38665 ) M1M2_PR
+    NEW li1 ( 167760 38665 ) L1M1_PR_MR
+    NEW met1 ( 87600 207015 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 168720 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net527 ( output527 A ) ( _114_ LO ) 
+  + ROUTED met1 ( 86400 90835 ) ( 86400 91205 )
+    NEW met1 ( 79920 91205 ) ( 86400 91205 )
+    NEW met1 ( 174000 35335 ) ( 174480 35335 )
+    NEW met1 ( 86400 90835 ) ( 174480 90835 )
+    NEW met2 ( 174480 35335 ) ( 174480 90835 )
+    NEW li1 ( 79920 91205 ) L1M1_PR_MR
+    NEW li1 ( 174000 35335 ) L1M1_PR_MR
+    NEW met1 ( 174480 35335 ) M1M2_PR
+    NEW met1 ( 174480 90835 ) M1M2_PR
++ USE SIGNAL ;
+- net528 ( ANTENNA_155 DIODE ) ( ANTENNA_154 DIODE ) ( ANTENNA_153 DIODE ) ( output528 A ) 
+( _115_ LO ) 
+  + ROUTED met1 ( 20880 224035 ) ( 20880 224405 )
+    NEW met1 ( 19440 224035 ) ( 20880 224035 )
+    NEW met1 ( 86400 223665 ) ( 86400 224035 )
+    NEW met1 ( 20880 224035 ) ( 86400 224035 )
+    NEW met1 ( 86400 223665 ) ( 172560 223665 )
+    NEW met1 ( 171600 38665 ) ( 172560 38665 )
+    NEW met1 ( 172560 39035 ) ( 174480 39035 )
+    NEW met1 ( 172560 38665 ) ( 172560 39035 )
+    NEW met2 ( 172560 38665 ) ( 172560 223665 )
+    NEW li1 ( 20880 224405 ) L1M1_PR_MR
+    NEW li1 ( 19440 224035 ) L1M1_PR_MR
+    NEW met1 ( 172560 223665 ) M1M2_PR
+    NEW li1 ( 172560 38665 ) L1M1_PR_MR
+    NEW met1 ( 172560 38665 ) M1M2_PR
+    NEW li1 ( 171600 38665 ) L1M1_PR_MR
+    NEW li1 ( 174480 39035 ) L1M1_PR_MR
+    NEW met1 ( 172560 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net529 ( output529 A ) ( _116_ LO ) 
+  + ROUTED met1 ( 176880 24605 ) ( 290160 24605 )
+    NEW met2 ( 176880 24605 ) ( 176880 37925 )
+    NEW li1 ( 290160 24605 ) L1M1_PR_MR
+    NEW met1 ( 176880 24605 ) M1M2_PR
+    NEW li1 ( 176880 37925 ) L1M1_PR_MR
+    NEW met1 ( 176880 37925 ) M1M2_PR
+    NEW met1 ( 176880 37925 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net530 ( output530 A ) ( _066_ LO ) 
+  + ROUTED met2 ( 90960 64195 ) ( 90960 98975 )
+    NEW met1 ( 81360 32005 ) ( 81840 32005 )
+    NEW met2 ( 149040 98975 ) ( 149040 100455 )
+    NEW met1 ( 90960 98975 ) ( 149040 98975 )
+    NEW met2 ( 81840 32005 ) ( 81840 64195 )
+    NEW met1 ( 81840 64195 ) ( 90960 64195 )
+    NEW met1 ( 90960 98975 ) M1M2_PR
+    NEW met1 ( 90960 64195 ) M1M2_PR
+    NEW li1 ( 81360 32005 ) L1M1_PR_MR
+    NEW met1 ( 81840 32005 ) M1M2_PR
+    NEW met1 ( 149040 98975 ) M1M2_PR
+    NEW li1 ( 149040 100455 ) L1M1_PR_MR
+    NEW met1 ( 149040 100455 ) M1M2_PR
+    NEW met1 ( 81840 64195 ) M1M2_PR
+    NEW met1 ( 149040 100455 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net531 ( ANTENNA_156 DIODE ) ( output531 A ) ( _117_ LO ) 
+  + ROUTED met1 ( 179760 35335 ) ( 180720 35335 )
+    NEW met1 ( 179760 35335 ) ( 179760 35705 )
+    NEW met2 ( 179760 35705 ) ( 179760 112665 )
+    NEW met2 ( 42960 112665 ) ( 42960 113775 )
+    NEW met1 ( 42960 112665 ) ( 179760 112665 )
+    NEW li1 ( 179760 35705 ) L1M1_PR_MR
+    NEW met1 ( 179760 35705 ) M1M2_PR
+    NEW li1 ( 180720 35335 ) L1M1_PR_MR
+    NEW met1 ( 179760 112665 ) M1M2_PR
+    NEW met1 ( 42960 112665 ) M1M2_PR
+    NEW li1 ( 42960 113775 ) L1M1_PR_MR
+    NEW met1 ( 42960 113775 ) M1M2_PR
+    NEW met1 ( 179760 35705 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 42960 113775 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net532 ( ANTENNA_158 DIODE ) ( ANTENNA_157 DIODE ) ( output532 A ) ( _118_ LO ) 
+  + ROUTED met1 ( 112560 200355 ) ( 114000 200355 )
+    NEW met1 ( 185040 32005 ) ( 186000 32005 )
+    NEW met1 ( 114000 200355 ) ( 186000 200355 )
+    NEW met2 ( 186000 32005 ) ( 186000 200355 )
+    NEW li1 ( 114000 200355 ) L1M1_PR_MR
+    NEW li1 ( 112560 200355 ) L1M1_PR_MR
+    NEW li1 ( 186000 32005 ) L1M1_PR_MR
+    NEW met1 ( 186000 32005 ) M1M2_PR
+    NEW li1 ( 185040 32005 ) L1M1_PR_MR
+    NEW met1 ( 186000 200355 ) M1M2_PR
+    NEW met1 ( 186000 32005 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net533 ( output533 A ) ( _119_ LO ) 
+  + ROUTED met1 ( 79920 138195 ) ( 180720 138195 )
+    NEW met2 ( 180720 38665 ) ( 180720 138195 )
+    NEW li1 ( 79920 138195 ) L1M1_PR_MR
+    NEW li1 ( 180720 38665 ) L1M1_PR_MR
+    NEW met1 ( 180720 38665 ) M1M2_PR
+    NEW met1 ( 180720 138195 ) M1M2_PR
+    NEW met1 ( 180720 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net534 ( output534 A ) ( _120_ LO ) 
+  + ROUTED met1 ( 185040 34225 ) ( 185040 34595 )
+    NEW met1 ( 171600 34225 ) ( 185040 34225 )
+    NEW met1 ( 122640 73815 ) ( 171600 73815 )
+    NEW met2 ( 171600 34225 ) ( 171600 73815 )
+    NEW li1 ( 122640 73815 ) L1M1_PR_MR
+    NEW li1 ( 185040 34595 ) L1M1_PR_MR
+    NEW met1 ( 171600 34225 ) M1M2_PR
+    NEW met1 ( 171600 73815 ) M1M2_PR
++ USE SIGNAL ;
+- net535 ( ANTENNA_160 DIODE ) ( ANTENNA_159 DIODE ) ( output535 A ) ( _121_ LO ) 
+  + ROUTED met1 ( 115920 211085 ) ( 117360 211085 )
+    NEW met1 ( 117360 211085 ) ( 184080 211085 )
+    NEW met1 ( 183120 38665 ) ( 184080 38665 )
+    NEW met2 ( 184080 38665 ) ( 184080 211085 )
+    NEW li1 ( 117360 211085 ) L1M1_PR_MR
+    NEW li1 ( 115920 211085 ) L1M1_PR_MR
+    NEW met1 ( 184080 211085 ) M1M2_PR
+    NEW li1 ( 184080 38665 ) L1M1_PR_MR
+    NEW met1 ( 184080 38665 ) M1M2_PR
+    NEW li1 ( 183120 38665 ) L1M1_PR_MR
+    NEW met1 ( 184080 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net536 ( ANTENNA_162 DIODE ) ( ANTENNA_161 DIODE ) ( output536 A ) ( _122_ LO ) 
+  + ROUTED met1 ( 188400 35335 ) ( 188400 35705 )
+    NEW met1 ( 187440 35705 ) ( 188400 35705 )
+    NEW met2 ( 187440 35705 ) ( 187440 275465 )
+    NEW met2 ( 79920 275465 ) ( 79920 277685 )
+    NEW met1 ( 78480 277685 ) ( 79920 277685 )
+    NEW met1 ( 79920 275465 ) ( 187440 275465 )
+    NEW li1 ( 187440 35705 ) L1M1_PR_MR
+    NEW met1 ( 187440 35705 ) M1M2_PR
+    NEW li1 ( 188400 35335 ) L1M1_PR_MR
+    NEW met1 ( 187440 275465 ) M1M2_PR
+    NEW li1 ( 79920 277685 ) L1M1_PR_MR
+    NEW met1 ( 79920 277685 ) M1M2_PR
+    NEW met1 ( 79920 275465 ) M1M2_PR
+    NEW li1 ( 78480 277685 ) L1M1_PR_MR
+    NEW met1 ( 187440 35705 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 79920 277685 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net537 ( output537 A ) ( _123_ LO ) 
+  + ROUTED met1 ( 192720 35335 ) ( 192720 35705 )
+    NEW met1 ( 192720 35705 ) ( 214800 35705 )
+    NEW met2 ( 214800 35705 ) ( 214800 40515 )
+    NEW li1 ( 192720 35335 ) L1M1_PR_MR
+    NEW met1 ( 214800 35705 ) M1M2_PR
+    NEW li1 ( 214800 40515 ) L1M1_PR_MR
+    NEW met1 ( 214800 40515 ) M1M2_PR
+    NEW met1 ( 214800 40515 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net538 ( output538 A ) ( _124_ LO ) 
+  + ROUTED met1 ( 264720 34965 ) ( 264720 35335 )
+    NEW met1 ( 264720 35335 ) ( 272400 35335 )
+    NEW met1 ( 196560 34965 ) ( 264720 34965 )
+    NEW met1 ( 272400 60495 ) ( 272880 60495 )
+    NEW met2 ( 272400 35335 ) ( 272400 60495 )
+    NEW li1 ( 196560 34965 ) L1M1_PR_MR
+    NEW met1 ( 272400 35335 ) M1M2_PR
+    NEW met1 ( 272400 60495 ) M1M2_PR
+    NEW li1 ( 272880 60495 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net539 ( ANTENNA_164 DIODE ) ( ANTENNA_163 DIODE ) ( output539 A ) ( _125_ LO ) 
+  + ROUTED met1 ( 192240 37925 ) ( 194160 37925 )
+    NEW met2 ( 192240 37925 ) ( 192240 39405 )
+    NEW met2 ( 192240 39405 ) ( 192240 249195 )
+    NEW met2 ( 277200 249195 ) ( 277200 250305 )
+    NEW met1 ( 278160 250305 ) ( 278160 251045 )
+    NEW met1 ( 277200 250305 ) ( 278160 250305 )
+    NEW met1 ( 192240 249195 ) ( 277200 249195 )
+    NEW li1 ( 192240 39405 ) L1M1_PR_MR
+    NEW met1 ( 192240 39405 ) M1M2_PR
+    NEW li1 ( 194160 37925 ) L1M1_PR_MR
+    NEW met1 ( 192240 37925 ) M1M2_PR
+    NEW met1 ( 192240 249195 ) M1M2_PR
+    NEW li1 ( 277200 250305 ) L1M1_PR_MR
+    NEW met1 ( 277200 250305 ) M1M2_PR
+    NEW met1 ( 277200 249195 ) M1M2_PR
+    NEW li1 ( 278160 251045 ) L1M1_PR_MR
+    NEW met1 ( 192240 39405 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 277200 250305 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net540 ( output540 A ) ( _126_ LO ) 
+  + ROUTED met2 ( 200400 35335 ) ( 200400 97125 )
+    NEW met2 ( 140400 97125 ) ( 140400 107115 )
+    NEW met1 ( 140400 97125 ) ( 200400 97125 )
+    NEW li1 ( 200400 35335 ) L1M1_PR_MR
+    NEW met1 ( 200400 35335 ) M1M2_PR
+    NEW met1 ( 200400 97125 ) M1M2_PR
+    NEW met1 ( 140400 97125 ) M1M2_PR
+    NEW li1 ( 140400 107115 ) L1M1_PR_MR
+    NEW met1 ( 140400 107115 ) M1M2_PR
+    NEW met1 ( 200400 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 140400 107115 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net541 ( output541 A ) ( OR2X1 Y ) 
+  + ROUTED met1 ( 79440 35335 ) ( 79920 35335 )
+    NEW met1 ( 43200 123765 0 ) ( 79920 123765 )
+    NEW met2 ( 79920 35335 ) ( 79920 123765 )
+    NEW li1 ( 79440 35335 ) L1M1_PR_MR
+    NEW met1 ( 79920 35335 ) M1M2_PR
+    NEW met1 ( 79920 123765 ) M1M2_PR
++ USE SIGNAL ;
+- net542 ( ANTENNA_166 DIODE ) ( ANTENNA_165 DIODE ) ( output542 A ) ( _127_ LO ) 
+  + ROUTED met1 ( 11280 273615 ) ( 13200 273615 )
+    NEW met2 ( 11280 96015 ) ( 11280 273615 )
+    NEW met1 ( 195600 39405 ) ( 196080 39405 )
+    NEW met1 ( 195600 38295 ) ( 197520 38295 )
+    NEW met2 ( 195600 38295 ) ( 195600 39405 )
+    NEW met2 ( 195600 39405 ) ( 195600 96015 )
+    NEW met1 ( 11280 96015 ) ( 195600 96015 )
+    NEW met1 ( 11280 96015 ) M1M2_PR
+    NEW met1 ( 195600 96015 ) M1M2_PR
+    NEW li1 ( 11280 273615 ) L1M1_PR_MR
+    NEW met1 ( 11280 273615 ) M1M2_PR
+    NEW li1 ( 13200 273615 ) L1M1_PR_MR
+    NEW li1 ( 196080 39405 ) L1M1_PR_MR
+    NEW met1 ( 195600 39405 ) M1M2_PR
+    NEW li1 ( 197520 38295 ) L1M1_PR_MR
+    NEW met1 ( 195600 38295 ) M1M2_PR
+    NEW met1 ( 11280 273615 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net543 ( ANTENNA_168 DIODE ) ( ANTENNA_167 DIODE ) ( output543 A ) ( _128_ LO ) 
+  + ROUTED met1 ( 206160 32005 ) ( 206160 32745 )
+    NEW met1 ( 204720 32745 ) ( 206160 32745 )
+    NEW met2 ( 204720 32745 ) ( 204720 144485 )
+    NEW met1 ( 52560 144485 ) ( 54000 144485 )
+    NEW met1 ( 54000 144485 ) ( 204720 144485 )
+    NEW li1 ( 204720 32745 ) L1M1_PR_MR
+    NEW met1 ( 204720 32745 ) M1M2_PR
+    NEW li1 ( 206160 32005 ) L1M1_PR_MR
+    NEW met1 ( 204720 144485 ) M1M2_PR
+    NEW li1 ( 54000 144485 ) L1M1_PR_MR
+    NEW li1 ( 52560 144485 ) L1M1_PR_MR
+    NEW met1 ( 204720 32745 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net544 ( ANTENNA_170 DIODE ) ( ANTENNA_169 DIODE ) ( output544 A ) ( _129_ LO ) 
+  + ROUTED met2 ( 119280 232175 ) ( 119280 233655 )
+    NEW met1 ( 117840 233655 ) ( 119280 233655 )
+    NEW met1 ( 200400 38665 ) ( 201360 38665 )
+    NEW met2 ( 201360 38665 ) ( 201360 232175 )
+    NEW met1 ( 119280 232175 ) ( 201360 232175 )
+    NEW li1 ( 119280 233655 ) L1M1_PR_MR
+    NEW met1 ( 119280 233655 ) M1M2_PR
+    NEW met1 ( 119280 232175 ) M1M2_PR
+    NEW li1 ( 117840 233655 ) L1M1_PR_MR
+    NEW met1 ( 201360 232175 ) M1M2_PR
+    NEW li1 ( 201360 38665 ) L1M1_PR_MR
+    NEW met1 ( 201360 38665 ) M1M2_PR
+    NEW li1 ( 200400 38665 ) L1M1_PR_MR
+    NEW met1 ( 119280 233655 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 201360 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net545 ( output545 A ) ( _130_ LO ) 
+  + ROUTED met2 ( 202320 18685 ) ( 202320 33855 )
+    NEW met1 ( 202320 33855 ) ( 207600 33855 )
+    NEW met1 ( 207600 33855 ) ( 207600 34595 )
+    NEW met1 ( 187200 18685 ) ( 202320 18685 )
+    NEW met1 ( 143280 17945 ) ( 143280 19055 )
+    NEW met1 ( 143280 19055 ) ( 187200 19055 )
+    NEW met1 ( 187200 18685 ) ( 187200 19055 )
+    NEW met1 ( 62160 17945 ) ( 143280 17945 )
+    NEW met1 ( 202320 18685 ) M1M2_PR
+    NEW met1 ( 202320 33855 ) M1M2_PR
+    NEW li1 ( 207600 34595 ) L1M1_PR_MR
+    NEW li1 ( 62160 17945 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net546 ( ANTENNA_172 DIODE ) ( ANTENNA_171 DIODE ) ( output546 A ) ( _131_ LO ) 
+  + ROUTED met1 ( 203280 39405 ) ( 203760 39405 )
+    NEW met1 ( 205200 38665 ) ( 205200 39405 )
+    NEW met1 ( 203760 39405 ) ( 205200 39405 )
+    NEW met2 ( 203280 39405 ) ( 203280 274725 )
+    NEW met2 ( 43440 274725 ) ( 43440 277685 )
+    NEW met1 ( 42000 277685 ) ( 43440 277685 )
+    NEW met1 ( 43440 274725 ) ( 203280 274725 )
+    NEW li1 ( 203760 39405 ) L1M1_PR_MR
+    NEW met1 ( 203280 39405 ) M1M2_PR
+    NEW li1 ( 205200 38665 ) L1M1_PR_MR
+    NEW met1 ( 203280 274725 ) M1M2_PR
+    NEW li1 ( 43440 277685 ) L1M1_PR_MR
+    NEW met1 ( 43440 277685 ) M1M2_PR
+    NEW met1 ( 43440 274725 ) M1M2_PR
+    NEW li1 ( 42000 277685 ) L1M1_PR_MR
+    NEW met1 ( 43440 277685 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net547 ( ANTENNA_174 DIODE ) ( ANTENNA_173 DIODE ) ( output547 A ) ( _132_ LO ) 
+  + ROUTED met1 ( 213840 32005 ) ( 213840 32745 )
+    NEW met1 ( 212400 32745 ) ( 213840 32745 )
+    NEW met2 ( 212400 32745 ) ( 212400 177045 )
+    NEW met1 ( 187200 177045 ) ( 212400 177045 )
+    NEW met1 ( 155280 177415 ) ( 155280 177785 )
+    NEW met1 ( 155280 177415 ) ( 187200 177415 )
+    NEW met1 ( 187200 177045 ) ( 187200 177415 )
+    NEW met1 ( 153840 177415 ) ( 155280 177415 )
+    NEW li1 ( 212400 32745 ) L1M1_PR_MR
+    NEW met1 ( 212400 32745 ) M1M2_PR
+    NEW li1 ( 213840 32005 ) L1M1_PR_MR
+    NEW met1 ( 212400 177045 ) M1M2_PR
+    NEW li1 ( 155280 177785 ) L1M1_PR_MR
+    NEW li1 ( 153840 177415 ) L1M1_PR_MR
+    NEW met1 ( 212400 32745 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net548 ( output548 A ) ( _133_ LO ) 
+  + ROUTED met1 ( 211440 33855 ) ( 211440 34595 )
+    NEW met1 ( 211440 33855 ) ( 287760 33855 )
+    NEW met2 ( 287760 33855 ) ( 287760 84545 )
+    NEW li1 ( 211440 34595 ) L1M1_PR_MR
+    NEW met1 ( 287760 33855 ) M1M2_PR
+    NEW li1 ( 287760 84545 ) L1M1_PR_MR
+    NEW met1 ( 287760 84545 ) M1M2_PR
+    NEW met1 ( 287760 84545 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net549 ( output549 A ) ( _134_ LO ) 
+  + ROUTED met1 ( 195120 37925 ) ( 209520 37925 )
+    NEW met1 ( 195120 37925 ) ( 195120 38295 )
+    NEW met1 ( 193200 38295 ) ( 195120 38295 )
+    NEW met2 ( 193200 38295 ) ( 193200 51245 )
+    NEW met1 ( 141360 51245 ) ( 193200 51245 )
+    NEW li1 ( 209520 37925 ) L1M1_PR_MR
+    NEW met1 ( 193200 38295 ) M1M2_PR
+    NEW met1 ( 193200 51245 ) M1M2_PR
+    NEW li1 ( 141360 51245 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net550 ( output550 A ) ( _135_ LO ) 
+  + ROUTED met2 ( 101040 82695 ) ( 101040 84545 )
+    NEW met2 ( 215280 35335 ) ( 215280 82695 )
+    NEW met1 ( 101040 82695 ) ( 215280 82695 )
+    NEW li1 ( 215280 35335 ) L1M1_PR_MR
+    NEW met1 ( 215280 35335 ) M1M2_PR
+    NEW met1 ( 101040 82695 ) M1M2_PR
+    NEW li1 ( 101040 84545 ) L1M1_PR_MR
+    NEW met1 ( 101040 84545 ) M1M2_PR
+    NEW met1 ( 215280 82695 ) M1M2_PR
+    NEW met1 ( 215280 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 101040 84545 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net551 ( output551 A ) ( _136_ LO ) 
+  + ROUTED met1 ( 219120 32005 ) ( 220560 32005 )
+    NEW met2 ( 219120 32005 ) ( 219120 82325 )
+    NEW met2 ( 160080 82325 ) ( 160080 84545 )
+    NEW met1 ( 160080 82325 ) ( 219120 82325 )
+    NEW li1 ( 220560 32005 ) L1M1_PR_MR
+    NEW met1 ( 219120 32005 ) M1M2_PR
+    NEW met1 ( 219120 82325 ) M1M2_PR
+    NEW met1 ( 160080 82325 ) M1M2_PR
+    NEW li1 ( 160080 84545 ) L1M1_PR_MR
+    NEW met1 ( 160080 84545 ) M1M2_PR
+    NEW met1 ( 160080 84545 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net552 ( output552 A ) ( _067_ LO ) 
+  + ROUTED met1 ( 229200 144115 ) ( 229200 144485 )
+    NEW met2 ( 79440 38665 ) ( 79440 144115 )
+    NEW met1 ( 79440 144115 ) ( 229200 144115 )
+    NEW li1 ( 229200 144485 ) L1M1_PR_MR
+    NEW li1 ( 79440 38665 ) L1M1_PR_MR
+    NEW met1 ( 79440 38665 ) M1M2_PR
+    NEW met1 ( 79440 144115 ) M1M2_PR
+    NEW met1 ( 79440 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net553 ( ANTENNA_176 DIODE ) ( ANTENNA_175 DIODE ) ( output553 A ) ( _137_ LO ) 
+  + ROUTED met1 ( 212880 38665 ) ( 212880 39405 )
+    NEW met1 ( 211440 39405 ) ( 212880 39405 )
+    NEW met2 ( 211440 39405 ) ( 211440 252525 )
+    NEW met2 ( 43440 252525 ) ( 43440 253635 )
+    NEW met1 ( 42000 253635 ) ( 43440 253635 )
+    NEW met1 ( 43440 252525 ) ( 211440 252525 )
+    NEW li1 ( 211440 39405 ) L1M1_PR_MR
+    NEW met1 ( 211440 39405 ) M1M2_PR
+    NEW li1 ( 212880 38665 ) L1M1_PR_MR
+    NEW met1 ( 211440 252525 ) M1M2_PR
+    NEW li1 ( 43440 253635 ) L1M1_PR_MR
+    NEW met1 ( 43440 253635 ) M1M2_PR
+    NEW met1 ( 43440 252525 ) M1M2_PR
+    NEW li1 ( 42000 253635 ) L1M1_PR_MR
+    NEW met1 ( 211440 39405 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 43440 253635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net554 ( ANTENNA_178 DIODE ) ( ANTENNA_177 DIODE ) ( output554 A ) ( _138_ LO ) 
+  + ROUTED met1 ( 133200 191105 ) ( 134640 191105 )
+    NEW met1 ( 218640 35335 ) ( 218640 35705 )
+    NEW met1 ( 217200 35705 ) ( 218640 35705 )
+    NEW met2 ( 217200 35705 ) ( 217200 191105 )
+    NEW met1 ( 134640 191105 ) ( 217200 191105 )
+    NEW li1 ( 134640 191105 ) L1M1_PR_MR
+    NEW li1 ( 133200 191105 ) L1M1_PR_MR
+    NEW li1 ( 217200 35705 ) L1M1_PR_MR
+    NEW met1 ( 217200 35705 ) M1M2_PR
+    NEW li1 ( 218640 35335 ) L1M1_PR_MR
+    NEW met1 ( 217200 191105 ) M1M2_PR
+    NEW met1 ( 217200 35705 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net555 ( output555 A ) ( _139_ LO ) 
+  + ROUTED met2 ( 222480 21275 ) ( 222480 31265 )
+    NEW met1 ( 222480 31265 ) ( 224400 31265 )
+    NEW li1 ( 222480 21275 ) L1M1_PR_MR
+    NEW met1 ( 222480 21275 ) M1M2_PR
+    NEW met1 ( 222480 31265 ) M1M2_PR
+    NEW li1 ( 224400 31265 ) L1M1_PR_MR
+    NEW met1 ( 222480 21275 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net556 ( output556 A ) ( _140_ LO ) 
+  + ROUTED met2 ( 222960 35335 ) ( 222960 66045 )
+    NEW met2 ( 290160 66045 ) ( 290160 67155 )
+    NEW met1 ( 222960 66045 ) ( 290160 66045 )
+    NEW li1 ( 222960 35335 ) L1M1_PR_MR
+    NEW met1 ( 222960 35335 ) M1M2_PR
+    NEW met1 ( 222960 66045 ) M1M2_PR
+    NEW met1 ( 290160 66045 ) M1M2_PR
+    NEW li1 ( 290160 67155 ) L1M1_PR_MR
+    NEW met1 ( 290160 67155 ) M1M2_PR
+    NEW met1 ( 222960 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 290160 67155 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net557 ( output557 A ) ( _141_ LO ) 
+  + ROUTED met2 ( 220560 38665 ) ( 220560 75665 )
+    NEW met1 ( 149520 100455 ) ( 177840 100455 )
+    NEW met1 ( 149520 100455 ) ( 149520 100825 )
+    NEW met1 ( 148080 100825 ) ( 149520 100825 )
+    NEW met1 ( 148080 100455 ) ( 148080 100825 )
+    NEW met1 ( 134640 100455 ) ( 148080 100455 )
+    NEW met2 ( 177840 75665 ) ( 177840 100455 )
+    NEW met1 ( 177840 75665 ) ( 220560 75665 )
+    NEW li1 ( 134640 100455 ) L1M1_PR_MR
+    NEW li1 ( 220560 38665 ) L1M1_PR_MR
+    NEW met1 ( 220560 38665 ) M1M2_PR
+    NEW met1 ( 220560 75665 ) M1M2_PR
+    NEW met1 ( 177840 100455 ) M1M2_PR
+    NEW met1 ( 177840 75665 ) M1M2_PR
+    NEW met1 ( 220560 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net558 ( output558 A ) ( _142_ LO ) 
+  + ROUTED met2 ( 226800 35335 ) ( 226800 72335 )
+    NEW met1 ( 141360 72335 ) ( 226800 72335 )
+    NEW li1 ( 226800 35335 ) L1M1_PR_MR
+    NEW met1 ( 226800 35335 ) M1M2_PR
+    NEW met1 ( 226800 72335 ) M1M2_PR
+    NEW li1 ( 141360 72335 ) L1M1_PR_MR
+    NEW met1 ( 226800 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net559 ( output559 A ) ( _143_ LO ) 
+  + ROUTED met1 ( 224400 38665 ) ( 224880 38665 )
+    NEW met2 ( 224880 38665 ) ( 224880 153735 )
+    NEW met1 ( 224880 153735 ) ( 278640 153735 )
+    NEW li1 ( 224400 38665 ) L1M1_PR_MR
+    NEW met1 ( 224880 38665 ) M1M2_PR
+    NEW met1 ( 224880 153735 ) M1M2_PR
+    NEW li1 ( 278640 153735 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net560 ( output560 A ) ( _144_ LO ) 
+  + ROUTED met1 ( 222000 38295 ) ( 228240 38295 )
+    NEW met2 ( 222000 38295 ) ( 222000 67155 )
+    NEW li1 ( 228240 38295 ) L1M1_PR_MR
+    NEW met1 ( 222000 38295 ) M1M2_PR
+    NEW li1 ( 222000 67155 ) L1M1_PR_MR
+    NEW met1 ( 222000 67155 ) M1M2_PR
+    NEW met1 ( 222000 67155 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net561 ( ANTENNA_180 DIODE ) ( ANTENNA_179 DIODE ) ( output561 A ) ( _145_ LO ) 
+  + ROUTED met1 ( 233520 35335 ) ( 233520 35705 )
+    NEW met1 ( 232080 35705 ) ( 233520 35705 )
+    NEW met2 ( 232080 35705 ) ( 232080 111925 )
+    NEW met1 ( 61680 113775 ) ( 63120 113775 )
+    NEW met2 ( 63600 111925 ) ( 63600 113775 )
+    NEW met1 ( 63120 113775 ) ( 63600 113775 )
+    NEW met1 ( 63600 111925 ) ( 232080 111925 )
+    NEW li1 ( 232080 35705 ) L1M1_PR_MR
+    NEW met1 ( 232080 35705 ) M1M2_PR
+    NEW li1 ( 233520 35335 ) L1M1_PR_MR
+    NEW met1 ( 232080 111925 ) M1M2_PR
+    NEW li1 ( 63120 113775 ) L1M1_PR_MR
+    NEW li1 ( 61680 113775 ) L1M1_PR_MR
+    NEW met1 ( 63600 111925 ) M1M2_PR
+    NEW met1 ( 63600 113775 ) M1M2_PR
+    NEW met1 ( 232080 35705 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net562 ( ANTENNA_182 DIODE ) ( ANTENNA_181 DIODE ) ( output562 A ) ( _146_ LO ) 
+  + ROUTED met1 ( 123120 258075 ) ( 126960 258075 )
+    NEW met1 ( 126960 257335 ) ( 126960 258075 )
+    NEW met1 ( 121680 258075 ) ( 123120 258075 )
+    NEW met1 ( 230640 38665 ) ( 231600 38665 )
+    NEW met2 ( 231600 38665 ) ( 231600 257335 )
+    NEW met1 ( 126960 257335 ) ( 231600 257335 )
+    NEW li1 ( 123120 258075 ) L1M1_PR_MR
+    NEW li1 ( 121680 258075 ) L1M1_PR_MR
+    NEW li1 ( 231600 38665 ) L1M1_PR_MR
+    NEW met1 ( 231600 38665 ) M1M2_PR
+    NEW li1 ( 230640 38665 ) L1M1_PR_MR
+    NEW met1 ( 231600 257335 ) M1M2_PR
+    NEW met1 ( 231600 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net563 ( output563 A ) ( _068_ LO ) 
+  + ROUTED met2 ( 178800 102675 ) ( 178800 104525 )
+    NEW met1 ( 81360 102675 ) ( 178800 102675 )
+    NEW met2 ( 81360 41995 ) ( 81360 102675 )
+    NEW met1 ( 81360 102675 ) M1M2_PR
+    NEW met1 ( 178800 102675 ) M1M2_PR
+    NEW li1 ( 178800 104525 ) L1M1_PR_MR
+    NEW met1 ( 178800 104525 ) M1M2_PR
+    NEW li1 ( 81360 41995 ) L1M1_PR_MR
+    NEW met1 ( 81360 41995 ) M1M2_PR
+    NEW met1 ( 178800 104525 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 81360 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net564 ( ANTENNA_184 DIODE ) ( ANTENNA_183 DIODE ) ( output564 A ) ( _147_ LO ) 
+  + ROUTED met1 ( 234000 35705 ) ( 235920 35705 )
+    NEW met1 ( 237360 35335 ) ( 237360 35705 )
+    NEW met1 ( 235920 35705 ) ( 237360 35705 )
+    NEW met1 ( 222960 251045 ) ( 234000 251045 )
+    NEW met1 ( 221520 251045 ) ( 222960 251045 )
+    NEW met2 ( 234000 35705 ) ( 234000 251045 )
+    NEW li1 ( 235920 35705 ) L1M1_PR_MR
+    NEW met1 ( 234000 35705 ) M1M2_PR
+    NEW li1 ( 237360 35335 ) L1M1_PR_MR
+    NEW li1 ( 222960 251045 ) L1M1_PR_MR
+    NEW met1 ( 234000 251045 ) M1M2_PR
+    NEW li1 ( 221520 251045 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net565 ( ANTENNA_186 DIODE ) ( ANTENNA_185 DIODE ) ( output565 A ) ( _148_ LO ) 
+  + ROUTED met2 ( 235920 38665 ) ( 235920 64565 )
+    NEW met1 ( 234480 39035 ) ( 235920 39035 )
+    NEW met1 ( 235920 38665 ) ( 235920 39035 )
+    NEW met1 ( 241200 226995 ) ( 252720 226995 )
+    NEW met1 ( 252720 226995 ) ( 253680 226995 )
+    NEW met1 ( 235920 64565 ) ( 241200 64565 )
+    NEW met2 ( 241200 64565 ) ( 241200 226995 )
+    NEW li1 ( 235920 38665 ) L1M1_PR_MR
+    NEW met1 ( 235920 38665 ) M1M2_PR
+    NEW met1 ( 235920 64565 ) M1M2_PR
+    NEW li1 ( 234480 39035 ) L1M1_PR_MR
+    NEW li1 ( 252720 226995 ) L1M1_PR_MR
+    NEW met1 ( 241200 226995 ) M1M2_PR
+    NEW li1 ( 253680 226995 ) L1M1_PR_MR
+    NEW met1 ( 241200 64565 ) M1M2_PR
+    NEW met1 ( 235920 38665 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net566 ( ANTENNA_188 DIODE ) ( ANTENNA_187 DIODE ) ( output566 A ) ( _149_ LO ) 
+  + ROUTED met1 ( 240240 35335 ) ( 241680 35335 )
+    NEW met1 ( 241680 167055 ) ( 286320 167055 )
+    NEW met1 ( 286320 167055 ) ( 287280 167055 )
+    NEW met2 ( 241680 35335 ) ( 241680 167055 )
+    NEW li1 ( 241680 35335 ) L1M1_PR_MR
+    NEW met1 ( 241680 35335 ) M1M2_PR
+    NEW li1 ( 240240 35335 ) L1M1_PR_MR
+    NEW li1 ( 286320 167055 ) L1M1_PR_MR
+    NEW met1 ( 241680 167055 ) M1M2_PR
+    NEW li1 ( 287280 167055 ) L1M1_PR_MR
+    NEW met1 ( 241680 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net567 ( ANTENNA_189 DIODE ) ( output567 A ) ( _150_ LO ) 
+  + ROUTED met2 ( 237840 39405 ) ( 237840 45325 )
+    NEW met1 ( 237840 38295 ) ( 239280 38295 )
+    NEW met2 ( 237840 38295 ) ( 237840 39405 )
+    NEW met2 ( 62160 45325 ) ( 62160 47175 )
+    NEW met1 ( 16080 47175 ) ( 62160 47175 )
+    NEW met2 ( 16080 46065 ) ( 16080 47175 )
+    NEW met1 ( 62160 45325 ) ( 237840 45325 )
+    NEW li1 ( 237840 39405 ) L1M1_PR_MR
+    NEW met1 ( 237840 39405 ) M1M2_PR
+    NEW met1 ( 237840 45325 ) M1M2_PR
+    NEW li1 ( 239280 38295 ) L1M1_PR_MR
+    NEW met1 ( 237840 38295 ) M1M2_PR
+    NEW met1 ( 62160 45325 ) M1M2_PR
+    NEW met1 ( 62160 47175 ) M1M2_PR
+    NEW met1 ( 16080 47175 ) M1M2_PR
+    NEW li1 ( 16080 46065 ) L1M1_PR_MR
+    NEW met1 ( 16080 46065 ) M1M2_PR
+    NEW met1 ( 237840 39405 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 16080 46065 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net568 ( ANTENNA_191 DIODE ) ( ANTENNA_190 DIODE ) ( output568 A ) ( _151_ LO ) 
+  + ROUTED met2 ( 50160 225885 ) ( 50160 226995 )
+    NEW met1 ( 48720 226995 ) ( 50160 226995 )
+    NEW met1 ( 244080 35705 ) ( 244560 35705 )
+    NEW met1 ( 245040 35335 ) ( 245040 35705 )
+    NEW met1 ( 244560 35705 ) ( 245040 35705 )
+    NEW met1 ( 50160 225885 ) ( 244560 225885 )
+    NEW met2 ( 244560 35705 ) ( 244560 225885 )
+    NEW li1 ( 50160 226995 ) L1M1_PR_MR
+    NEW met1 ( 50160 226995 ) M1M2_PR
+    NEW met1 ( 50160 225885 ) M1M2_PR
+    NEW li1 ( 48720 226995 ) L1M1_PR_MR
+    NEW li1 ( 244080 35705 ) L1M1_PR_MR
+    NEW met1 ( 244560 35705 ) M1M2_PR
+    NEW li1 ( 245040 35335 ) L1M1_PR_MR
+    NEW met1 ( 244560 225885 ) M1M2_PR
+    NEW met1 ( 50160 226995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net569 ( ANTENNA_192 DIODE ) ( output569 A ) ( _152_ LO ) 
+  + ROUTED met1 ( 239760 41995 ) ( 240720 41995 )
+    NEW met1 ( 49200 154475 ) ( 240720 154475 )
+    NEW met2 ( 240720 41995 ) ( 240720 154475 )
+    NEW li1 ( 49200 154475 ) L1M1_PR_MR
+    NEW li1 ( 240720 41995 ) L1M1_PR_MR
+    NEW met1 ( 240720 41995 ) M1M2_PR
+    NEW li1 ( 239760 41995 ) L1M1_PR_MR
+    NEW met1 ( 240720 154475 ) M1M2_PR
+    NEW met1 ( 240720 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net570 ( ANTENNA_194 DIODE ) ( ANTENNA_193 DIODE ) ( output570 A ) ( _153_ LO ) 
+  + ROUTED met1 ( 207600 278795 ) ( 209040 278795 )
+    NEW met1 ( 245520 38295 ) ( 246480 38295 )
+    NEW met1 ( 209040 278795 ) ( 246480 278795 )
+    NEW met2 ( 246480 38295 ) ( 246480 278795 )
+    NEW li1 ( 209040 278795 ) L1M1_PR_MR
+    NEW li1 ( 207600 278795 ) L1M1_PR_MR
+    NEW li1 ( 246480 38295 ) L1M1_PR_MR
+    NEW met1 ( 246480 38295 ) M1M2_PR
+    NEW li1 ( 245520 38295 ) L1M1_PR_MR
+    NEW met1 ( 246480 278795 ) M1M2_PR
+    NEW met1 ( 246480 38295 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net571 ( output571 A ) ( _154_ LO ) 
+  + ROUTED met2 ( 109200 85655 ) ( 109200 87135 )
+    NEW met2 ( 245040 41995 ) ( 245040 85655 )
+    NEW met1 ( 109200 85655 ) ( 245040 85655 )
+    NEW li1 ( 109200 87135 ) L1M1_PR_MR
+    NEW met1 ( 109200 87135 ) M1M2_PR
+    NEW met1 ( 109200 85655 ) M1M2_PR
+    NEW li1 ( 245040 41995 ) L1M1_PR_MR
+    NEW met1 ( 245040 41995 ) M1M2_PR
+    NEW met1 ( 245040 85655 ) M1M2_PR
+    NEW met1 ( 109200 87135 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 245040 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net572 ( ANTENNA_196 DIODE ) ( ANTENNA_195 DIODE ) ( output572 A ) ( _155_ LO ) 
+  + ROUTED met1 ( 133680 173715 ) ( 135120 173715 )
+    NEW met1 ( 152400 173715 ) ( 152400 174085 )
+    NEW met1 ( 152400 174085 ) ( 187200 174085 )
+    NEW met1 ( 187200 173715 ) ( 187200 174085 )
+    NEW met1 ( 135120 173715 ) ( 152400 173715 )
+    NEW met1 ( 249360 38665 ) ( 250320 38665 )
+    NEW met1 ( 187200 173715 ) ( 250320 173715 )
+    NEW met2 ( 250320 38665 ) ( 250320 173715 )
+    NEW li1 ( 135120 173715 ) L1M1_PR_MR
+    NEW li1 ( 133680 173715 ) L1M1_PR_MR
+    NEW li1 ( 250320 38665 ) L1M1_PR_MR
+    NEW met1 ( 250320 38665 ) M1M2_PR
+    NEW li1 ( 249360 38665 ) L1M1_PR_MR
+    NEW met1 ( 250320 173715 ) M1M2_PR
+    NEW met1 ( 250320 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net573 ( output573 A ) ( _156_ LO ) 
+  + ROUTED met2 ( 220560 122285 ) ( 220560 270285 )
+    NEW met1 ( 220560 122285 ) ( 247920 122285 )
+    NEW li1 ( 141840 270285 ) ( 141840 271025 )
+    NEW met1 ( 141360 271025 ) ( 141840 271025 )
+    NEW met1 ( 141840 270285 ) ( 220560 270285 )
+    NEW met1 ( 247920 41995 ) ( 248880 41995 )
+    NEW met2 ( 247920 41995 ) ( 247920 122285 )
+    NEW met1 ( 220560 122285 ) M1M2_PR
+    NEW met1 ( 220560 270285 ) M1M2_PR
+    NEW met1 ( 247920 122285 ) M1M2_PR
+    NEW li1 ( 141840 270285 ) L1M1_PR_MR
+    NEW li1 ( 141840 271025 ) L1M1_PR_MR
+    NEW li1 ( 141360 271025 ) L1M1_PR_MR
+    NEW li1 ( 248880 41995 ) L1M1_PR_MR
+    NEW met1 ( 247920 41995 ) M1M2_PR
++ USE SIGNAL ;
+- net574 ( output574 A ) ( OR2X2 Y ) 
+  + ROUTED met1 ( 43200 130425 0 ) ( 61680 130425 )
+    NEW met2 ( 85200 41995 ) ( 85200 58275 )
+    NEW met2 ( 61680 58275 ) ( 61680 130425 )
+    NEW met1 ( 61680 58275 ) ( 85200 58275 )
+    NEW met1 ( 61680 130425 ) M1M2_PR
+    NEW li1 ( 85200 41995 ) L1M1_PR_MR
+    NEW met1 ( 85200 41995 ) M1M2_PR
+    NEW met1 ( 85200 58275 ) M1M2_PR
+    NEW met1 ( 61680 58275 ) M1M2_PR
+    NEW met1 ( 85200 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net575 ( output575 A ) ( _185_ LO ) 
+  + ROUTED met1 ( 8880 41995 ) ( 8880 42735 )
+    NEW met1 ( 8880 42735 ) ( 30000 42735 )
+    NEW met2 ( 30000 42735 ) ( 30000 91205 )
+    NEW li1 ( 8880 41995 ) L1M1_PR_MR
+    NEW li1 ( 30000 91205 ) L1M1_PR_MR
+    NEW met1 ( 30000 91205 ) M1M2_PR
+    NEW met1 ( 30000 42735 ) M1M2_PR
+    NEW met1 ( 30000 91205 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net576 ( ANTENNA_198 DIODE ) ( ANTENNA_197 DIODE ) ( output576 A ) ( _186_ LO ) 
+  + ROUTED met1 ( 11280 38665 ) ( 12720 38665 )
+    NEW met2 ( 12720 38665 ) ( 12720 264365 )
+    NEW met1 ( 155280 264365 ) ( 156240 264365 )
+    NEW met1 ( 12720 264365 ) ( 155280 264365 )
+    NEW li1 ( 12720 38665 ) L1M1_PR_MR
+    NEW met1 ( 12720 38665 ) M1M2_PR
+    NEW li1 ( 11280 38665 ) L1M1_PR_MR
+    NEW met1 ( 12720 264365 ) M1M2_PR
+    NEW li1 ( 155280 264365 ) L1M1_PR_MR
+    NEW li1 ( 156240 264365 ) L1M1_PR_MR
+    NEW met1 ( 12720 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net577 ( ANTENNA_200 DIODE ) ( ANTENNA_199 DIODE ) ( output577 A ) ( _196_ LO ) 
+  + ROUTED met1 ( 219120 240315 ) ( 220080 240315 )
+    NEW met1 ( 29040 240315 ) ( 36000 240315 )
+    NEW met1 ( 36000 240315 ) ( 36000 240685 )
+    NEW met1 ( 36000 240685 ) ( 136800 240685 )
+    NEW met1 ( 136800 240315 ) ( 136800 240685 )
+    NEW met1 ( 136800 240315 ) ( 219120 240315 )
+    NEW met1 ( 29040 28675 ) ( 30480 28675 )
+    NEW met2 ( 29040 28675 ) ( 29040 240315 )
+    NEW met1 ( 29040 240315 ) M1M2_PR
+    NEW li1 ( 219120 240315 ) L1M1_PR_MR
+    NEW li1 ( 220080 240315 ) L1M1_PR_MR
+    NEW li1 ( 29040 28675 ) L1M1_PR_MR
+    NEW met1 ( 29040 28675 ) M1M2_PR
+    NEW li1 ( 30480 28675 ) L1M1_PR_MR
+    NEW met1 ( 29040 28675 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net578 ( output578 A ) ( _197_ LO ) 
+  + ROUTED met1 ( 115440 54945 ) ( 115920 54945 )
+    NEW li1 ( 115920 54945 ) ( 115920 55685 )
+    NEW met1 ( 28560 32005 ) ( 30480 32005 )
+    NEW met2 ( 30480 32005 ) ( 30480 55685 )
+    NEW met1 ( 30480 55685 ) ( 115920 55685 )
+    NEW li1 ( 115440 54945 ) L1M1_PR_MR
+    NEW li1 ( 115920 54945 ) L1M1_PR_MR
+    NEW li1 ( 115920 55685 ) L1M1_PR_MR
+    NEW li1 ( 28560 32005 ) L1M1_PR_MR
+    NEW met1 ( 30480 32005 ) M1M2_PR
+    NEW met1 ( 30480 55685 ) M1M2_PR
++ USE SIGNAL ;
+- net579 ( ANTENNA_202 DIODE ) ( ANTENNA_201 DIODE ) ( output579 A ) ( _198_ LO ) 
+  + ROUTED met1 ( 67440 180375 ) ( 68400 180375 )
+    NEW met1 ( 29520 180375 ) ( 67440 180375 )
+    NEW met1 ( 29040 35705 ) ( 29520 35705 )
+    NEW met1 ( 30480 35335 ) ( 30480 35705 )
+    NEW met1 ( 29520 35705 ) ( 30480 35705 )
+    NEW met2 ( 29520 35705 ) ( 29520 180375 )
+    NEW met1 ( 29520 180375 ) M1M2_PR
+    NEW li1 ( 67440 180375 ) L1M1_PR_MR
+    NEW li1 ( 68400 180375 ) L1M1_PR_MR
+    NEW li1 ( 29040 35705 ) L1M1_PR_MR
+    NEW met1 ( 29520 35705 ) M1M2_PR
+    NEW li1 ( 30480 35335 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net580 ( ANTENNA_204 DIODE ) ( ANTENNA_203 DIODE ) ( output580 A ) ( _199_ LO ) 
+  + ROUTED met1 ( 74160 187035 ) ( 74640 187035 )
+    NEW met2 ( 74640 33855 ) ( 74640 187035 )
+    NEW met1 ( 64800 33855 ) ( 74640 33855 )
+    NEW met2 ( 35760 32005 ) ( 35760 34225 )
+    NEW met1 ( 35760 34225 ) ( 64800 34225 )
+    NEW met1 ( 64800 33855 ) ( 64800 34225 )
+    NEW met1 ( 34320 31635 ) ( 35760 31635 )
+    NEW met1 ( 35760 31635 ) ( 35760 32005 )
+    NEW met1 ( 74640 33855 ) M1M2_PR
+    NEW li1 ( 74640 187035 ) L1M1_PR_MR
+    NEW met1 ( 74640 187035 ) M1M2_PR
+    NEW li1 ( 74160 187035 ) L1M1_PR_MR
+    NEW li1 ( 35760 32005 ) L1M1_PR_MR
+    NEW met1 ( 35760 32005 ) M1M2_PR
+    NEW met1 ( 35760 34225 ) M1M2_PR
+    NEW li1 ( 34320 31635 ) L1M1_PR_MR
+    NEW met1 ( 74640 187035 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 35760 32005 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net581 ( output581 A ) ( _200_ LO ) 
+  + ROUTED met2 ( 154800 152255 ) ( 154800 153735 )
+    NEW met1 ( 34320 152255 ) ( 154800 152255 )
+    NEW met2 ( 34320 35335 ) ( 34320 152255 )
+    NEW met1 ( 34320 152255 ) M1M2_PR
+    NEW met1 ( 154800 152255 ) M1M2_PR
+    NEW li1 ( 154800 153735 ) L1M1_PR_MR
+    NEW met1 ( 154800 153735 ) M1M2_PR
+    NEW li1 ( 34320 35335 ) L1M1_PR_MR
+    NEW met1 ( 34320 35335 ) M1M2_PR
+    NEW met1 ( 154800 153735 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 34320 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net582 ( ANTENNA_206 DIODE ) ( ANTENNA_205 DIODE ) ( output582 A ) ( _201_ LO ) 
+  + ROUTED met1 ( 239760 260295 ) ( 240240 260295 )
+    NEW met2 ( 240240 61605 ) ( 240240 260295 )
+    NEW met1 ( 38160 35335 ) ( 38160 35705 )
+    NEW met1 ( 38160 35705 ) ( 46800 35705 )
+    NEW met1 ( 46800 35335 ) ( 46800 35705 )
+    NEW met1 ( 46800 35335 ) ( 48720 35335 )
+    NEW met2 ( 48720 35335 ) ( 48720 61605 )
+    NEW met1 ( 36720 35705 ) ( 38160 35705 )
+    NEW met1 ( 48720 61605 ) ( 240240 61605 )
+    NEW met1 ( 240240 61605 ) M1M2_PR
+    NEW li1 ( 240240 260295 ) L1M1_PR_MR
+    NEW met1 ( 240240 260295 ) M1M2_PR
+    NEW li1 ( 239760 260295 ) L1M1_PR_MR
+    NEW li1 ( 38160 35335 ) L1M1_PR_MR
+    NEW met1 ( 48720 35335 ) M1M2_PR
+    NEW met1 ( 48720 61605 ) M1M2_PR
+    NEW li1 ( 36720 35705 ) L1M1_PR_MR
+    NEW met1 ( 240240 260295 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net583 ( ANTENNA_208 DIODE ) ( ANTENNA_207 DIODE ) ( output583 A ) ( _202_ LO ) 
+  + ROUTED met1 ( 235440 127095 ) ( 235920 127095 )
+    NEW met1 ( 235920 127095 ) ( 236880 127095 )
+    NEW met2 ( 235440 85285 ) ( 235440 127095 )
+    NEW met1 ( 46800 85285 ) ( 235440 85285 )
+    NEW met1 ( 42000 35335 ) ( 46320 35335 )
+    NEW met2 ( 46320 35335 ) ( 46320 38110 )
+    NEW met2 ( 46320 38110 ) ( 46800 38110 )
+    NEW met1 ( 40560 35335 ) ( 42000 35335 )
+    NEW met2 ( 46800 38110 ) ( 46800 85285 )
+    NEW li1 ( 235920 127095 ) L1M1_PR_MR
+    NEW met1 ( 235440 127095 ) M1M2_PR
+    NEW li1 ( 236880 127095 ) L1M1_PR_MR
+    NEW met1 ( 235440 85285 ) M1M2_PR
+    NEW met1 ( 46800 85285 ) M1M2_PR
+    NEW li1 ( 42000 35335 ) L1M1_PR_MR
+    NEW met1 ( 46320 35335 ) M1M2_PR
+    NEW li1 ( 40560 35335 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net584 ( output584 A ) ( _203_ LO ) 
+  + ROUTED met1 ( 55440 117845 ) ( 222000 117845 )
+    NEW met2 ( 39600 41995 ) ( 39600 54575 )
+    NEW met1 ( 39600 54575 ) ( 55440 54575 )
+    NEW met2 ( 55440 54575 ) ( 55440 117845 )
+    NEW li1 ( 222000 117845 ) L1M1_PR_MR
+    NEW met1 ( 55440 117845 ) M1M2_PR
+    NEW li1 ( 39600 41995 ) L1M1_PR_MR
+    NEW met1 ( 39600 41995 ) M1M2_PR
+    NEW met1 ( 39600 54575 ) M1M2_PR
+    NEW met1 ( 55440 54575 ) M1M2_PR
+    NEW met1 ( 39600 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net585 ( ANTENNA_210 DIODE ) ( ANTENNA_209 DIODE ) ( output585 A ) ( _204_ LO ) 
+  + ROUTED met1 ( 58320 207015 ) ( 58800 207015 )
+    NEW met2 ( 58800 64800 ) ( 58800 207015 )
+    NEW met1 ( 49200 35335 ) ( 49200 35705 )
+    NEW met1 ( 49200 35705 ) ( 58320 35705 )
+    NEW met2 ( 58320 35705 ) ( 58320 64800 )
+    NEW met2 ( 58320 64800 ) ( 58800 64800 )
+    NEW met1 ( 47760 35705 ) ( 49200 35705 )
+    NEW li1 ( 58800 207015 ) L1M1_PR_MR
+    NEW met1 ( 58800 207015 ) M1M2_PR
+    NEW li1 ( 58320 207015 ) L1M1_PR_MR
+    NEW li1 ( 49200 35335 ) L1M1_PR_MR
+    NEW met1 ( 58320 35705 ) M1M2_PR
+    NEW li1 ( 47760 35705 ) L1M1_PR_MR
+    NEW met1 ( 58800 207015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net586 ( ANTENNA_211 DIODE ) ( output586 A ) ( _205_ LO ) 
+  + ROUTED met2 ( 72720 59015 ) ( 72720 72705 )
+    NEW met2 ( 252720 72705 ) ( 252720 73815 )
+    NEW met1 ( 72720 72705 ) ( 252720 72705 )
+    NEW met2 ( 47280 38665 ) ( 47280 59015 )
+    NEW met1 ( 45840 38665 ) ( 47280 38665 )
+    NEW met1 ( 47280 59015 ) ( 72720 59015 )
+    NEW met1 ( 72720 59015 ) M1M2_PR
+    NEW met1 ( 72720 72705 ) M1M2_PR
+    NEW met1 ( 252720 72705 ) M1M2_PR
+    NEW li1 ( 252720 73815 ) L1M1_PR_MR
+    NEW met1 ( 252720 73815 ) M1M2_PR
+    NEW li1 ( 47280 38665 ) L1M1_PR_MR
+    NEW met1 ( 47280 38665 ) M1M2_PR
+    NEW met1 ( 47280 59015 ) M1M2_PR
+    NEW li1 ( 45840 38665 ) L1M1_PR_MR
+    NEW met1 ( 252720 73815 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 47280 38665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net587 ( ANTENNA_213 DIODE ) ( ANTENNA_212 DIODE ) ( output587 A ) ( _187_ LO ) 
+  + ROUTED met1 ( 133200 190365 ) ( 133200 190735 )
+    NEW met1 ( 212400 190365 ) ( 212400 190735 )
+    NEW met1 ( 11280 41625 ) ( 12720 41625 )
+    NEW met1 ( 19440 190365 ) ( 36000 190365 )
+    NEW met1 ( 36000 190365 ) ( 36000 190735 )
+    NEW met1 ( 36000 190735 ) ( 133200 190735 )
+    NEW met1 ( 133200 190365 ) ( 212400 190365 )
+    NEW met1 ( 212400 190735 ) ( 237600 190735 )
+    NEW met1 ( 237600 190735 ) ( 237600 191105 )
+    NEW met1 ( 237600 191105 ) ( 288240 191105 )
+    NEW met1 ( 12720 41625 ) ( 19440 41625 )
+    NEW met2 ( 19440 41625 ) ( 19440 190365 )
+    NEW met1 ( 19440 190365 ) M1M2_PR
+    NEW li1 ( 288240 191105 ) L1M1_PR_MR
+    NEW li1 ( 12720 41625 ) L1M1_PR_MR
+    NEW li1 ( 11280 41625 ) L1M1_PR_MR
+    NEW li1 ( 287280 191105 ) L1M1_PR_MR
+    NEW met1 ( 19440 41625 ) M1M2_PR
+    NEW met1 ( 287280 191105 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- net588 ( ANTENNA_215 DIODE ) ( ANTENNA_214 DIODE ) ( output588 A ) ( _206_ LO ) 
+  + ROUTED met1 ( 40080 271025 ) ( 52560 271025 )
+    NEW met1 ( 38640 271025 ) ( 40080 271025 )
+    NEW met1 ( 51600 35335 ) ( 52560 35335 )
+    NEW met2 ( 52560 35335 ) ( 52560 271025 )
+    NEW li1 ( 40080 271025 ) L1M1_PR_MR
+    NEW met1 ( 52560 271025 ) M1M2_PR
+    NEW li1 ( 38640 271025 ) L1M1_PR_MR
+    NEW li1 ( 52560 35335 ) L1M1_PR_MR
+    NEW met1 ( 52560 35335 ) M1M2_PR
+    NEW li1 ( 51600 35335 ) L1M1_PR_MR
+    NEW met1 ( 52560 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net589 ( ANTENNA_217 DIODE ) ( ANTENNA_216 DIODE ) ( output589 A ) ( _207_ LO ) 
+  + ROUTED met1 ( 102480 191475 ) ( 103440 191475 )
+    NEW met1 ( 61200 191475 ) ( 102480 191475 )
+    NEW met2 ( 51120 38295 ) ( 51120 57905 )
+    NEW met1 ( 51120 57905 ) ( 61200 57905 )
+    NEW met1 ( 49200 38295 ) ( 51120 38295 )
+    NEW met2 ( 61200 57905 ) ( 61200 191475 )
+    NEW li1 ( 102480 191475 ) L1M1_PR_MR
+    NEW li1 ( 103440 191475 ) L1M1_PR_MR
+    NEW met1 ( 61200 191475 ) M1M2_PR
+    NEW li1 ( 51120 38295 ) L1M1_PR_MR
+    NEW met1 ( 51120 38295 ) M1M2_PR
+    NEW met1 ( 51120 57905 ) M1M2_PR
+    NEW met1 ( 61200 57905 ) M1M2_PR
+    NEW li1 ( 49200 38295 ) L1M1_PR_MR
+    NEW met1 ( 51120 38295 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net590 ( ANTENNA_219 DIODE ) ( ANTENNA_218 DIODE ) ( output590 A ) ( _208_ LO ) 
+  + ROUTED met2 ( 90960 187200 ) ( 91440 187200 )
+    NEW met2 ( 91440 61975 ) ( 91440 187200 )
+    NEW met1 ( 90960 256965 ) ( 124560 256965 )
+    NEW met1 ( 125520 256965 ) ( 125520 257705 )
+    NEW met1 ( 124560 256965 ) ( 125520 256965 )
+    NEW met2 ( 90960 187200 ) ( 90960 256965 )
+    NEW met2 ( 49200 41995 ) ( 49200 61975 )
+    NEW met1 ( 47760 41995 ) ( 49200 41995 )
+    NEW met1 ( 49200 61975 ) ( 91440 61975 )
+    NEW met1 ( 91440 61975 ) M1M2_PR
+    NEW li1 ( 124560 256965 ) L1M1_PR_MR
+    NEW met1 ( 90960 256965 ) M1M2_PR
+    NEW li1 ( 125520 257705 ) L1M1_PR_MR
+    NEW li1 ( 49200 41995 ) L1M1_PR_MR
+    NEW met1 ( 49200 41995 ) M1M2_PR
+    NEW met1 ( 49200 61975 ) M1M2_PR
+    NEW li1 ( 47760 41995 ) L1M1_PR_MR
+    NEW met1 ( 49200 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net591 ( output591 A ) ( _209_ LO ) 
+  + ROUTED met1 ( 54960 38665 ) ( 55920 38665 )
+    NEW met2 ( 55920 38665 ) ( 55920 44585 )
+    NEW li1 ( 54960 38665 ) L1M1_PR_MR
+    NEW met1 ( 55920 38665 ) M1M2_PR
+    NEW li1 ( 55920 44585 ) L1M1_PR_MR
+    NEW met1 ( 55920 44585 ) M1M2_PR
+    NEW met1 ( 55920 44585 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net592 ( output592 A ) ( _210_ LO ) 
+  + ROUTED met2 ( 111600 19425 ) ( 111600 20905 )
+    NEW li1 ( 84720 17575 ) ( 84720 19425 )
+    NEW met1 ( 84720 19425 ) ( 111600 19425 )
+    NEW met1 ( 148560 20905 ) ( 148560 21275 )
+    NEW met1 ( 111600 20905 ) ( 148560 20905 )
+    NEW met1 ( 148560 21275 ) ( 192720 21275 )
+    NEW met2 ( 57840 17575 ) ( 57840 21090 )
+    NEW met2 ( 57360 21090 ) ( 57840 21090 )
+    NEW met2 ( 57360 21090 ) ( 57360 40885 )
+    NEW met1 ( 53040 40885 ) ( 57360 40885 )
+    NEW met1 ( 53040 40885 ) ( 53040 41255 )
+    NEW met1 ( 57840 17575 ) ( 84720 17575 )
+    NEW met1 ( 111600 19425 ) M1M2_PR
+    NEW met1 ( 111600 20905 ) M1M2_PR
+    NEW li1 ( 192720 21275 ) L1M1_PR_MR
+    NEW li1 ( 84720 19425 ) L1M1_PR_MR
+    NEW li1 ( 84720 17575 ) L1M1_PR_MR
+    NEW met1 ( 57840 17575 ) M1M2_PR
+    NEW met1 ( 57360 40885 ) M1M2_PR
+    NEW li1 ( 53040 41255 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net593 ( ANTENNA_221 DIODE ) ( ANTENNA_220 DIODE ) ( output593 A ) ( _211_ LO ) 
+  + ROUTED met2 ( 104880 69005 ) ( 104880 220335 )
+    NEW met1 ( 138480 220335 ) ( 138960 220335 )
+    NEW met1 ( 104880 220335 ) ( 138480 220335 )
+    NEW met1 ( 56880 69005 ) ( 104880 69005 )
+    NEW met1 ( 55440 41995 ) ( 56880 41995 )
+    NEW met2 ( 56880 41995 ) ( 56880 69005 )
+    NEW met1 ( 104880 220335 ) M1M2_PR
+    NEW met1 ( 104880 69005 ) M1M2_PR
+    NEW li1 ( 138960 220335 ) L1M1_PR_MR
+    NEW li1 ( 138480 220335 ) L1M1_PR_MR
+    NEW met1 ( 56880 69005 ) M1M2_PR
+    NEW li1 ( 56880 41995 ) L1M1_PR_MR
+    NEW met1 ( 56880 41995 ) M1M2_PR
+    NEW li1 ( 55440 41995 ) L1M1_PR_MR
+    NEW met1 ( 56880 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net594 ( output594 A ) ( _212_ LO ) 
+  + ROUTED met2 ( 80880 38295 ) ( 80880 44585 )
+    NEW met1 ( 80880 44585 ) ( 105840 44585 )
+    NEW met1 ( 62160 38295 ) ( 80880 38295 )
+    NEW li1 ( 105840 44585 ) L1M1_PR_MR
+    NEW met1 ( 80880 38295 ) M1M2_PR
+    NEW met1 ( 80880 44585 ) M1M2_PR
+    NEW li1 ( 62160 38295 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net595 ( ANTENNA_223 DIODE ) ( ANTENNA_222 DIODE ) ( output595 A ) ( _213_ LO ) 
+  + ROUTED met1 ( 49200 207385 ) ( 60240 207385 )
+    NEW met1 ( 47760 207385 ) ( 49200 207385 )
+    NEW met1 ( 59280 41995 ) ( 60240 41995 )
+    NEW met2 ( 60240 41995 ) ( 60240 207385 )
+    NEW li1 ( 49200 207385 ) L1M1_PR_MR
+    NEW met1 ( 60240 207385 ) M1M2_PR
+    NEW li1 ( 47760 207385 ) L1M1_PR_MR
+    NEW li1 ( 60240 41995 ) L1M1_PR_MR
+    NEW met1 ( 60240 41995 ) M1M2_PR
+    NEW li1 ( 59280 41995 ) L1M1_PR_MR
+    NEW met1 ( 60240 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net596 ( ANTENNA_225 DIODE ) ( ANTENNA_224 DIODE ) ( output596 A ) ( _214_ LO ) 
+  + ROUTED met1 ( 66000 37925 ) ( 75600 37925 )
+    NEW met1 ( 76560 256965 ) ( 76560 257705 )
+    NEW met1 ( 75600 256965 ) ( 76560 256965 )
+    NEW met2 ( 75600 37925 ) ( 75600 256965 )
+    NEW met1 ( 64560 37925 ) ( 66000 37925 )
+    NEW li1 ( 66000 37925 ) L1M1_PR_MR
+    NEW met1 ( 75600 37925 ) M1M2_PR
+    NEW li1 ( 75600 256965 ) L1M1_PR_MR
+    NEW met1 ( 75600 256965 ) M1M2_PR
+    NEW li1 ( 76560 257705 ) L1M1_PR_MR
+    NEW li1 ( 64560 37925 ) L1M1_PR_MR
+    NEW met1 ( 75600 256965 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net597 ( ANTENNA_227 DIODE ) ( ANTENNA_226 DIODE ) ( output597 A ) ( _215_ LO ) 
+  + ROUTED met2 ( 68880 57905 ) ( 68880 248825 )
+    NEW met2 ( 262800 248825 ) ( 262800 250305 )
+    NEW met1 ( 262800 251045 ) ( 263760 251045 )
+    NEW met2 ( 262800 250305 ) ( 262800 251045 )
+    NEW met1 ( 68880 248825 ) ( 262800 248825 )
+    NEW met2 ( 64560 41995 ) ( 64560 57905 )
+    NEW met1 ( 63120 41995 ) ( 64560 41995 )
+    NEW met1 ( 64560 57905 ) ( 68880 57905 )
+    NEW met1 ( 68880 57905 ) M1M2_PR
+    NEW met1 ( 68880 248825 ) M1M2_PR
+    NEW li1 ( 262800 250305 ) L1M1_PR_MR
+    NEW met1 ( 262800 250305 ) M1M2_PR
+    NEW met1 ( 262800 248825 ) M1M2_PR
+    NEW li1 ( 263760 251045 ) L1M1_PR_MR
+    NEW met1 ( 262800 251045 ) M1M2_PR
+    NEW li1 ( 64560 41995 ) L1M1_PR_MR
+    NEW met1 ( 64560 41995 ) M1M2_PR
+    NEW met1 ( 64560 57905 ) M1M2_PR
+    NEW li1 ( 63120 41995 ) L1M1_PR_MR
+    NEW met1 ( 262800 250305 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 64560 41995 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net598 ( ANTENNA_229 DIODE ) ( ANTENNA_228 DIODE ) ( output598 A ) ( _188_ LO ) 
+  + ROUTED met1 ( 187920 213675 ) ( 188400 213675 )
+    NEW met1 ( 14640 213675 ) ( 187920 213675 )
+    NEW met1 ( 16560 38665 ) ( 16560 39405 )
+    NEW met1 ( 14640 39405 ) ( 16560 39405 )
+    NEW met2 ( 14640 39405 ) ( 14640 213675 )
+    NEW met1 ( 14640 213675 ) M1M2_PR
+    NEW li1 ( 188400 213675 ) L1M1_PR_MR
+    NEW li1 ( 187920 213675 ) L1M1_PR_MR
+    NEW li1 ( 14640 39405 ) L1M1_PR_MR
+    NEW met1 ( 14640 39405 ) M1M2_PR
+    NEW li1 ( 16560 38665 ) L1M1_PR_MR
+    NEW met1 ( 14640 39405 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- net599 ( output599 A ) ( _216_ LO ) 
+  + ROUTED met1 ( 70800 87505 ) ( 252720 87505 )
+    NEW met1 ( 69840 38665 ) ( 70800 38665 )
+    NEW met2 ( 70800 38665 ) ( 70800 87505 )
+    NEW met1 ( 70800 87505 ) M1M2_PR
+    NEW li1 ( 252720 87505 ) L1M1_PR_MR
+    NEW li1 ( 69840 38665 ) L1M1_PR_MR
+    NEW met1 ( 70800 38665 ) M1M2_PR
++ USE SIGNAL ;
+- net600 ( output600 A ) ( _217_ LO ) 
+  + ROUTED met1 ( 67440 41995 ) ( 68400 41995 )
+    NEW met2 ( 67440 41995 ) ( 67440 158915 )
+    NEW met1 ( 67440 158915 ) ( 150000 158915 )
+    NEW li1 ( 68400 41995 ) L1M1_PR_MR
+    NEW met1 ( 67440 41995 ) M1M2_PR
+    NEW met1 ( 67440 158915 ) M1M2_PR
+    NEW li1 ( 150000 158915 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net601 ( ANTENNA_231 DIODE ) ( ANTENNA_230 DIODE ) ( output601 A ) ( _189_ LO ) 
+  + ROUTED met1 ( 205680 273615 ) ( 206640 273615 )
+    NEW met2 ( 205680 120805 ) ( 205680 273615 )
+    NEW met1 ( 32400 120805 ) ( 205680 120805 )
+    NEW met1 ( 22800 34965 ) ( 32400 34965 )
+    NEW met1 ( 21360 34965 ) ( 22800 34965 )
+    NEW met2 ( 32400 34965 ) ( 32400 120805 )
+    NEW met1 ( 32400 120805 ) M1M2_PR
+    NEW met1 ( 205680 120805 ) M1M2_PR
+    NEW li1 ( 205680 273615 ) L1M1_PR_MR
+    NEW met1 ( 205680 273615 ) M1M2_PR
+    NEW li1 ( 206640 273615 ) L1M1_PR_MR
+    NEW li1 ( 22800 34965 ) L1M1_PR_MR
+    NEW met1 ( 32400 34965 ) M1M2_PR
+    NEW li1 ( 21360 34965 ) L1M1_PR_MR
+    NEW met1 ( 205680 273615 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net602 ( output602 A ) ( _190_ LO ) 
+  + ROUTED met1 ( 16560 41995 ) ( 16560 42365 )
+    NEW met1 ( 16560 42365 ) ( 54000 42365 )
+    NEW met2 ( 54000 42365 ) ( 54000 73815 )
+    NEW li1 ( 54000 73815 ) L1M1_PR_MR
+    NEW met1 ( 54000 73815 ) M1M2_PR
+    NEW li1 ( 16560 41995 ) L1M1_PR_MR
+    NEW met1 ( 54000 42365 ) M1M2_PR
+    NEW met1 ( 54000 73815 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net603 ( ANTENNA_233 DIODE ) ( ANTENNA_232 DIODE ) ( output603 A ) ( _191_ LO ) 
+  + ROUTED met1 ( 199920 211085 ) ( 200880 211085 )
+    NEW met1 ( 199920 210345 ) ( 199920 211085 )
+    NEW met1 ( 18480 210345 ) ( 36000 210345 )
+    NEW met1 ( 36000 210345 ) ( 36000 210715 )
+    NEW met1 ( 36000 210715 ) ( 136800 210715 )
+    NEW met1 ( 136800 210345 ) ( 136800 210715 )
+    NEW met1 ( 136800 210345 ) ( 199920 210345 )
+    NEW met1 ( 20400 38665 ) ( 20400 39405 )
+    NEW met1 ( 18480 39405 ) ( 20400 39405 )
+    NEW met2 ( 18480 39405 ) ( 18480 210345 )
+    NEW met1 ( 18480 210345 ) M1M2_PR
+    NEW li1 ( 199920 210345 ) L1M1_PR_MR
+    NEW li1 ( 200880 211085 ) L1M1_PR_MR
+    NEW li1 ( 18480 39405 ) L1M1_PR_MR
+    NEW met1 ( 18480 39405 ) M1M2_PR
+    NEW li1 ( 20400 38665 ) L1M1_PR_MR
+    NEW met1 ( 18480 39405 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net604 ( output604 A ) ( _192_ LO ) 
+  + ROUTED met1 ( 50640 107115 ) ( 51120 107115 )
+    NEW met1 ( 26640 33855 ) ( 26640 34595 )
+    NEW met1 ( 26640 33855 ) ( 50640 33855 )
+    NEW met2 ( 50640 33855 ) ( 50640 107115 )
+    NEW met1 ( 50640 107115 ) M1M2_PR
+    NEW li1 ( 51120 107115 ) L1M1_PR_MR
+    NEW li1 ( 26640 34595 ) L1M1_PR_MR
+    NEW met1 ( 50640 33855 ) M1M2_PR
++ USE SIGNAL ;
+- net605 ( output605 A ) ( _193_ LO ) 
+  + ROUTED met2 ( 162960 152625 ) ( 162960 153735 )
+    NEW met1 ( 24240 152625 ) ( 162960 152625 )
+    NEW met2 ( 24240 115200 ) ( 24240 152625 )
+    NEW met2 ( 24240 115200 ) ( 24720 115200 )
+    NEW met1 ( 24240 38665 ) ( 24720 38665 )
+    NEW met2 ( 24720 38665 ) ( 24720 115200 )
+    NEW met1 ( 24240 152625 ) M1M2_PR
+    NEW met1 ( 162960 152625 ) M1M2_PR
+    NEW li1 ( 162960 153735 ) L1M1_PR_MR
+    NEW met1 ( 162960 153735 ) M1M2_PR
+    NEW li1 ( 24240 38665 ) L1M1_PR_MR
+    NEW met1 ( 24720 38665 ) M1M2_PR
+    NEW met1 ( 162960 153735 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net606 ( output606 A ) ( _194_ LO ) 
+  + ROUTED met1 ( 86400 41625 ) ( 86400 41995 )
+    NEW met2 ( 154800 41995 ) ( 154800 47175 )
+    NEW met1 ( 86400 41995 ) ( 154800 41995 )
+    NEW met1 ( 22800 41625 ) ( 86400 41625 )
+    NEW met1 ( 154800 41995 ) M1M2_PR
+    NEW li1 ( 154800 47175 ) L1M1_PR_MR
+    NEW met1 ( 154800 47175 ) M1M2_PR
+    NEW li1 ( 22800 41625 ) L1M1_PR_MR
+    NEW met1 ( 154800 47175 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net607 ( ANTENNA_235 DIODE ) ( ANTENNA_234 DIODE ) ( output607 A ) ( _195_ LO ) 
+  + ROUTED met1 ( 63120 224405 ) ( 64080 224405 )
+    NEW met2 ( 63120 223665 ) ( 63120 224405 )
+    NEW met1 ( 28080 38665 ) ( 28080 39035 )
+    NEW met1 ( 28080 39035 ) ( 36240 39035 )
+    NEW met2 ( 36240 39035 ) ( 36240 40515 )
+    NEW met1 ( 36240 40515 ) ( 63120 40515 )
+    NEW met1 ( 26640 39035 ) ( 28080 39035 )
+    NEW met2 ( 63120 40515 ) ( 63120 223665 )
+    NEW li1 ( 63120 223665 ) L1M1_PR_MR
+    NEW met1 ( 63120 223665 ) M1M2_PR
+    NEW li1 ( 64080 224405 ) L1M1_PR_MR
+    NEW met1 ( 63120 224405 ) M1M2_PR
+    NEW li1 ( 28080 38665 ) L1M1_PR_MR
+    NEW met1 ( 36240 39035 ) M1M2_PR
+    NEW met1 ( 36240 40515 ) M1M2_PR
+    NEW met1 ( 63120 40515 ) M1M2_PR
+    NEW li1 ( 26640 39035 ) L1M1_PR_MR
+    NEW met1 ( 63120 223665 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+=======
 DIEAREA ( 0 0 ) ( 900000 600000 ) ;
 ROW ROW_0 unithd 5520 10880 N DO 1932 BY 1 STEP 460 0 ;
 ROW ROW_1 unithd 5520 13600 FS DO 1932 BY 1 STEP 460 0 ;
@@ -76629,5 +97691,6 @@
       NEW met1 ( 13110 17170 ) M1M2_PR
       NEW met1 ( 13110 19550 ) RECT ( -355 -70 0 70 ) 
       NEW met1 ( 13110 17170 ) RECT ( -595 -70 0 70 )  ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 END NETS
 END DESIGN
diff --git a/def/user_project_wrapper.def b/def/user_project_wrapper.def
index 0527663..1366892 100644
--- a/def/user_project_wrapper.def
+++ b/def/user_project_wrapper.def
@@ -1311,6 +1311,2917 @@
 COMPONENTS 1 ;
     - mprj user_proj_example + FIXED ( 1175000 1690000 ) N ;
 END COMPONENTS
+<<<<<<< HEAD
+
+PINS 969 ;
+- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1426980 ) N ;
+- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2230770 3521200 ) N ;
+- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1906010 3521200 ) N ;
+- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1581710 3521200 ) N ;
+- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1257410 3521200 ) N ;
+- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 932650 3521200 ) N ;
+- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 608350 3521200 ) N ;
+- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 284050 3521200 ) N ;
+- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 3486700 ) N ;
+- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 3225580 ) N ;
+- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2965140 ) N ;
+- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1692860 ) N ;
+- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2704020 ) N ;
+- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2443580 ) N ;
+- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2183140 ) N ;
+- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1922020 ) N ;
+- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1661580 ) N ;
+- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1400460 ) N ;
+- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1140020 ) N ;
+- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 879580 ) N ;
+- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 618460 ) N ;
+- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1958740 ) N ;
+- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2223940 ) N ;
+- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2489820 ) N ;
+- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2755700 ) N ;
+- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 3020900 ) N ;
+- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 3286780 ) N ;
+- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2879370 3521200 ) N ;
+- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2555070 3521200 ) N ;
+- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 32980 ) N ;
+- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2290580 ) N ;
+- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2556460 ) N ;
+- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2821660 ) N ;
+- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 3087540 ) N ;
+- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 3353420 ) N ;
+- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2798410 3521200 ) N ;
+- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2474110 3521200 ) N ;
+- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2149350 3521200 ) N ;
+- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1825050 3521200 ) N ;
+- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1500750 3521200 ) N ;
+- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 231540 ) N ;
+- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1175990 3521200 ) N ;
+- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 851690 3521200 ) N ;
+- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 527390 3521200 ) N ;
+- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 202630 3521200 ) N ;
+- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 3421420 ) N ;
+- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 3160300 ) N ;
+- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2899860 ) N ;
+- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2639420 ) N ;
+- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2378300 ) N ;
+- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2117860 ) N ;
+- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 430780 ) N ;
+- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1856740 ) N ;
+- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1596300 ) N ;
+- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1335860 ) N ;
+- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1074740 ) N ;
+- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 814300 ) N ;
+- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 553180 ) N ;
+- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 358020 ) N ;
+- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 162180 ) N ;
+- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 630020 ) N ;
+- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 829260 ) N ;
+- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1028500 ) N ;
+- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1227740 ) N ;
+- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1493620 ) N ;
+- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1759500 ) N ;
+- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2024700 ) N ;
+- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 165580 ) N ;
+- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2423180 ) N ;
+- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2689060 ) N ;
+- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2954940 ) N ;
+- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 3220140 ) N ;
+- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 3486020 ) N ;
+- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2636030 3521200 ) N ;
+- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2311730 3521200 ) N ;
+- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1987430 3521200 ) N ;
+- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1662670 3521200 ) N ;
+- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1338370 3521200 ) N ;
+- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 364820 ) N ;
+- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1014070 3521200 ) N ;
+- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 689310 3521200 ) N ;
+- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 365010 3521200 ) N ;
+- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 40710 3521200 ) N ;
+- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 3290860 ) N ;
+- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 3030420 ) N ;
+- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2769300 ) N ;
+- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2508860 ) N ;
+- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2247740 ) N ;
+- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1987300 ) N ;
+- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 564060 ) N ;
+- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1726860 ) N ;
+- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1465740 ) N ;
+- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1205300 ) N ;
+- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 944180 ) N ;
+- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 683740 ) N ;
+- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 423300 ) N ;
+- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 227460 ) N ;
+- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 32300 ) N ;
+- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 763300 ) N ;
+- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 962540 ) N ;
+- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1161780 ) N ;
+- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1361020 ) N ;
+- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1626220 ) N ;
+- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1892100 ) N ;
+- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2157980 ) N ;
+- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 98940 ) N ;
+- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2357220 ) N ;
+- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2622420 ) N ;
+- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2888300 ) N ;
+- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 3154180 ) N ;
+- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 3419380 ) N ;
+- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2717450 3521200 ) N ;
+- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2392690 3521200 ) N ;
+- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2068390 3521200 ) N ;
+- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1744090 3521200 ) N ;
+- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1419330 3521200 ) N ;
+- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 298180 ) N ;
+- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1095030 3521200 ) N ;
+- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 770730 3521200 ) N ;
+- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 445970 3521200 ) N ;
+- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 121670 3521200 ) N ;
+- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 3356140 ) N ;
+- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 3095700 ) N ;
+- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2834580 ) N ;
+- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2574140 ) N ;
+- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2313020 ) N ;
+- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 2052580 ) N ;
+- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 497420 ) N ;
+- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1792140 ) N ;
+- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1531020 ) N ;
+- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1270580 ) N ;
+- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 1009460 ) N ;
+- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 749020 ) N ;
+- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 487900 ) N ;
+- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 292740 ) N ;
+- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( -1200 96900 ) N ;
+- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 696660 ) N ;
+- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 895900 ) N ;
+- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1095140 ) N ;
+- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1294380 ) N ;
+- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1560260 ) N ;
+- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 1825460 ) N ;
+- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -3600 -600 ) ( 3600 600 )
+  + PLACED ( 2921200 2091340 ) N ;
+- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 629510 -1200 ) N ;
+- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2402810 -1200 ) N ;
+- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2420290 -1200 ) N ;
+- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2438230 -1200 ) N ;
+- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2455710 -1200 ) N ;
+- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2473650 -1200 ) N ;
+- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2491130 -1200 ) N ;
+- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2509070 -1200 ) N ;
+- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2527010 -1200 ) N ;
+- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2544490 -1200 ) N ;
+- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2562430 -1200 ) N ;
+- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 806610 -1200 ) N ;
+- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2579910 -1200 ) N ;
+- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2597850 -1200 ) N ;
+- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2615330 -1200 ) N ;
+- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2633270 -1200 ) N ;
+- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2650750 -1200 ) N ;
+- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2668690 -1200 ) N ;
+- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2686170 -1200 ) N ;
+- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2704110 -1200 ) N ;
+- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2722050 -1200 ) N ;
+- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2739530 -1200 ) N ;
+- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 824550 -1200 ) N ;
+- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2757470 -1200 ) N ;
+- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2774950 -1200 ) N ;
+- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2792890 -1200 ) N ;
+- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2810370 -1200 ) N ;
+- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2828310 -1200 ) N ;
+- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2845790 -1200 ) N ;
+- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2863730 -1200 ) N ;
+- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2881670 -1200 ) N ;
+- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 842030 -1200 ) N ;
+- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 859970 -1200 ) N ;
+- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 877450 -1200 ) N ;
+- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 895390 -1200 ) N ;
+- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 912870 -1200 ) N ;
+- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 930810 -1200 ) N ;
+- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 948750 -1200 ) N ;
+- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 966230 -1200 ) N ;
+- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 646990 -1200 ) N ;
+- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 984170 -1200 ) N ;
+- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1001650 -1200 ) N ;
+- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1019590 -1200 ) N ;
+- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1037070 -1200 ) N ;
+- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1055010 -1200 ) N ;
+- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1072490 -1200 ) N ;
+- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1090430 -1200 ) N ;
+- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1107910 -1200 ) N ;
+- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1125850 -1200 ) N ;
+- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1143790 -1200 ) N ;
+- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 664930 -1200 ) N ;
+- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1161270 -1200 ) N ;
+- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1179210 -1200 ) N ;
+- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1196690 -1200 ) N ;
+- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1214630 -1200 ) N ;
+- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1232110 -1200 ) N ;
+- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1250050 -1200 ) N ;
+- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1267530 -1200 ) N ;
+- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1285470 -1200 ) N ;
+- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1303410 -1200 ) N ;
+- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1320890 -1200 ) N ;
+- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 682410 -1200 ) N ;
+- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1338830 -1200 ) N ;
+- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1356310 -1200 ) N ;
+- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1374250 -1200 ) N ;
+- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1391730 -1200 ) N ;
+- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1409670 -1200 ) N ;
+- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1427150 -1200 ) N ;
+- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1445090 -1200 ) N ;
+- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1463030 -1200 ) N ;
+- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1480510 -1200 ) N ;
+- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1498450 -1200 ) N ;
+- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 700350 -1200 ) N ;
+- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1515930 -1200 ) N ;
+- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1533870 -1200 ) N ;
+- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1551350 -1200 ) N ;
+- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1569290 -1200 ) N ;
+- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1586770 -1200 ) N ;
+- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1604710 -1200 ) N ;
+- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1622190 -1200 ) N ;
+- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1640130 -1200 ) N ;
+- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1658070 -1200 ) N ;
+- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1675550 -1200 ) N ;
+- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 717830 -1200 ) N ;
+- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1693490 -1200 ) N ;
+- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1710970 -1200 ) N ;
+- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1728910 -1200 ) N ;
+- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1746390 -1200 ) N ;
+- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1764330 -1200 ) N ;
+- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1781810 -1200 ) N ;
+- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1799750 -1200 ) N ;
+- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1817690 -1200 ) N ;
+- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1835170 -1200 ) N ;
+- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1853110 -1200 ) N ;
+- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 735770 -1200 ) N ;
+- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1870590 -1200 ) N ;
+- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1888530 -1200 ) N ;
+- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1906010 -1200 ) N ;
+- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1923950 -1200 ) N ;
+- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1941430 -1200 ) N ;
+- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1959370 -1200 ) N ;
+- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1976850 -1200 ) N ;
+- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1994790 -1200 ) N ;
+- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2012730 -1200 ) N ;
+- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2030210 -1200 ) N ;
+- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 753250 -1200 ) N ;
+- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2048150 -1200 ) N ;
+- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2065630 -1200 ) N ;
+- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2083570 -1200 ) N ;
+- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2101050 -1200 ) N ;
+- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2118990 -1200 ) N ;
+- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2136470 -1200 ) N ;
+- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2154410 -1200 ) N ;
+- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2172350 -1200 ) N ;
+- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2189830 -1200 ) N ;
+- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2207770 -1200 ) N ;
+- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 771190 -1200 ) N ;
+- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2225250 -1200 ) N ;
+- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2243190 -1200 ) N ;
+- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2260670 -1200 ) N ;
+- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2278610 -1200 ) N ;
+- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2296090 -1200 ) N ;
+- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2314030 -1200 ) N ;
+- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2331510 -1200 ) N ;
+- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2349450 -1200 ) N ;
+- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2367390 -1200 ) N ;
+- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2384870 -1200 ) N ;
+- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 789130 -1200 ) N ;
+- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 635030 -1200 ) N ;
+- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2408790 -1200 ) N ;
+- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2426270 -1200 ) N ;
+- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2444210 -1200 ) N ;
+- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2461690 -1200 ) N ;
+- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2479630 -1200 ) N ;
+- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2497110 -1200 ) N ;
+- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2515050 -1200 ) N ;
+- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2532530 -1200 ) N ;
+- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2550470 -1200 ) N ;
+- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2567950 -1200 ) N ;
+- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 812590 -1200 ) N ;
+- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2585890 -1200 ) N ;
+- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2603830 -1200 ) N ;
+- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2621310 -1200 ) N ;
+- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2639250 -1200 ) N ;
+- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2656730 -1200 ) N ;
+- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2674670 -1200 ) N ;
+- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2692150 -1200 ) N ;
+- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2710090 -1200 ) N ;
+- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2727570 -1200 ) N ;
+- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2745510 -1200 ) N ;
+- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 830530 -1200 ) N ;
+- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2763450 -1200 ) N ;
+- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2780930 -1200 ) N ;
+- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2798870 -1200 ) N ;
+- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2816350 -1200 ) N ;
+- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2834290 -1200 ) N ;
+- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2851770 -1200 ) N ;
+- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2869710 -1200 ) N ;
+- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2887190 -1200 ) N ;
+- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 848010 -1200 ) N ;
+- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 865950 -1200 ) N ;
+- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 883430 -1200 ) N ;
+- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 901370 -1200 ) N ;
+- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 918850 -1200 ) N ;
+- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 936790 -1200 ) N ;
+- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 954270 -1200 ) N ;
+- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 972210 -1200 ) N ;
+- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 652970 -1200 ) N ;
+- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 989690 -1200 ) N ;
+- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1007630 -1200 ) N ;
+- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1025570 -1200 ) N ;
+- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1043050 -1200 ) N ;
+- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1060990 -1200 ) N ;
+- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1078470 -1200 ) N ;
+- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1096410 -1200 ) N ;
+- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1113890 -1200 ) N ;
+- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1131830 -1200 ) N ;
+- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1149310 -1200 ) N ;
+- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 670910 -1200 ) N ;
+- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1167250 -1200 ) N ;
+- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1185190 -1200 ) N ;
+- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1202670 -1200 ) N ;
+- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1220610 -1200 ) N ;
+- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1238090 -1200 ) N ;
+- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1256030 -1200 ) N ;
+- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1273510 -1200 ) N ;
+- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1291450 -1200 ) N ;
+- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1308930 -1200 ) N ;
+- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1326870 -1200 ) N ;
+- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 688390 -1200 ) N ;
+- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1344350 -1200 ) N ;
+- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1362290 -1200 ) N ;
+- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1380230 -1200 ) N ;
+- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1397710 -1200 ) N ;
+- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1415650 -1200 ) N ;
+- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1433130 -1200 ) N ;
+- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1451070 -1200 ) N ;
+- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1468550 -1200 ) N ;
+- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1486490 -1200 ) N ;
+- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1503970 -1200 ) N ;
+- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 706330 -1200 ) N ;
+- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1521910 -1200 ) N ;
+- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1539850 -1200 ) N ;
+- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1557330 -1200 ) N ;
+- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1575270 -1200 ) N ;
+- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1592750 -1200 ) N ;
+- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1610690 -1200 ) N ;
+- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1628170 -1200 ) N ;
+- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1646110 -1200 ) N ;
+- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1663590 -1200 ) N ;
+- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1681530 -1200 ) N ;
+- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 723810 -1200 ) N ;
+- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1699470 -1200 ) N ;
+- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1716950 -1200 ) N ;
+- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1734890 -1200 ) N ;
+- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1752370 -1200 ) N ;
+- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1770310 -1200 ) N ;
+- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1787790 -1200 ) N ;
+- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1805730 -1200 ) N ;
+- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1823210 -1200 ) N ;
+- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1841150 -1200 ) N ;
+- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1858630 -1200 ) N ;
+- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 741750 -1200 ) N ;
+- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1876570 -1200 ) N ;
+- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1894510 -1200 ) N ;
+- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1911990 -1200 ) N ;
+- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1929930 -1200 ) N ;
+- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1947410 -1200 ) N ;
+- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1965350 -1200 ) N ;
+- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1982830 -1200 ) N ;
+- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2000770 -1200 ) N ;
+- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2018250 -1200 ) N ;
+- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2036190 -1200 ) N ;
+- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 759230 -1200 ) N ;
+- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2054130 -1200 ) N ;
+- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2071610 -1200 ) N ;
+- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2089550 -1200 ) N ;
+- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2107030 -1200 ) N ;
+- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2124970 -1200 ) N ;
+- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2142450 -1200 ) N ;
+- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2160390 -1200 ) N ;
+- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2177870 -1200 ) N ;
+- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2195810 -1200 ) N ;
+- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2213290 -1200 ) N ;
+- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 777170 -1200 ) N ;
+- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2231230 -1200 ) N ;
+- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2249170 -1200 ) N ;
+- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2266650 -1200 ) N ;
+- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2284590 -1200 ) N ;
+- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2302070 -1200 ) N ;
+- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2320010 -1200 ) N ;
+- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2337490 -1200 ) N ;
+- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2355430 -1200 ) N ;
+- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2372910 -1200 ) N ;
+- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2390850 -1200 ) N ;
+- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 794650 -1200 ) N ;
+- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 641010 -1200 ) N ;
+- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2414310 -1200 ) N ;
+- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2432250 -1200 ) N ;
+- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2449730 -1200 ) N ;
+- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2467670 -1200 ) N ;
+- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2485610 -1200 ) N ;
+- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2503090 -1200 ) N ;
+- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2521030 -1200 ) N ;
+- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2538510 -1200 ) N ;
+- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2556450 -1200 ) N ;
+- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2573930 -1200 ) N ;
+- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 818570 -1200 ) N ;
+- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2591870 -1200 ) N ;
+- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2609350 -1200 ) N ;
+- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2627290 -1200 ) N ;
+- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2645230 -1200 ) N ;
+- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2662710 -1200 ) N ;
+- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2680650 -1200 ) N ;
+- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2698130 -1200 ) N ;
+- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2716070 -1200 ) N ;
+- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2733550 -1200 ) N ;
+- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2751490 -1200 ) N ;
+- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 836050 -1200 ) N ;
+- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2768970 -1200 ) N ;
+- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2786910 -1200 ) N ;
+- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2804390 -1200 ) N ;
+- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2822330 -1200 ) N ;
+- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2840270 -1200 ) N ;
+- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2857750 -1200 ) N ;
+- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2875690 -1200 ) N ;
+- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2893170 -1200 ) N ;
+- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 853990 -1200 ) N ;
+- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 871470 -1200 ) N ;
+- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 889410 -1200 ) N ;
+- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 907350 -1200 ) N ;
+- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 924830 -1200 ) N ;
+- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 942770 -1200 ) N ;
+- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 960250 -1200 ) N ;
+- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 978190 -1200 ) N ;
+- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 658950 -1200 ) N ;
+- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 995670 -1200 ) N ;
+- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1013610 -1200 ) N ;
+- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1031090 -1200 ) N ;
+- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1049030 -1200 ) N ;
+- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1066970 -1200 ) N ;
+- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1084450 -1200 ) N ;
+- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1102390 -1200 ) N ;
+- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1119870 -1200 ) N ;
+- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1137810 -1200 ) N ;
+- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1155290 -1200 ) N ;
+- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 676430 -1200 ) N ;
+- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1173230 -1200 ) N ;
+- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1190710 -1200 ) N ;
+- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1208650 -1200 ) N ;
+- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1226130 -1200 ) N ;
+- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1244070 -1200 ) N ;
+- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1262010 -1200 ) N ;
+- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1279490 -1200 ) N ;
+- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1297430 -1200 ) N ;
+- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1314910 -1200 ) N ;
+- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1332850 -1200 ) N ;
+- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 694370 -1200 ) N ;
+- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1350330 -1200 ) N ;
+- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1368270 -1200 ) N ;
+- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1385750 -1200 ) N ;
+- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1403690 -1200 ) N ;
+- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1421630 -1200 ) N ;
+- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1439110 -1200 ) N ;
+- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1457050 -1200 ) N ;
+- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1474530 -1200 ) N ;
+- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1492470 -1200 ) N ;
+- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1509950 -1200 ) N ;
+- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 712310 -1200 ) N ;
+- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1527890 -1200 ) N ;
+- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1545370 -1200 ) N ;
+- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1563310 -1200 ) N ;
+- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1581250 -1200 ) N ;
+- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1598730 -1200 ) N ;
+- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1616670 -1200 ) N ;
+- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1634150 -1200 ) N ;
+- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1652090 -1200 ) N ;
+- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1669570 -1200 ) N ;
+- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1687510 -1200 ) N ;
+- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 729790 -1200 ) N ;
+- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1704990 -1200 ) N ;
+- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1722930 -1200 ) N ;
+- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1740410 -1200 ) N ;
+- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1758350 -1200 ) N ;
+- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1776290 -1200 ) N ;
+- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1793770 -1200 ) N ;
+- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1811710 -1200 ) N ;
+- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1829190 -1200 ) N ;
+- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1847130 -1200 ) N ;
+- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1864610 -1200 ) N ;
+- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 747730 -1200 ) N ;
+- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1882550 -1200 ) N ;
+- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1900030 -1200 ) N ;
+- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1917970 -1200 ) N ;
+- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1935910 -1200 ) N ;
+- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1953390 -1200 ) N ;
+- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1971330 -1200 ) N ;
+- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 1988810 -1200 ) N ;
+- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2006750 -1200 ) N ;
+- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2024230 -1200 ) N ;
+- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2042170 -1200 ) N ;
+- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 765210 -1200 ) N ;
+- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2059650 -1200 ) N ;
+- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2077590 -1200 ) N ;
+- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2095070 -1200 ) N ;
+- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2113010 -1200 ) N ;
+- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2130950 -1200 ) N ;
+- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2148430 -1200 ) N ;
+- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2166370 -1200 ) N ;
+- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2183850 -1200 ) N ;
+- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2201790 -1200 ) N ;
+- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2219270 -1200 ) N ;
+- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 783150 -1200 ) N ;
+- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2237210 -1200 ) N ;
+- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2254690 -1200 ) N ;
+- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2272630 -1200 ) N ;
+- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2290570 -1200 ) N ;
+- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2308050 -1200 ) N ;
+- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2325990 -1200 ) N ;
+- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2343470 -1200 ) N ;
+- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2361410 -1200 ) N ;
+- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2378890 -1200 ) N ;
+- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2396830 -1200 ) N ;
+- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 800630 -1200 ) N ;
+- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2899150 -1200 ) N ;
+- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2905130 -1200 ) N ;
+- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2911110 -1200 ) N ;
+- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2917090 -1200 ) N ;
+- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 2990 -1200 ) N ;
+- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 8510 -1200 ) N ;
+- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 14490 -1200 ) N ;
+- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 38410 -1200 ) N ;
+- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 239430 -1200 ) N ;
+- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 256910 -1200 ) N ;
+- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 274850 -1200 ) N ;
+- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 292330 -1200 ) N ;
+- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 310270 -1200 ) N ;
+- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 327750 -1200 ) N ;
+- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 345690 -1200 ) N ;
+- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 363170 -1200 ) N ;
+- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 381110 -1200 ) N ;
+- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 398590 -1200 ) N ;
+- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 61870 -1200 ) N ;
+- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 416530 -1200 ) N ;
+- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 434470 -1200 ) N ;
+- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 451950 -1200 ) N ;
+- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 469890 -1200 ) N ;
+- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 487370 -1200 ) N ;
+- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 505310 -1200 ) N ;
+- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 522790 -1200 ) N ;
+- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 540730 -1200 ) N ;
+- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 558210 -1200 ) N ;
+- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 576150 -1200 ) N ;
+- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 85330 -1200 ) N ;
+- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 594090 -1200 ) N ;
+- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 611570 -1200 ) N ;
+- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 109250 -1200 ) N ;
+- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 132710 -1200 ) N ;
+- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 150650 -1200 ) N ;
+- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 168130 -1200 ) N ;
+- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 186070 -1200 ) N ;
+- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 203550 -1200 ) N ;
+- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 221490 -1200 ) N ;
+- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 20470 -1200 ) N ;
+- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 43930 -1200 ) N ;
+- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 244950 -1200 ) N ;
+- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 262890 -1200 ) N ;
+- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 280370 -1200 ) N ;
+- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 298310 -1200 ) N ;
+- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 316250 -1200 ) N ;
+- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 333730 -1200 ) N ;
+- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 351670 -1200 ) N ;
+- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 369150 -1200 ) N ;
+- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 387090 -1200 ) N ;
+- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 404570 -1200 ) N ;
+- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 67850 -1200 ) N ;
+- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 422510 -1200 ) N ;
+- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 439990 -1200 ) N ;
+- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 457930 -1200 ) N ;
+- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 475870 -1200 ) N ;
+- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 493350 -1200 ) N ;
+- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 511290 -1200 ) N ;
+- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 528770 -1200 ) N ;
+- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 546710 -1200 ) N ;
+- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 564190 -1200 ) N ;
+- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 582130 -1200 ) N ;
+- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 91310 -1200 ) N ;
+- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 599610 -1200 ) N ;
+- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 617550 -1200 ) N ;
+- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 115230 -1200 ) N ;
+- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 138690 -1200 ) N ;
+- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 156630 -1200 ) N ;
+- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 174110 -1200 ) N ;
+- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 192050 -1200 ) N ;
+- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 209530 -1200 ) N ;
+- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 227470 -1200 ) N ;
+- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 49910 -1200 ) N ;
+- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 250930 -1200 ) N ;
+- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 268870 -1200 ) N ;
+- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 286350 -1200 ) N ;
+- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 304290 -1200 ) N ;
+- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 321770 -1200 ) N ;
+- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 339710 -1200 ) N ;
+- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 357650 -1200 ) N ;
+- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 375130 -1200 ) N ;
+- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 393070 -1200 ) N ;
+- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 410550 -1200 ) N ;
+- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 73830 -1200 ) N ;
+- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 428490 -1200 ) N ;
+- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 445970 -1200 ) N ;
+- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 463910 -1200 ) N ;
+- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 481390 -1200 ) N ;
+- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 499330 -1200 ) N ;
+- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 516810 -1200 ) N ;
+- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 534750 -1200 ) N ;
+- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 552690 -1200 ) N ;
+- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 570170 -1200 ) N ;
+- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 588110 -1200 ) N ;
+- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 97290 -1200 ) N ;
+- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 605590 -1200 ) N ;
+- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 623530 -1200 ) N ;
+- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 121210 -1200 ) N ;
+- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 144670 -1200 ) N ;
+- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 162150 -1200 ) N ;
+- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 180090 -1200 ) N ;
+- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 198030 -1200 ) N ;
+- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 215510 -1200 ) N ;
+- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 233450 -1200 ) N ;
+- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 55890 -1200 ) N ;
+- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 79810 -1200 ) N ;
+- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 103270 -1200 ) N ;
+- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 126730 -1200 ) N ;
+- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 26450 -1200 ) N ;
+- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -280 -3600 ) ( 280 3600 )
+  + PLACED ( 32430 -1200 ) N ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 2890520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 2710520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 2530520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 2350520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 2170520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 1990520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 1810520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 1630520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -764620 ) ( 1500 764620 )
+  + FIXED ( 1450520 2764380 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -764620 ) ( 1500 764620 )
+  + FIXED ( 1270520 2764380 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 1090520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 910520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 730520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 550520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 370520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 190520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 10520 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 )
+  + FIXED ( 2928100 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 )
+  + FIXED ( -8480 1759840 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -844780 ) ( 1500 844780 )
+  + FIXED ( 1450520 835460 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -844780 ) ( 1500 844780 )
+  + FIXED ( 1270520 835460 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 )
+  + FIXED ( 1459810 3522800 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 3435640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 3255640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 3075640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 2895640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 2715640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 2535640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 2355640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 2175640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1995640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1815640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1635640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1455640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1275640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1095640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 915640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 735640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 555640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 375640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 195640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 15640 ) N + SPECIAL ;
+- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 )
+  + FIXED ( 1459810 -3120 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 2932800 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 2800520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 2620520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 2440520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 2260520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 2080520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 1900520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 1720520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 1540520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -764620 ) ( 1500 764620 )
+  + FIXED ( 1360520 2764380 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -764620 ) ( 1500 764620 )
+  + FIXED ( 1180520 2764380 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 1000520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 820520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 640520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 460520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 280520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( 100520 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+  + FIXED ( -13180 1759840 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -844780 ) ( 1500 844780 )
+  + FIXED ( 1360520 835460 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -844780 ) ( 1500 844780 )
+  + FIXED ( 1180520 835460 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 3527500 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 3345640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 3165640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 2985640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 2805640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 2625640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 2445640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 2265640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 2085640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1905640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1725640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1545640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1365640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1185640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 1005640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 825640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 645640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 465640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 285640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 105640 ) N + SPECIAL ;
+- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+  + FIXED ( 1459810 -7820 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2908520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2728520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2548520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2368520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2188520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2008520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 1828520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 1648520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -769200 ) ( 1500 769200 )
+  + FIXED ( 1468520 2769200 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -769200 ) ( 1500 769200 )
+  + FIXED ( 1288520 2769200 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 1108520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 928520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 748520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 568520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 388520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 208520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 28520 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 )
+  + FIXED ( 2937500 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 )
+  + FIXED ( -17880 1759840 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -849360 ) ( 1500 849360 )
+  + FIXED ( 1468520 830640 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -849360 ) ( 1500 849360 )
+  + FIXED ( 1288520 830640 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 )
+  + FIXED ( 1459810 3532200 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 3453880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 3273880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 3093880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 2913880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 2733880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 2553880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 2373880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 2193880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 2013880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 1833880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 1653880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 1473880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 1293880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 1113880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 933880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 753880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 573880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 393880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 213880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 33880 ) N + SPECIAL ;
+- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 )
+  + FIXED ( 1459810 -12520 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2942200 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2818520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2638520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2458520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2278520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 2098520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 1918520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 1738520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 1558520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -769200 ) ( 1500 769200 )
+  + FIXED ( 1378520 2769200 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -769200 ) ( 1500 769200 )
+  + FIXED ( 1198520 2769200 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 1018520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 838520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 658520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 478520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 298520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( 118520 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+  + FIXED ( -22580 1759840 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -849360 ) ( 1500 849360 )
+  + FIXED ( 1378520 830640 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -849360 ) ( 1500 849360 )
+  + FIXED ( 1198520 830640 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 3536900 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 3363880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 3183880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 3003880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 2823880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 2643880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 2463880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 2283880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 2103880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 1923880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 1743880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 1563880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 1383880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 1203880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 1023880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 843880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 663880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 483880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 303880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 123880 ) N + SPECIAL ;
+- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+  + FIXED ( 1459810 -17220 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 2746520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 2566520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 2386520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 2206520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 2026520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 1846520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 1666520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 1486520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -773900 ) ( 1500 773900 )
+  + FIXED ( 1306520 2773900 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 1126520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 946520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 766520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 586520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 406520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 226520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 46520 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 )
+  + FIXED ( 2946900 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 )
+  + FIXED ( -27280 1759840 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -854060 ) ( 1500 854060 )
+  + FIXED ( 1306520 825940 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 )
+  + FIXED ( 1459810 3541600 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 3471880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 3291880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 3111880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 2931880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 2751880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 2571880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 2391880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 2211880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 2031880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 1851880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 1671880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 1491880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 1311880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 1131880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 951880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 771880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 591880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 411880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 231880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 51880 ) N + SPECIAL ;
+- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 )
+  + FIXED ( 1459810 -21920 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 2951600 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 2836520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 2656520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 2476520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 2296520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 2116520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 1936520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 1756520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 1576520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -773900 ) ( 1500 773900 )
+  + FIXED ( 1396520 2773900 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -773900 ) ( 1500 773900 )
+  + FIXED ( 1216520 2773900 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 1036520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 856520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 676520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 496520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 316520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( 136520 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+  + FIXED ( -31980 1759840 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -854060 ) ( 1500 854060 )
+  + FIXED ( 1396520 825940 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -854060 ) ( 1500 854060 )
+  + FIXED ( 1216520 825940 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 3546300 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 3381880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 3201880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 3021880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 2841880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 2661880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 2481880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 2301880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 2121880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 1941880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 1761880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 1581880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 1401880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 1221880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 1041880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 861880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 681880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 501880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 321880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 141880 ) N + SPECIAL ;
+- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+  + FIXED ( 1459810 -26620 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 2764520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 2584520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 2404520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 2224520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 2044520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 1864520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 1684520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 1504520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -778600 ) ( 1500 778600 )
+  + FIXED ( 1324520 2778600 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 1144520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 964520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 784520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 604520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 424520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 244520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 64520 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 )
+  + FIXED ( 2956300 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 )
+  + FIXED ( -36680 1759840 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -1500 -858760 ) ( 1500 858760 )
+  + FIXED ( 1324520 821240 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 )
+  + FIXED ( 1459810 3551000 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 3489880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 3309880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 3129880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 2949880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 2769880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 2589880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 2409880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 2229880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 2049880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 1869880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 1689880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 1509880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 1329880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 1149880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 969880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 789880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 609880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 429880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 249880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 69880 ) N + SPECIAL ;
+- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER 
+  + LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 )
+  + FIXED ( 1459810 -31320 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 2961000 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 2854520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 2674520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 2494520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 2314520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 2134520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 1954520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 1774520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 1594520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -778600 ) ( 1500 778600 )
+  + FIXED ( 1414520 2778600 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -778600 ) ( 1500 778600 )
+  + FIXED ( 1234520 2778600 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 1054520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 874520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 694520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 514520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 334520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( 154520 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+  + FIXED ( -41380 1759840 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -858760 ) ( 1500 858760 )
+  + FIXED ( 1414520 821240 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -1500 -858760 ) ( 1500 858760 )
+  + FIXED ( 1234520 821240 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 3555700 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 3399880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 3219880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 3039880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 2859880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 2679880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 2499880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 2319880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 2139880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 1959880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 1779880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 1599880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 1419880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 1239880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 1059880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 879880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 699880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 519880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 339880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 159880 ) N + SPECIAL ;
+- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND 
+  + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+  + FIXED ( 1459810 -36020 ) N + SPECIAL ;
+=======
 PINS 645 ;
     - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
       + PORT
@@ -4242,11 +7153,67906 @@
       + PORT
         + LAYER met2 ( -280 -3600 ) ( 280 3600 )
         + PLACED ( 32430 -1200 ) N ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 END PINS
 BLOCKAGES 1 ;
     - LAYER met5 RECT ( 0 0 ) ( 2920000 3520000 ) ;
 END BLOCKAGES
 SPECIALNETS 8 ;
+<<<<<<< HEAD
+- vccd1 ( PIN vccd1 ) 
+  + ROUTED met4 0 + SHAPE STRIPE ( 1350680 1815640 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1197080 1815640 ) via4_1600x3000 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3508800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3508800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3508800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3503360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3503360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3503360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3497920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3497920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3497920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3492480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3492480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3492480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3487040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3487040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3487040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3481600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3481600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3481600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3476160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3476160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3476160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3470720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3470720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3470720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3465280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3465280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3465280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3459840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3459840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3459840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3454400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3454400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3454400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3448960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3448960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3448960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3443520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3443520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3443520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3438080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3438080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3438080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3432640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3432640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3432640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3427200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3427200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3427200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3421760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3421760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3421760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3416320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3416320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3416320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3410880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3410880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3410880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3405440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3405440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3405440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3400000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3400000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3400000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3394560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3394560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3394560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3389120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3389120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3389120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3383680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3383680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3383680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3378240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3378240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3378240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3372800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3372800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3372800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3367360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3367360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3367360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3361920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3361920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3361920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3356480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3356480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3356480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3351040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3351040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3351040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3345600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3345600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3345600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3340160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3340160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3340160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3334720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3334720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3334720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3329280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3329280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3329280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3323840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3323840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3323840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3318400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3318400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3318400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3312960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3312960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3312960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3307520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3307520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3307520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3302080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3302080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3302080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3296640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3296640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3296640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3291200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3291200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3291200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3285760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3285760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3285760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3280320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3280320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3280320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3274880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3274880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3274880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3269440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3269440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3269440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3264000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3264000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3264000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3258560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3258560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3258560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3253120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3253120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3253120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3247680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3247680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3247680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3242240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3242240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3242240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3236800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3236800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3236800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3231360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3231360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3231360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3225920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3225920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3225920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3220480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3220480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3220480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3215040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3215040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3215040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3209600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3209600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3209600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3204160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3204160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3204160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3198720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3198720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3198720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3193280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3193280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3193280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3187840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3187840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3187840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3182400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3182400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3182400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3176960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3176960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3176960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3171520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3171520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3171520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3166080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3166080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3166080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3160640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3160640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3160640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3155200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3155200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3155200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3149760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3149760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3149760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3144320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3144320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3144320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3138880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3138880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3138880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3133440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3133440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3133440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3128000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3128000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3128000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3122560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3122560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3122560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3117120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3117120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3117120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3111680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3111680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3111680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3106240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3106240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3106240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3100800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3100800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3100800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3095360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3095360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3095360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3089920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3089920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3089920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3084480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3084480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3084480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3079040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3079040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3079040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3073600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3073600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3073600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3068160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3068160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3068160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3062720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3062720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3062720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3057280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3057280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3057280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3051840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3051840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3051840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3046400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3046400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3046400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3040960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3040960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3040960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3035520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3035520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3035520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3030080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3030080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3030080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3024640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3024640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3024640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3019200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3019200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3019200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3013760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3013760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3013760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3008320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3008320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3008320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 3002880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 3002880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 3002880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2997440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2997440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2997440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2992000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2992000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2992000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2986560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2986560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2986560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2981120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2981120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2981120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2975680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2975680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2975680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2970240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2970240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2970240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2964800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2964800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2964800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2959360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2959360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2959360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2953920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2953920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2953920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2948480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2948480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2948480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2943040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2943040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2943040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2937600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2937600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2937600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2932160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2932160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2932160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2926720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2926720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2926720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2921280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2921280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2921280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2915840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2915840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2915840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2910400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2910400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2910400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2904960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2904960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2904960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2899520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2899520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2899520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2894080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2894080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2894080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2888640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2888640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2888640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2883200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2883200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2883200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2877760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2877760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2877760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2872320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2872320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2872320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2866880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2866880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2866880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2861440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2861440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2861440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2856000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2856000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2856000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2850560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2850560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2850560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2845120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2845120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2845120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2839680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2839680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2839680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2834240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2834240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2834240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2828800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2828800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2828800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2823360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2823360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2823360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2817920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2817920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2817920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2812480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2812480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2812480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2807040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2807040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2807040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2801600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2801600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2801600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2796160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2796160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2796160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2790720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2790720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2790720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2785280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2785280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2785280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2779840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2779840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2779840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2774400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2774400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2774400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2768960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2768960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2768960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2763520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2763520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2763520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2758080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2758080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2758080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2752640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2752640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2752640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2747200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2747200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2747200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2741760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2741760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2741760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2736320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2736320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2736320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2730880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2730880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2730880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2725440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2725440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2725440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2720000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2720000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2720000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2714560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2714560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2714560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2709120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2709120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2709120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2703680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2703680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2703680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2698240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2698240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2698240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2692800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2692800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2692800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2687360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2687360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2687360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2681920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2681920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2681920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2676480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2676480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2676480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2671040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2671040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2671040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2665600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2665600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2665600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2660160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2660160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2660160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2654720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2654720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2654720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2649280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2649280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2649280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2643840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2643840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2643840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2638400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2638400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2638400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2632960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2632960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2632960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2627520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2627520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2627520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2622080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2622080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2622080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2616640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2616640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2616640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2611200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2611200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2611200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2605760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2605760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2605760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2600320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2600320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2600320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2594880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2594880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2594880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2589440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2589440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2589440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2584000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2584000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2584000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2578560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2578560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2578560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2573120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2573120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2573120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2567680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2567680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2567680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2562240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2562240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2562240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2556800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2556800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2556800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2551360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2551360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2551360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2545920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2545920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2545920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2540480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2540480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2540480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2535040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2535040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2535040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2529600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2529600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2529600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2524160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2524160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2524160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2518720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2518720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2518720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2513280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2513280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2513280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2507840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2507840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2507840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2502400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2502400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2502400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2496960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2496960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2496960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2491520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2491520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2491520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2486080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2486080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2486080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2480640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2480640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2480640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2475200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2475200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2475200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2469760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2469760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2469760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2464320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2464320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2464320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2458880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2458880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2458880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2453440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2453440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2453440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2448000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2448000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2448000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2442560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2442560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2442560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2437120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2437120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2437120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2431680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2431680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2431680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2426240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2426240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2426240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2420800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2420800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2420800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2415360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2415360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2415360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2409920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2409920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2409920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2404480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2404480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2404480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2399040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2399040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2399040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2393600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2393600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2393600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2388160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2388160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2388160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2382720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2382720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2382720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2377280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2377280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2377280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2371840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2371840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2371840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2366400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2366400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2366400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2360960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2360960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2360960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2355520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2355520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2355520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2350080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2350080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2350080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2344640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2344640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2344640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2339200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2339200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2339200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2333760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2333760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2333760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2328320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2328320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2328320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2322880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2322880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2322880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2317440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2317440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2317440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2312000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2312000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2312000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2306560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2306560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2306560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2301120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2301120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2301120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2295680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2295680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2295680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2290240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2290240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2290240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2284800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2284800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2284800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2279360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2279360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2279360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2273920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2273920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2273920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2268480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2268480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2268480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2263040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2263040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2263040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2257600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2257600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2257600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2252160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2252160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2252160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2246720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2246720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2246720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2241280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2241280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2241280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2235840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2235840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2235840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2230400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2230400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2230400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2224960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2224960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2224960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2219520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2219520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2219520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2214080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2214080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2214080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2208640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2208640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2208640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2203200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2203200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2203200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2197760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2197760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2197760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2192320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2192320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2192320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2186880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2186880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2186880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2181440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2181440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2181440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2176000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2176000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2176000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2170560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2170560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2170560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2165120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2165120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2165120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2159680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2159680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2159680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2154240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2154240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2154240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2148800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2148800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2148800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2143360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2143360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2143360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2137920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2137920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2137920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2132480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2132480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2132480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2127040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2127040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2127040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2121600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2121600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2121600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2116160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2116160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2116160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2110720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2110720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2110720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2105280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2105280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2105280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2099840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2099840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2099840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2094400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2094400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2094400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2088960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2088960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2088960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2083520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2083520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2083520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2078080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2078080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2078080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2072640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2072640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2072640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2067200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2067200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2067200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2061760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2061760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2061760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2056320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2056320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2056320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2050880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2050880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2050880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2045440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2045440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2045440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2040000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2040000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2040000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2034560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2034560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2034560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2029120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2029120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2029120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2023680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2023680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2023680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2018240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2018240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2018240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2012800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2012800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2012800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2007360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2007360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2007360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 2001920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 2001920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 2001920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1996480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1996480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1996480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1991040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1991040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1991040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1985600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1985600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1985600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1980160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1980160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1980160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1974720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1974720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1974720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1969280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1969280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1969280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1963840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1963840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1963840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1958400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1958400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1958400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1952960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1952960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1952960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1947520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1947520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1947520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1942080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1942080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1942080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1936640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1936640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1936640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1931200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1931200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1931200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1925760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1925760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1925760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1920320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1920320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1920320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1914880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1914880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1914880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1909440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1909440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1909440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1904000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1904000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1904000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1898560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1898560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1898560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1893120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1893120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1893120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1887680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1887680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1887680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1882240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1882240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1882240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1876800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1876800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1876800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1871360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1871360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1871360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1865920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1865920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1865920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1860480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1860480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1860480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1855040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1855040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1855040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1849600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1849600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1849600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1844160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1844160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1844160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1838720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1838720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1838720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1833280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1833280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1833280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1827840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1827840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1827840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1822400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1822400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1822400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1816960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1816960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1816960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1811520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1811520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1811520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1806080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1806080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1806080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1800640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1800640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1800640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1795200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1795200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1795200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1789760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1789760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1789760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1784320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1784320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1784320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1778880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1778880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1778880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1773440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1773440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1773440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1768000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1768000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1768000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1762560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1762560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1762560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1757120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1757120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1757120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1751680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1751680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1751680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1746240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1746240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1746240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1740800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1740800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1740800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1735360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1735360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1735360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1729920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1729920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1729920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1724480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1724480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1724480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1719040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1719040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1719040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1713600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1713600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1713600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1708160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1708160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1708160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1702720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1702720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1702720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1697280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1697280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1697280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1691840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1691840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1691840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1686400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1686400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1686400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1680960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1680960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1680960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1675520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1675520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1675520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1670080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1670080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1670080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1664640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1664640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1664640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1659200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1659200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1659200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1653760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1653760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1653760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1648320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1648320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1648320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1642880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1642880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1642880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1637440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1637440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1637440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1632000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1632000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1632000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1626560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1626560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1626560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1621120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1621120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1621120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1615680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1615680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1615680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1610240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1610240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1610240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1604800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1604800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1604800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1599360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1599360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1599360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1593920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1593920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1593920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1588480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1588480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1588480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1583040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1583040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1583040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1577600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1577600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1577600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1572160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1572160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1572160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1566720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1566720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1566720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1561280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1561280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1561280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1555840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1555840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1555840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1550400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1550400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1550400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1544960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1544960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1544960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1539520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1539520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1539520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1534080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1534080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1534080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1528640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1528640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1528640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1523200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1523200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1523200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1517760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1517760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1517760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1512320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1512320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1512320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1506880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1506880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1506880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1501440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1501440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1501440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1496000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1496000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1496000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1490560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1490560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1490560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1485120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1485120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1485120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1479680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1479680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1479680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1474240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1474240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1474240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1468800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1468800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1468800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1463360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1463360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1463360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1457920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1457920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1457920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1452480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1452480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1452480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1447040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1447040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1447040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1441600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1441600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1441600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1436160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1436160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1436160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1430720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1430720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1430720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1425280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1425280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1425280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1419840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1419840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1419840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1414400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1414400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1414400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1408960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1408960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1408960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1403520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1403520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1403520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1398080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1398080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1398080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1392640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1392640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1392640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1387200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1387200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1387200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1381760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1381760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1381760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1376320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1376320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1376320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1370880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1370880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1370880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1365440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1365440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1365440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1360000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1360000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1360000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1354560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1354560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1354560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1349120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1349120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1349120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1343680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1343680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1343680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1338240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1338240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1338240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1332800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1332800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1332800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1327360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1327360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1327360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1321920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1321920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1321920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1316480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1316480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1316480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1311040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1311040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1311040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1305600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1305600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1305600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1300160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1300160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1300160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1294720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1294720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1294720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1289280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1289280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1289280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1283840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1283840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1283840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1278400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1278400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1278400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1272960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1272960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1272960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1267520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1267520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1267520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1262080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1262080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1262080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1256640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1256640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1256640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1251200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1251200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1251200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1245760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1245760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1245760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1240320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1240320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1240320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1234880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1234880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1234880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1229440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1229440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1229440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1224000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1224000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1224000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1218560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1218560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1218560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1213120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1213120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1213120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1207680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1207680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1207680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1202240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1202240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1202240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1196800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1196800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1196800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1191360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1191360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1191360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1185920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1185920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1185920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1180480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1180480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1180480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1175040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1175040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1175040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1169600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1169600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1169600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1164160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1164160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1164160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1158720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1158720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1158720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1153280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1153280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1153280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1147840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1147840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1147840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1142400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1142400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1142400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1136960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1136960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1136960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1131520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1131520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1131520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1126080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1126080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1126080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1120640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1120640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1120640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1115200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1115200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1115200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1109760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1109760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1109760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1104320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1104320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1104320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1098880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1098880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1098880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1093440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1093440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1093440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1088000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1088000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1088000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1082560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1082560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1082560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1077120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1077120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1077120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1071680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1071680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1071680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1066240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1066240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1066240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1060800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1060800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1060800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1055360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1055360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1055360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1049920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1049920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1049920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1044480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1044480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1044480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1039040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1039040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1039040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1033600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1033600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1033600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1028160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1028160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1028160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1022720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1022720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1022720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1017280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1017280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1017280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1011840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1011840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1011840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1006400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1006400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1006400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 1000960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 1000960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 1000960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 995520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 995520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 995520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 990080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 990080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 990080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 984640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 984640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 984640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 979200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 979200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 979200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 973760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 973760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 973760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 968320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 968320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 968320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 962880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 962880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 962880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 957440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 957440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 957440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 952000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 952000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 952000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 946560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 946560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 946560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 941120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 941120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 941120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 935680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 935680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 935680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 930240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 930240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 930240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 924800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 924800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 924800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 919360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 919360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 919360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 913920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 913920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 913920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 908480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 908480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 908480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 903040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 903040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 903040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 897600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 897600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 897600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 892160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 892160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 892160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 886720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 886720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 886720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 881280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 881280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 881280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 875840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 875840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 875840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 870400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 870400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 870400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 864960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 864960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 864960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 859520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 859520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 859520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 854080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 854080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 854080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 848640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 848640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 848640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 843200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 843200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 843200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 837760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 837760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 837760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 832320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 832320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 832320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 826880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 826880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 826880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 821440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 821440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 821440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 816000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 816000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 816000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 810560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 810560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 810560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 805120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 805120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 805120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 799680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 799680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 799680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 794240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 794240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 794240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 788800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 788800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 788800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 783360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 783360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 783360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 777920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 777920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 777920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 772480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 772480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 772480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 767040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 767040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 767040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 761600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 761600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 761600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 756160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 756160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 756160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 750720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 750720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 750720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 745280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 745280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 745280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 739840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 739840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 739840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 734400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 734400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 734400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 728960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 728960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 728960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 723520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 723520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 723520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 718080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 718080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 718080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 712640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 712640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 712640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 707200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 707200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 707200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 701760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 701760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 701760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 696320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 696320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 696320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 690880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 690880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 690880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 685440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 685440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 685440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 680000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 680000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 680000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 674560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 674560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 674560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 669120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 669120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 669120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 663680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 663680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 663680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 658240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 658240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 658240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 652800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 652800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 652800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 647360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 647360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 647360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 641920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 641920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 641920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 636480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 636480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 636480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 631040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 631040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 631040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 625600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 625600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 625600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 620160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 620160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 620160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 614720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 614720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 614720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 609280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 609280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 609280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 603840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 603840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 603840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 598400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 598400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 598400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 592960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 592960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 592960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 587520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 587520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 587520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 582080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 582080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 582080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 576640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 576640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 576640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 571200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 571200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 571200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 565760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 565760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 565760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 560320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 560320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 560320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 554880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 554880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 554880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 549440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 549440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 549440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 544000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 544000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 544000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 538560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 538560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 538560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 533120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 533120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 533120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 527680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 527680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 527680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 522240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 522240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 522240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 516800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 516800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 516800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 511360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 511360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 511360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 505920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 505920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 505920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 500480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 500480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 500480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 495040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 495040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 495040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 489600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 489600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 489600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 484160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 484160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 484160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 478720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 478720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 478720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 473280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 473280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 473280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 467840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 467840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 467840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 462400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 462400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 462400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 456960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 456960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 456960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 451520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 451520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 451520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 446080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 446080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 446080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 440640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 440640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 440640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 435200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 435200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 435200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 429760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 429760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 429760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 424320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 424320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 424320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 418880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 418880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 418880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 413440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 413440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 413440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 408000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 408000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 408000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 402560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 402560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 402560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 397120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 397120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 397120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 391680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 391680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 391680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 386240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 386240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 386240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 380800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 380800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 380800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 375360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 375360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 375360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 369920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 369920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 369920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 364480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 364480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 364480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 359040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 359040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 359040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 353600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 353600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 353600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 348160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 348160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 348160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 342720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 342720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 342720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 337280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 337280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 337280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 331840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 331840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 331840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 326400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 326400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 326400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 320960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 320960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 320960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 315520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 315520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 315520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 310080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 310080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 310080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 304640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 304640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 304640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 299200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 299200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 299200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 293760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 293760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 293760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 288320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 288320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 288320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 282880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 282880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 282880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 277440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 277440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 277440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 272000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 272000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 272000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 266560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 266560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 266560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 261120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 261120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 261120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 255680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 255680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 255680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 250240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 250240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 250240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 244800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 244800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 244800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 239360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 239360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 239360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 233920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 233920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 233920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 228480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 228480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 228480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 223040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 223040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 223040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 217600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 217600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 217600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 212160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 212160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 212160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 206720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 206720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 206720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 201280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 201280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 201280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 195840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 195840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 195840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 190400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 190400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 190400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 184960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 184960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 184960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 179520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 179520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 179520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 174080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 174080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 174080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 168640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 168640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 168640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 163200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 163200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 163200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 157760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 157760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 157760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 152320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 152320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 152320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 146880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 146880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 146880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 141440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 141440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 141440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 136000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 136000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 136000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 130560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 130560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 130560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 125120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 125120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 125120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 119680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 119680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 119680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 114240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 114240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 114240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 108800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 108800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 108800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 103360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 103360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 103360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 97920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 97920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 97920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 92480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 92480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 92480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 87040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 87040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 87040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 81600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 81600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 81600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 76160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 76160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 76160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 70720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 70720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 70720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 65280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 65280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 65280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 59840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 59840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 59840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 54400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 54400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 54400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 48960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 48960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 48960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 43520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 43520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 43520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 38080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 38080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 38080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 32640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 32640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 32640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 27200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 27200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 27200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 21760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 21760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 21760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 16320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 16320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 16320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2890520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2890520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2890520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2710520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2710520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2710520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2530520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2530520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2530520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2350520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2350520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2350520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2170520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2170520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2170520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1990520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1990520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1990520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1810520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1810520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1810520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1630520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1630520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1630520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1450520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1450520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1450520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1270520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1270520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1270520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1090520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1090520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1090520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 910520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 910520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 910520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 730520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 730520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 730520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 550520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 550520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 550520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 370520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 370520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 370520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 190520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 190520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 190520 10880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 10520 10880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 10520 10880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 10520 10880 ) via_3000x480 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 3435640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 3255640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 3075640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 2895640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 2715640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 2535640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 2355640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 2175640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 1995640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 1815640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 1635640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 1455640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 1275640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 1095640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 915640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 735640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 555640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 375640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 195640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 15640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3000x3000 
+    NEW met5 3000 + SHAPE STRIPE ( -9980 3522800 ) ( 2929600 3522800 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 3435640 ) ( 2934300 3435640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 3255640 ) ( 2934300 3255640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 3075640 ) ( 2934300 3075640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 2895640 ) ( 2934300 2895640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 2715640 ) ( 2934300 2715640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 2535640 ) ( 2934300 2535640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 2355640 ) ( 2934300 2355640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 2175640 ) ( 2934300 2175640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1995640 ) ( 2934300 1995640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1815640 ) ( 2934300 1815640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1635640 ) ( 2934300 1635640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1455640 ) ( 2934300 1455640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1275640 ) ( 2934300 1275640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1095640 ) ( 2934300 1095640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 915640 ) ( 2934300 915640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 735640 ) ( 2934300 735640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 555640 ) ( 2934300 555640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 375640 ) ( 2934300 375640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 195640 ) ( 2934300 195640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 15640 ) ( 2934300 15640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -9980 -3120 ) ( 2929600 -3120 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2890520 -9320 ) ( 2890520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2710520 -9320 ) ( 2710520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2530520 -9320 ) ( 2530520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2350520 -9320 ) ( 2350520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2170520 -9320 ) ( 2170520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1990520 -9320 ) ( 1990520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1810520 -9320 ) ( 1810520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1630520 -9320 ) ( 1630520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1450520 1999760 ) ( 1450520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1270520 1999760 ) ( 1270520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1090520 -9320 ) ( 1090520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 910520 -9320 ) ( 910520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 730520 -9320 ) ( 730520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 550520 -9320 ) ( 550520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 370520 -9320 ) ( 370520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 190520 -9320 ) ( 190520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 10520 -9320 ) ( 10520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2928100 -4620 ) ( 2928100 3524300 ) 
+    NEW met4 3000 + SHAPE STRIPE ( -8480 -4620 ) ( -8480 3524300 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1450520 -9320 ) ( 1450520 1680240 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1270520 -9320 ) ( 1270520 1680240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3508800 ) ( 2914100 3508800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3503360 ) ( 2914100 3503360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3497920 ) ( 2914100 3497920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3492480 ) ( 2914100 3492480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3487040 ) ( 2914100 3487040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3481600 ) ( 2914100 3481600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3476160 ) ( 2914100 3476160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3470720 ) ( 2914100 3470720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3465280 ) ( 2914100 3465280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3459840 ) ( 2914100 3459840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3454400 ) ( 2914100 3454400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3448960 ) ( 2914100 3448960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3443520 ) ( 2914100 3443520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3438080 ) ( 2914100 3438080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3432640 ) ( 2914100 3432640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3427200 ) ( 2914100 3427200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3421760 ) ( 2914100 3421760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3416320 ) ( 2914100 3416320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3410880 ) ( 2914100 3410880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3405440 ) ( 2914100 3405440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3400000 ) ( 2914100 3400000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3394560 ) ( 2914100 3394560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3389120 ) ( 2914100 3389120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3383680 ) ( 2914100 3383680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3378240 ) ( 2914100 3378240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3372800 ) ( 2914100 3372800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3367360 ) ( 2914100 3367360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3361920 ) ( 2914100 3361920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3356480 ) ( 2914100 3356480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3351040 ) ( 2914100 3351040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3345600 ) ( 2914100 3345600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3340160 ) ( 2914100 3340160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3334720 ) ( 2914100 3334720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3329280 ) ( 2914100 3329280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3323840 ) ( 2914100 3323840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3318400 ) ( 2914100 3318400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3312960 ) ( 2914100 3312960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3307520 ) ( 2914100 3307520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3302080 ) ( 2914100 3302080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3296640 ) ( 2914100 3296640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3291200 ) ( 2914100 3291200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3285760 ) ( 2914100 3285760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3280320 ) ( 2914100 3280320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3274880 ) ( 2914100 3274880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3269440 ) ( 2914100 3269440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3264000 ) ( 2914100 3264000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3258560 ) ( 2914100 3258560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3253120 ) ( 2914100 3253120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3247680 ) ( 2914100 3247680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3242240 ) ( 2914100 3242240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3236800 ) ( 2914100 3236800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3231360 ) ( 2914100 3231360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3225920 ) ( 2914100 3225920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3220480 ) ( 2914100 3220480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3215040 ) ( 2914100 3215040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3209600 ) ( 2914100 3209600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3204160 ) ( 2914100 3204160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3198720 ) ( 2914100 3198720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3193280 ) ( 2914100 3193280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3187840 ) ( 2914100 3187840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3182400 ) ( 2914100 3182400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3176960 ) ( 2914100 3176960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3171520 ) ( 2914100 3171520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3166080 ) ( 2914100 3166080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3160640 ) ( 2914100 3160640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3155200 ) ( 2914100 3155200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3149760 ) ( 2914100 3149760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3144320 ) ( 2914100 3144320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3138880 ) ( 2914100 3138880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3133440 ) ( 2914100 3133440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3128000 ) ( 2914100 3128000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3122560 ) ( 2914100 3122560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3117120 ) ( 2914100 3117120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3111680 ) ( 2914100 3111680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3106240 ) ( 2914100 3106240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3100800 ) ( 2914100 3100800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3095360 ) ( 2914100 3095360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3089920 ) ( 2914100 3089920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3084480 ) ( 2914100 3084480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3079040 ) ( 2914100 3079040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3073600 ) ( 2914100 3073600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3068160 ) ( 2914100 3068160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3062720 ) ( 2914100 3062720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3057280 ) ( 2914100 3057280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3051840 ) ( 2914100 3051840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3046400 ) ( 2914100 3046400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3040960 ) ( 2914100 3040960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3035520 ) ( 2914100 3035520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3030080 ) ( 2914100 3030080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3024640 ) ( 2914100 3024640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3019200 ) ( 2914100 3019200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3013760 ) ( 2914100 3013760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3008320 ) ( 2914100 3008320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3002880 ) ( 2914100 3002880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2997440 ) ( 2914100 2997440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2992000 ) ( 2914100 2992000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2986560 ) ( 2914100 2986560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2981120 ) ( 2914100 2981120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2975680 ) ( 2914100 2975680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2970240 ) ( 2914100 2970240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2964800 ) ( 2914100 2964800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2959360 ) ( 2914100 2959360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2953920 ) ( 2914100 2953920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2948480 ) ( 2914100 2948480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2943040 ) ( 2914100 2943040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2937600 ) ( 2914100 2937600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2932160 ) ( 2914100 2932160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2926720 ) ( 2914100 2926720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2921280 ) ( 2914100 2921280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2915840 ) ( 2914100 2915840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2910400 ) ( 2914100 2910400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2904960 ) ( 2914100 2904960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2899520 ) ( 2914100 2899520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2894080 ) ( 2914100 2894080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2888640 ) ( 2914100 2888640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2883200 ) ( 2914100 2883200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2877760 ) ( 2914100 2877760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2872320 ) ( 2914100 2872320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2866880 ) ( 2914100 2866880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2861440 ) ( 2914100 2861440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2856000 ) ( 2914100 2856000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2850560 ) ( 2914100 2850560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2845120 ) ( 2914100 2845120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2839680 ) ( 2914100 2839680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2834240 ) ( 2914100 2834240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2828800 ) ( 2914100 2828800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2823360 ) ( 2914100 2823360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2817920 ) ( 2914100 2817920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2812480 ) ( 2914100 2812480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2807040 ) ( 2914100 2807040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2801600 ) ( 2914100 2801600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2796160 ) ( 2914100 2796160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2790720 ) ( 2914100 2790720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2785280 ) ( 2914100 2785280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2779840 ) ( 2914100 2779840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2774400 ) ( 2914100 2774400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2768960 ) ( 2914100 2768960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2763520 ) ( 2914100 2763520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2758080 ) ( 2914100 2758080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2752640 ) ( 2914100 2752640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2747200 ) ( 2914100 2747200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2741760 ) ( 2914100 2741760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2736320 ) ( 2914100 2736320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2730880 ) ( 2914100 2730880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2725440 ) ( 2914100 2725440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2720000 ) ( 2914100 2720000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2714560 ) ( 2914100 2714560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2709120 ) ( 2914100 2709120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2703680 ) ( 2914100 2703680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2698240 ) ( 2914100 2698240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2692800 ) ( 2914100 2692800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2687360 ) ( 2914100 2687360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2681920 ) ( 2914100 2681920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2676480 ) ( 2914100 2676480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2671040 ) ( 2914100 2671040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2665600 ) ( 2914100 2665600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2660160 ) ( 2914100 2660160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2654720 ) ( 2914100 2654720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2649280 ) ( 2914100 2649280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2643840 ) ( 2914100 2643840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2638400 ) ( 2914100 2638400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2632960 ) ( 2914100 2632960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2627520 ) ( 2914100 2627520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2622080 ) ( 2914100 2622080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2616640 ) ( 2914100 2616640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2611200 ) ( 2914100 2611200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2605760 ) ( 2914100 2605760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2600320 ) ( 2914100 2600320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2594880 ) ( 2914100 2594880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2589440 ) ( 2914100 2589440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2584000 ) ( 2914100 2584000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2578560 ) ( 2914100 2578560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2573120 ) ( 2914100 2573120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2567680 ) ( 2914100 2567680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2562240 ) ( 2914100 2562240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2556800 ) ( 2914100 2556800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2551360 ) ( 2914100 2551360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2545920 ) ( 2914100 2545920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2540480 ) ( 2914100 2540480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2535040 ) ( 2914100 2535040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2529600 ) ( 2914100 2529600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2524160 ) ( 2914100 2524160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2518720 ) ( 2914100 2518720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2513280 ) ( 2914100 2513280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2507840 ) ( 2914100 2507840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2502400 ) ( 2914100 2502400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2496960 ) ( 2914100 2496960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2491520 ) ( 2914100 2491520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2486080 ) ( 2914100 2486080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2480640 ) ( 2914100 2480640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2475200 ) ( 2914100 2475200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2469760 ) ( 2914100 2469760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2464320 ) ( 2914100 2464320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2458880 ) ( 2914100 2458880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2453440 ) ( 2914100 2453440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2448000 ) ( 2914100 2448000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2442560 ) ( 2914100 2442560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2437120 ) ( 2914100 2437120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2431680 ) ( 2914100 2431680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2426240 ) ( 2914100 2426240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2420800 ) ( 2914100 2420800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2415360 ) ( 2914100 2415360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2409920 ) ( 2914100 2409920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2404480 ) ( 2914100 2404480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2399040 ) ( 2914100 2399040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2393600 ) ( 2914100 2393600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2388160 ) ( 2914100 2388160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2382720 ) ( 2914100 2382720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2377280 ) ( 2914100 2377280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2371840 ) ( 2914100 2371840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2366400 ) ( 2914100 2366400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2360960 ) ( 2914100 2360960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2355520 ) ( 2914100 2355520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2350080 ) ( 2914100 2350080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2344640 ) ( 2914100 2344640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2339200 ) ( 2914100 2339200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2333760 ) ( 2914100 2333760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2328320 ) ( 2914100 2328320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2322880 ) ( 2914100 2322880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2317440 ) ( 2914100 2317440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2312000 ) ( 2914100 2312000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2306560 ) ( 2914100 2306560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2301120 ) ( 2914100 2301120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2295680 ) ( 2914100 2295680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2290240 ) ( 2914100 2290240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2284800 ) ( 2914100 2284800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2279360 ) ( 2914100 2279360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2273920 ) ( 2914100 2273920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2268480 ) ( 2914100 2268480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2263040 ) ( 2914100 2263040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2257600 ) ( 2914100 2257600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2252160 ) ( 2914100 2252160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2246720 ) ( 2914100 2246720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2241280 ) ( 2914100 2241280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2235840 ) ( 2914100 2235840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2230400 ) ( 2914100 2230400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2224960 ) ( 2914100 2224960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2219520 ) ( 2914100 2219520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2214080 ) ( 2914100 2214080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2208640 ) ( 2914100 2208640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2203200 ) ( 2914100 2203200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2197760 ) ( 2914100 2197760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2192320 ) ( 2914100 2192320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2186880 ) ( 2914100 2186880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2181440 ) ( 2914100 2181440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2176000 ) ( 2914100 2176000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2170560 ) ( 2914100 2170560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2165120 ) ( 2914100 2165120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2159680 ) ( 2914100 2159680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2154240 ) ( 2914100 2154240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2148800 ) ( 2914100 2148800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2143360 ) ( 2914100 2143360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2137920 ) ( 2914100 2137920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2132480 ) ( 2914100 2132480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2127040 ) ( 2914100 2127040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2121600 ) ( 2914100 2121600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2116160 ) ( 2914100 2116160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2110720 ) ( 2914100 2110720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2105280 ) ( 2914100 2105280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2099840 ) ( 2914100 2099840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2094400 ) ( 2914100 2094400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2088960 ) ( 2914100 2088960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2083520 ) ( 2914100 2083520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2078080 ) ( 2914100 2078080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2072640 ) ( 2914100 2072640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2067200 ) ( 2914100 2067200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2061760 ) ( 2914100 2061760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2056320 ) ( 2914100 2056320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2050880 ) ( 2914100 2050880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2045440 ) ( 2914100 2045440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2040000 ) ( 2914100 2040000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2034560 ) ( 2914100 2034560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2029120 ) ( 2914100 2029120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2023680 ) ( 2914100 2023680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2018240 ) ( 2914100 2018240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2012800 ) ( 2914100 2012800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2007360 ) ( 2914100 2007360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2001920 ) ( 2914100 2001920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1996480 ) ( 2914100 1996480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1996480 ) ( 1165000 1996480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1991040 ) ( 2914100 1991040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1991040 ) ( 1165000 1991040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1985600 ) ( 2914100 1985600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1985600 ) ( 1165000 1985600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1980160 ) ( 2914100 1980160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1980160 ) ( 1165000 1980160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1974720 ) ( 2914100 1974720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1974720 ) ( 1165000 1974720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1969280 ) ( 2914100 1969280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1969280 ) ( 1165000 1969280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1963840 ) ( 2914100 1963840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1963840 ) ( 1165000 1963840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1958400 ) ( 2914100 1958400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1958400 ) ( 1165000 1958400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1952960 ) ( 2914100 1952960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1952960 ) ( 1165000 1952960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1947520 ) ( 2914100 1947520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1947520 ) ( 1165000 1947520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1942080 ) ( 2914100 1942080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1942080 ) ( 1165000 1942080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1936640 ) ( 2914100 1936640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1936640 ) ( 1165000 1936640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1931200 ) ( 2914100 1931200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1931200 ) ( 1165000 1931200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1925760 ) ( 2914100 1925760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1925760 ) ( 1165000 1925760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1920320 ) ( 2914100 1920320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1920320 ) ( 1165000 1920320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1914880 ) ( 2914100 1914880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1914880 ) ( 1165000 1914880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1909440 ) ( 2914100 1909440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1909440 ) ( 1165000 1909440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1904000 ) ( 2914100 1904000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1904000 ) ( 1165000 1904000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1898560 ) ( 2914100 1898560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1898560 ) ( 1165000 1898560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1893120 ) ( 2914100 1893120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1893120 ) ( 1165000 1893120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1887680 ) ( 2914100 1887680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1887680 ) ( 1165000 1887680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1882240 ) ( 2914100 1882240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1882240 ) ( 1165000 1882240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1876800 ) ( 2914100 1876800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1876800 ) ( 1165000 1876800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1871360 ) ( 2914100 1871360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1871360 ) ( 1165000 1871360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1865920 ) ( 2914100 1865920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1865920 ) ( 1165000 1865920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1860480 ) ( 2914100 1860480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1860480 ) ( 1165000 1860480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1855040 ) ( 2914100 1855040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1855040 ) ( 1165000 1855040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1849600 ) ( 2914100 1849600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1849600 ) ( 1165000 1849600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1844160 ) ( 2914100 1844160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1844160 ) ( 1165000 1844160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1838720 ) ( 2914100 1838720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1838720 ) ( 1165000 1838720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1833280 ) ( 2914100 1833280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1833280 ) ( 1165000 1833280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1827840 ) ( 2914100 1827840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1827840 ) ( 1165000 1827840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1822400 ) ( 2914100 1822400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1822400 ) ( 1165000 1822400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1816960 ) ( 2914100 1816960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1816960 ) ( 1165000 1816960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1811520 ) ( 2914100 1811520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1811520 ) ( 1165000 1811520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1806080 ) ( 2914100 1806080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1806080 ) ( 1165000 1806080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1800640 ) ( 2914100 1800640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1800640 ) ( 1165000 1800640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1795200 ) ( 2914100 1795200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1795200 ) ( 1165000 1795200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1789760 ) ( 2914100 1789760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1789760 ) ( 1165000 1789760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1784320 ) ( 2914100 1784320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1784320 ) ( 1165000 1784320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1778880 ) ( 2914100 1778880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1778880 ) ( 1165000 1778880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1773440 ) ( 2914100 1773440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1773440 ) ( 1165000 1773440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1768000 ) ( 2914100 1768000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1768000 ) ( 1165000 1768000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1762560 ) ( 2914100 1762560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1762560 ) ( 1165000 1762560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1757120 ) ( 2914100 1757120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1757120 ) ( 1165000 1757120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1751680 ) ( 2914100 1751680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1751680 ) ( 1165000 1751680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1746240 ) ( 2914100 1746240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1746240 ) ( 1165000 1746240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1740800 ) ( 2914100 1740800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1740800 ) ( 1165000 1740800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1735360 ) ( 2914100 1735360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1735360 ) ( 1165000 1735360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1729920 ) ( 2914100 1729920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1729920 ) ( 1165000 1729920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1724480 ) ( 2914100 1724480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1724480 ) ( 1165000 1724480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1719040 ) ( 2914100 1719040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1719040 ) ( 1165000 1719040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1713600 ) ( 2914100 1713600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1713600 ) ( 1165000 1713600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1708160 ) ( 2914100 1708160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1708160 ) ( 1165000 1708160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1702720 ) ( 2914100 1702720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1702720 ) ( 1165000 1702720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1697280 ) ( 2914100 1697280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1697280 ) ( 1165000 1697280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1691840 ) ( 2914100 1691840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1691840 ) ( 1165000 1691840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1686400 ) ( 2914100 1686400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1686400 ) ( 1165000 1686400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1680960 ) ( 2914100 1680960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1680960 ) ( 1165000 1680960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1675520 ) ( 2914100 1675520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1670080 ) ( 2914100 1670080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1664640 ) ( 2914100 1664640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1659200 ) ( 2914100 1659200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1653760 ) ( 2914100 1653760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1648320 ) ( 2914100 1648320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1642880 ) ( 2914100 1642880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1637440 ) ( 2914100 1637440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1632000 ) ( 2914100 1632000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1626560 ) ( 2914100 1626560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1621120 ) ( 2914100 1621120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1615680 ) ( 2914100 1615680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1610240 ) ( 2914100 1610240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1604800 ) ( 2914100 1604800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1599360 ) ( 2914100 1599360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1593920 ) ( 2914100 1593920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1588480 ) ( 2914100 1588480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1583040 ) ( 2914100 1583040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1577600 ) ( 2914100 1577600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1572160 ) ( 2914100 1572160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1566720 ) ( 2914100 1566720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1561280 ) ( 2914100 1561280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1555840 ) ( 2914100 1555840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1550400 ) ( 2914100 1550400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1544960 ) ( 2914100 1544960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1539520 ) ( 2914100 1539520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1534080 ) ( 2914100 1534080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1528640 ) ( 2914100 1528640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1523200 ) ( 2914100 1523200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1517760 ) ( 2914100 1517760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1512320 ) ( 2914100 1512320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1506880 ) ( 2914100 1506880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1501440 ) ( 2914100 1501440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1496000 ) ( 2914100 1496000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1490560 ) ( 2914100 1490560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1485120 ) ( 2914100 1485120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1479680 ) ( 2914100 1479680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1474240 ) ( 2914100 1474240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1468800 ) ( 2914100 1468800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1463360 ) ( 2914100 1463360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1457920 ) ( 2914100 1457920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1452480 ) ( 2914100 1452480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1447040 ) ( 2914100 1447040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1441600 ) ( 2914100 1441600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1436160 ) ( 2914100 1436160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1430720 ) ( 2914100 1430720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1425280 ) ( 2914100 1425280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1419840 ) ( 2914100 1419840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1414400 ) ( 2914100 1414400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1408960 ) ( 2914100 1408960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1403520 ) ( 2914100 1403520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1398080 ) ( 2914100 1398080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1392640 ) ( 2914100 1392640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1387200 ) ( 2914100 1387200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1381760 ) ( 2914100 1381760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1376320 ) ( 2914100 1376320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1370880 ) ( 2914100 1370880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1365440 ) ( 2914100 1365440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1360000 ) ( 2914100 1360000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1354560 ) ( 2914100 1354560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1349120 ) ( 2914100 1349120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1343680 ) ( 2914100 1343680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1338240 ) ( 2914100 1338240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1332800 ) ( 2914100 1332800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1327360 ) ( 2914100 1327360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1321920 ) ( 2914100 1321920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1316480 ) ( 2914100 1316480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1311040 ) ( 2914100 1311040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1305600 ) ( 2914100 1305600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1300160 ) ( 2914100 1300160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1294720 ) ( 2914100 1294720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1289280 ) ( 2914100 1289280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1283840 ) ( 2914100 1283840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1278400 ) ( 2914100 1278400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1272960 ) ( 2914100 1272960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1267520 ) ( 2914100 1267520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1262080 ) ( 2914100 1262080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1256640 ) ( 2914100 1256640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1251200 ) ( 2914100 1251200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1245760 ) ( 2914100 1245760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1240320 ) ( 2914100 1240320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1234880 ) ( 2914100 1234880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1229440 ) ( 2914100 1229440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1224000 ) ( 2914100 1224000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1218560 ) ( 2914100 1218560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1213120 ) ( 2914100 1213120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1207680 ) ( 2914100 1207680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1202240 ) ( 2914100 1202240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1196800 ) ( 2914100 1196800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1191360 ) ( 2914100 1191360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1185920 ) ( 2914100 1185920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1180480 ) ( 2914100 1180480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1175040 ) ( 2914100 1175040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1169600 ) ( 2914100 1169600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1164160 ) ( 2914100 1164160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1158720 ) ( 2914100 1158720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1153280 ) ( 2914100 1153280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1147840 ) ( 2914100 1147840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1142400 ) ( 2914100 1142400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1136960 ) ( 2914100 1136960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1131520 ) ( 2914100 1131520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1126080 ) ( 2914100 1126080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1120640 ) ( 2914100 1120640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1115200 ) ( 2914100 1115200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1109760 ) ( 2914100 1109760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1104320 ) ( 2914100 1104320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1098880 ) ( 2914100 1098880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1093440 ) ( 2914100 1093440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1088000 ) ( 2914100 1088000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1082560 ) ( 2914100 1082560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1077120 ) ( 2914100 1077120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1071680 ) ( 2914100 1071680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1066240 ) ( 2914100 1066240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1060800 ) ( 2914100 1060800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1055360 ) ( 2914100 1055360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1049920 ) ( 2914100 1049920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1044480 ) ( 2914100 1044480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1039040 ) ( 2914100 1039040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1033600 ) ( 2914100 1033600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1028160 ) ( 2914100 1028160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1022720 ) ( 2914100 1022720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1017280 ) ( 2914100 1017280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1011840 ) ( 2914100 1011840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1006400 ) ( 2914100 1006400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1000960 ) ( 2914100 1000960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 995520 ) ( 2914100 995520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 990080 ) ( 2914100 990080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 984640 ) ( 2914100 984640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 979200 ) ( 2914100 979200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 973760 ) ( 2914100 973760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 968320 ) ( 2914100 968320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 962880 ) ( 2914100 962880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 957440 ) ( 2914100 957440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 952000 ) ( 2914100 952000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 946560 ) ( 2914100 946560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 941120 ) ( 2914100 941120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 935680 ) ( 2914100 935680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 930240 ) ( 2914100 930240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 924800 ) ( 2914100 924800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 919360 ) ( 2914100 919360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 913920 ) ( 2914100 913920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 908480 ) ( 2914100 908480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 903040 ) ( 2914100 903040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 897600 ) ( 2914100 897600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 892160 ) ( 2914100 892160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 886720 ) ( 2914100 886720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 881280 ) ( 2914100 881280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 875840 ) ( 2914100 875840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 870400 ) ( 2914100 870400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 864960 ) ( 2914100 864960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 859520 ) ( 2914100 859520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 854080 ) ( 2914100 854080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 848640 ) ( 2914100 848640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 843200 ) ( 2914100 843200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 837760 ) ( 2914100 837760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 832320 ) ( 2914100 832320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 826880 ) ( 2914100 826880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 821440 ) ( 2914100 821440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 816000 ) ( 2914100 816000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 810560 ) ( 2914100 810560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 805120 ) ( 2914100 805120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 799680 ) ( 2914100 799680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 794240 ) ( 2914100 794240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 788800 ) ( 2914100 788800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 783360 ) ( 2914100 783360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 777920 ) ( 2914100 777920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 772480 ) ( 2914100 772480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 767040 ) ( 2914100 767040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 761600 ) ( 2914100 761600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 756160 ) ( 2914100 756160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 750720 ) ( 2914100 750720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 745280 ) ( 2914100 745280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 739840 ) ( 2914100 739840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 734400 ) ( 2914100 734400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 728960 ) ( 2914100 728960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 723520 ) ( 2914100 723520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 718080 ) ( 2914100 718080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 712640 ) ( 2914100 712640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 707200 ) ( 2914100 707200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 701760 ) ( 2914100 701760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 696320 ) ( 2914100 696320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 690880 ) ( 2914100 690880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 685440 ) ( 2914100 685440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 680000 ) ( 2914100 680000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 674560 ) ( 2914100 674560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 669120 ) ( 2914100 669120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 663680 ) ( 2914100 663680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 658240 ) ( 2914100 658240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 652800 ) ( 2914100 652800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 647360 ) ( 2914100 647360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 641920 ) ( 2914100 641920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 636480 ) ( 2914100 636480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 631040 ) ( 2914100 631040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 625600 ) ( 2914100 625600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 620160 ) ( 2914100 620160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 614720 ) ( 2914100 614720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 609280 ) ( 2914100 609280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 603840 ) ( 2914100 603840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 598400 ) ( 2914100 598400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 592960 ) ( 2914100 592960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 587520 ) ( 2914100 587520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 582080 ) ( 2914100 582080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 576640 ) ( 2914100 576640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 571200 ) ( 2914100 571200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 565760 ) ( 2914100 565760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 560320 ) ( 2914100 560320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 554880 ) ( 2914100 554880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 549440 ) ( 2914100 549440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 544000 ) ( 2914100 544000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 538560 ) ( 2914100 538560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 533120 ) ( 2914100 533120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 527680 ) ( 2914100 527680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 522240 ) ( 2914100 522240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 516800 ) ( 2914100 516800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 511360 ) ( 2914100 511360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 505920 ) ( 2914100 505920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 500480 ) ( 2914100 500480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 495040 ) ( 2914100 495040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 489600 ) ( 2914100 489600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 484160 ) ( 2914100 484160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 478720 ) ( 2914100 478720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 473280 ) ( 2914100 473280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 467840 ) ( 2914100 467840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 462400 ) ( 2914100 462400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 456960 ) ( 2914100 456960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 451520 ) ( 2914100 451520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 446080 ) ( 2914100 446080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 440640 ) ( 2914100 440640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 435200 ) ( 2914100 435200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 429760 ) ( 2914100 429760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 424320 ) ( 2914100 424320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 418880 ) ( 2914100 418880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 413440 ) ( 2914100 413440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 408000 ) ( 2914100 408000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 402560 ) ( 2914100 402560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 397120 ) ( 2914100 397120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 391680 ) ( 2914100 391680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 386240 ) ( 2914100 386240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 380800 ) ( 2914100 380800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 375360 ) ( 2914100 375360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 369920 ) ( 2914100 369920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 364480 ) ( 2914100 364480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 359040 ) ( 2914100 359040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 353600 ) ( 2914100 353600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 348160 ) ( 2914100 348160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 342720 ) ( 2914100 342720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 337280 ) ( 2914100 337280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 331840 ) ( 2914100 331840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 326400 ) ( 2914100 326400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 320960 ) ( 2914100 320960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 315520 ) ( 2914100 315520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 310080 ) ( 2914100 310080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 304640 ) ( 2914100 304640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 299200 ) ( 2914100 299200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 293760 ) ( 2914100 293760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 288320 ) ( 2914100 288320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 282880 ) ( 2914100 282880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 277440 ) ( 2914100 277440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 272000 ) ( 2914100 272000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 266560 ) ( 2914100 266560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 261120 ) ( 2914100 261120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 255680 ) ( 2914100 255680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 250240 ) ( 2914100 250240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 244800 ) ( 2914100 244800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 239360 ) ( 2914100 239360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 233920 ) ( 2914100 233920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 228480 ) ( 2914100 228480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 223040 ) ( 2914100 223040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 217600 ) ( 2914100 217600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 212160 ) ( 2914100 212160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 206720 ) ( 2914100 206720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 201280 ) ( 2914100 201280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 195840 ) ( 2914100 195840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 190400 ) ( 2914100 190400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 184960 ) ( 2914100 184960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 179520 ) ( 2914100 179520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 174080 ) ( 2914100 174080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 168640 ) ( 2914100 168640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 163200 ) ( 2914100 163200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 157760 ) ( 2914100 157760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 152320 ) ( 2914100 152320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 146880 ) ( 2914100 146880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 141440 ) ( 2914100 141440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 2914100 136000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 2914100 130560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 2914100 125120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 2914100 119680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 2914100 114240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 2914100 108800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 2914100 103360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 2914100 97920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 2914100 92480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 2914100 87040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 2914100 81600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 2914100 76160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 2914100 70720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 2914100 65280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 2914100 59840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 2914100 54400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 2914100 48960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 2914100 43520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 2914100 38080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 2914100 32640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 2914100 27200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 2914100 21760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 2914100 16320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 2914100 10880 ) 
+  + USE POWER ;
+- vssd1 ( PIN vssd1 ) 
+  + ROUTED met4 0 + SHAPE STRIPE ( 1427480 1905640 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1273880 1905640 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1427480 1725640 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1273880 1725640 ) via4_1600x3000 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3506080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3506080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3506080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3500640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3500640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3500640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3495200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3495200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3495200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3489760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3489760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3489760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3484320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3484320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3484320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3478880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3478880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3478880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3473440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3473440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3473440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3468000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3468000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3468000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3462560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3462560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3462560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3457120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3457120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3457120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3451680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3451680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3451680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3446240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3446240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3446240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3440800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3440800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3440800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3435360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3435360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3435360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3429920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3429920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3429920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3424480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3424480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3424480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3419040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3419040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3419040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3413600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3413600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3413600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3408160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3408160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3408160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3402720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3402720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3402720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3397280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3397280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3397280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3391840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3391840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3391840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3386400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3386400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3386400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3380960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3380960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3380960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3375520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3375520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3375520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3370080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3370080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3370080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3364640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3364640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3364640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3359200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3359200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3359200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3353760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3353760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3353760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3348320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3348320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3348320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3342880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3342880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3342880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3337440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3337440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3337440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3332000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3332000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3332000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3326560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3326560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3326560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3321120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3321120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3321120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3315680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3315680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3315680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3310240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3310240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3310240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3304800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3304800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3304800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3299360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3299360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3299360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3293920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3293920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3293920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3288480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3288480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3288480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3283040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3283040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3283040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3277600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3277600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3277600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3272160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3272160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3272160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3266720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3266720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3266720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3261280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3261280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3261280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3255840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3255840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3255840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3250400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3250400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3250400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3244960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3244960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3244960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3239520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3239520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3239520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3234080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3234080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3234080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3228640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3228640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3228640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3223200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3223200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3223200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3217760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3217760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3217760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3212320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3212320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3212320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3206880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3206880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3206880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3201440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3201440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3201440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3196000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3196000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3196000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3190560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3190560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3190560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3185120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3185120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3185120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3179680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3179680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3179680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3174240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3174240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3174240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3168800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3168800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3168800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3163360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3163360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3163360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3157920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3157920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3157920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3152480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3152480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3152480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3147040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3147040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3147040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3141600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3141600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3141600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3136160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3136160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3136160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3130720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3130720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3130720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3125280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3125280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3125280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3119840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3119840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3119840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3114400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3114400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3114400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3108960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3108960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3108960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3103520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3103520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3103520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3098080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3098080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3098080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3092640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3092640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3092640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3087200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3087200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3087200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3081760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3081760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3081760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3076320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3076320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3076320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3070880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3070880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3070880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3065440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3065440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3065440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3060000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3060000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3060000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3054560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3054560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3054560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3049120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3049120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3049120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3043680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3043680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3043680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3038240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3038240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3038240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3032800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3032800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3032800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3027360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3027360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3027360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3021920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3021920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3021920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3016480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3016480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3016480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3011040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3011040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3011040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3005600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3005600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3005600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 3000160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 3000160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 3000160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2994720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2994720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2994720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2989280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2989280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2989280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2983840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2983840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2983840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2978400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2978400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2978400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2972960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2972960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2972960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2967520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2967520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2967520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2962080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2962080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2962080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2956640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2956640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2956640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2951200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2951200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2951200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2945760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2945760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2945760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2940320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2940320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2940320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2934880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2934880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2934880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2929440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2929440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2929440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2924000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2924000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2924000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2918560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2918560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2918560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2913120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2913120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2913120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2907680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2907680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2907680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2902240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2902240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2902240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2896800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2896800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2896800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2891360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2891360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2891360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2885920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2885920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2885920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2880480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2880480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2880480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2875040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2875040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2875040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2869600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2869600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2869600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2864160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2864160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2864160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2858720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2858720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2858720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2853280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2853280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2853280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2847840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2847840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2847840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2842400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2842400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2842400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2836960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2836960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2836960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2831520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2831520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2831520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2826080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2826080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2826080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2820640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2820640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2820640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2815200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2815200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2815200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2809760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2809760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2809760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2804320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2804320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2804320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2798880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2798880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2798880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2793440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2793440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2793440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2788000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2788000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2788000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2782560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2782560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2782560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2777120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2777120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2777120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2771680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2771680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2771680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2766240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2766240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2766240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2760800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2760800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2760800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2755360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2755360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2755360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2749920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2749920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2749920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2744480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2744480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2744480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2739040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2739040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2739040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2733600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2733600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2733600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2728160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2728160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2728160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2722720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2722720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2722720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2717280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2717280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2717280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2711840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2711840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2711840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2706400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2706400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2706400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2700960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2700960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2700960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2695520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2695520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2695520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2690080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2690080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2690080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2684640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2684640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2684640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2679200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2679200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2679200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2673760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2673760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2673760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2668320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2668320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2668320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2662880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2662880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2662880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2657440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2657440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2657440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2652000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2652000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2652000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2646560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2646560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2646560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2641120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2641120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2641120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2635680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2635680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2635680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2630240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2630240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2630240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2624800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2624800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2624800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2619360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2619360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2619360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2613920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2613920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2613920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2608480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2608480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2608480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2603040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2603040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2603040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2597600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2597600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2597600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2592160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2592160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2592160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2586720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2586720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2586720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2581280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2581280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2581280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2575840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2575840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2575840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2570400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2570400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2570400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2564960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2564960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2564960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2559520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2559520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2559520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2554080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2554080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2554080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2548640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2548640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2548640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2543200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2543200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2543200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2537760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2537760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2537760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2532320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2532320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2532320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2526880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2526880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2526880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2521440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2521440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2521440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2516000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2516000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2516000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2510560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2510560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2510560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2505120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2505120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2505120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2499680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2499680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2499680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2494240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2494240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2494240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2488800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2488800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2488800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2483360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2483360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2483360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2477920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2477920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2477920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2472480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2472480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2472480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2467040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2467040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2467040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2461600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2461600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2461600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2456160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2456160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2456160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2450720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2450720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2450720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2445280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2445280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2445280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2439840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2439840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2439840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2434400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2434400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2434400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2428960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2428960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2428960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2423520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2423520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2423520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2418080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2418080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2418080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2412640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2412640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2412640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2407200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2407200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2407200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2401760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2401760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2401760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2396320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2396320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2396320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2390880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2390880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2390880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2385440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2385440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2385440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2380000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2380000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2380000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2374560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2374560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2374560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2369120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2369120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2369120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2363680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2363680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2363680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2358240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2358240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2358240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2352800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2352800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2352800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2347360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2347360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2347360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2341920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2341920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2341920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2336480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2336480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2336480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2331040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2331040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2331040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2325600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2325600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2325600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2320160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2320160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2320160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2314720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2314720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2314720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2309280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2309280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2309280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2303840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2303840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2303840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2298400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2298400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2298400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2292960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2292960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2292960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2287520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2287520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2287520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2282080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2282080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2282080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2276640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2276640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2276640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2271200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2271200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2271200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2265760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2265760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2265760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2260320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2260320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2260320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2254880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2254880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2254880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2249440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2249440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2249440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2244000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2244000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2244000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2238560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2238560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2238560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2233120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2233120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2233120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2227680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2227680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2227680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2222240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2222240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2222240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2216800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2216800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2216800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2211360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2211360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2211360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2205920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2205920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2205920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2200480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2200480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2200480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2195040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2195040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2195040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2189600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2189600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2189600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2184160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2184160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2184160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2178720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2178720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2178720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2173280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2173280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2173280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2167840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2167840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2167840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2162400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2162400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2162400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2156960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2156960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2156960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2151520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2151520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2151520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2146080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2146080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2146080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2140640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2140640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2140640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2135200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2135200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2135200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2129760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2129760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2129760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2124320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2124320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2124320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2118880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2118880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2118880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2113440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2113440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2113440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2108000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2108000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2108000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2102560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2102560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2102560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2097120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2097120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2097120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2091680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2091680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2091680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2086240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2086240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2086240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2080800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2080800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2080800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2075360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2075360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2075360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2069920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2069920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2069920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2064480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2064480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2064480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2059040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2059040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2059040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2053600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2053600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2053600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2048160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2048160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2048160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2042720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2042720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2042720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2037280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2037280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2037280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2031840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2031840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2031840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2026400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2026400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2026400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2020960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2020960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2020960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2015520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2015520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2015520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2010080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2010080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2010080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 2004640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 2004640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 2004640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1999200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1999200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1999200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1993760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1993760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1993760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1988320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1988320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1988320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1982880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1982880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1982880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1977440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1977440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1977440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1972000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1972000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1972000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1966560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1966560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1966560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1961120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1961120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1961120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1955680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1955680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1955680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1950240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1950240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1950240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1944800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1944800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1944800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1939360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1939360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1939360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1933920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1933920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1933920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1928480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1928480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1928480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1923040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1923040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1923040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1917600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1917600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1917600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1912160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1912160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1912160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1906720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1906720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1906720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1901280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1901280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1901280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1895840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1895840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1895840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1890400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1890400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1890400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1884960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1884960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1884960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1879520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1879520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1879520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1874080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1874080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1874080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1868640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1868640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1868640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1863200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1863200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1863200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1857760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1857760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1857760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1852320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1852320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1852320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1846880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1846880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1846880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1841440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1841440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1841440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1836000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1836000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1836000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1830560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1830560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1830560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1825120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1825120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1825120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1819680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1819680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1819680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1814240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1814240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1814240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1808800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1808800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1808800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1803360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1803360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1803360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1797920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1797920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1797920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1792480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1792480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1792480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1787040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1787040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1787040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1781600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1781600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1781600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1776160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1776160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1776160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1770720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1770720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1770720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1765280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1765280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1765280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1759840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1759840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1759840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1754400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1754400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1754400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1748960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1748960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1748960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1743520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1743520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1743520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1738080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1738080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1738080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1732640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1732640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1732640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1727200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1727200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1727200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1721760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1721760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1721760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1716320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1716320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1716320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1710880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1710880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1710880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1705440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1705440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1705440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1700000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1700000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1700000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1694560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1694560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1694560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1689120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1689120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1689120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1683680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1683680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1683680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1678240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1678240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1678240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1672800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1672800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1672800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1667360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1667360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1667360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1661920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1661920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1661920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1656480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1656480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1656480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1651040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1651040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1651040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1645600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1645600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1645600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1640160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1640160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1640160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1634720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1634720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1634720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1629280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1629280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1629280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1623840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1623840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1623840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1618400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1618400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1618400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1612960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1612960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1612960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1607520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1607520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1607520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1602080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1602080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1602080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1596640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1596640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1596640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1591200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1591200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1591200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1585760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1585760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1585760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1580320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1580320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1580320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1574880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1574880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1574880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1569440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1569440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1569440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1564000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1564000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1564000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1558560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1558560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1558560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1553120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1553120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1553120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1547680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1547680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1547680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1542240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1542240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1542240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1536800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1536800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1536800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1531360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1531360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1531360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1525920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1525920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1525920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1520480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1520480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1520480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1515040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1515040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1515040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1509600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1509600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1509600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1504160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1504160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1504160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1498720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1498720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1498720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1493280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1493280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1493280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1487840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1487840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1487840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1482400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1482400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1482400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1476960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1476960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1476960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1471520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1471520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1471520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1466080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1466080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1466080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1460640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1460640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1460640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1455200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1455200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1455200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1449760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1449760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1449760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1444320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1444320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1444320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1438880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1438880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1438880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1433440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1433440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1433440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1428000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1428000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1428000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1422560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1422560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1422560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1417120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1417120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1417120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1411680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1411680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1411680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1406240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1406240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1406240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1400800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1400800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1400800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1395360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1395360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1395360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1389920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1389920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1389920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1384480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1384480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1384480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1379040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1379040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1379040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1373600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1373600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1373600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1368160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1368160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1368160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1362720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1362720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1362720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1357280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1357280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1357280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1351840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1351840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1351840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1346400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1346400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1346400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1340960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1340960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1340960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1335520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1335520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1335520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1330080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1330080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1330080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1324640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1324640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1324640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1319200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1319200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1319200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1313760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1313760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1313760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1308320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1308320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1308320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1302880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1302880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1302880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1297440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1297440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1297440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1292000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1292000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1292000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1286560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1286560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1286560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1281120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1281120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1281120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1275680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1275680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1275680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1270240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1270240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1270240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1264800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1264800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1264800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1259360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1259360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1259360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1253920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1253920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1253920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1248480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1248480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1248480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1243040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1243040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1243040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1237600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1237600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1237600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1232160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1232160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1232160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1226720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1226720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1226720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1221280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1221280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1221280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1215840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1215840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1215840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1210400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1210400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1210400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1204960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1204960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1204960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1199520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1199520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1199520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1194080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1194080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1194080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1188640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1188640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1188640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1183200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1183200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1183200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1177760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1177760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1177760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1172320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1172320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1172320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1166880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1166880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1166880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1161440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1161440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1161440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1156000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1156000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1156000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1150560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1150560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1150560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1145120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1145120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1145120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1139680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1139680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1139680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1134240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1134240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1134240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1128800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1128800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1128800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1123360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1123360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1123360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1117920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1117920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1117920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1112480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1112480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1112480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1107040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1107040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1107040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1101600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1101600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1101600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1096160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1096160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1096160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1090720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1090720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1090720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1085280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1085280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1085280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1079840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1079840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1079840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1074400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1074400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1074400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1068960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1068960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1068960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1063520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1063520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1063520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1058080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1058080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1058080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1052640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1052640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1052640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1047200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1047200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1047200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1041760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1041760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1041760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1036320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1036320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1036320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1030880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1030880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1030880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1025440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1025440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1025440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1020000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1020000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1020000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1014560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1014560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1014560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1009120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1009120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1009120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 1003680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 1003680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 1003680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 998240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 998240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 998240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 992800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 992800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 992800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 987360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 987360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 987360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 981920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 981920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 981920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 976480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 976480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 976480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 971040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 971040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 971040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 965600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 965600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 965600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 960160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 960160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 960160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 954720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 954720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 954720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 949280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 949280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 949280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 943840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 943840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 943840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 938400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 938400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 938400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 932960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 932960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 932960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 927520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 927520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 927520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 922080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 922080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 922080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 916640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 916640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 916640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 911200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 911200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 911200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 905760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 905760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 905760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 900320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 900320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 900320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 894880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 894880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 894880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 889440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 889440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 889440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 884000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 884000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 884000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 878560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 878560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 878560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 873120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 873120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 873120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 867680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 867680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 867680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 862240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 862240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 862240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 856800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 856800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 856800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 851360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 851360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 851360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 845920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 845920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 845920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 840480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 840480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 840480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 835040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 835040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 835040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 829600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 829600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 829600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 824160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 824160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 824160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 818720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 818720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 818720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 813280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 813280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 813280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 807840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 807840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 807840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 802400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 802400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 802400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 796960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 796960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 796960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 791520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 791520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 791520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 786080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 786080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 786080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 780640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 780640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 780640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 775200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 775200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 775200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 769760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 769760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 769760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 764320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 764320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 764320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 758880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 758880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 758880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 753440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 753440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 753440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 748000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 748000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 748000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 742560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 742560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 742560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 737120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 737120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 737120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 731680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 731680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 731680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 726240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 726240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 726240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 720800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 720800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 720800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 715360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 715360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 715360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 709920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 709920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 709920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 704480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 704480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 704480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 699040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 699040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 699040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 693600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 693600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 693600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 688160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 688160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 688160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 682720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 682720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 682720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 677280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 677280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 677280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 671840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 671840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 671840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 666400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 666400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 666400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 660960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 660960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 660960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 655520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 655520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 655520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 650080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 650080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 650080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 644640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 644640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 644640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 639200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 639200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 639200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 633760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 633760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 633760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 628320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 628320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 628320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 622880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 622880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 622880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 617440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 617440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 617440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 612000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 612000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 612000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 606560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 606560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 606560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 601120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 601120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 601120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 595680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 595680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 595680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 590240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 590240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 590240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 584800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 584800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 584800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 579360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 579360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 579360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 573920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 573920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 573920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 568480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 568480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 568480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 563040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 563040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 563040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 557600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 557600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 557600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 552160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 552160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 552160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 546720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 546720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 546720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 541280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 541280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 541280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 535840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 535840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 535840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 530400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 530400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 530400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 524960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 524960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 524960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 519520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 519520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 519520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 514080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 514080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 514080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 508640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 508640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 508640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 503200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 503200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 503200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 497760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 497760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 497760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 492320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 492320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 492320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 486880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 486880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 486880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 481440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 481440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 481440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 476000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 476000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 476000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 470560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 470560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 470560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 465120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 465120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 465120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 459680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 459680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 459680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 454240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 454240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 454240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 448800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 448800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 448800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 443360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 443360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 443360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 437920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 437920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 437920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 432480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 432480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 432480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 427040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 427040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 427040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 421600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 421600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 421600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 416160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 416160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 416160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 410720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 410720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 410720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 405280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 405280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 405280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 399840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 399840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 399840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 394400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 394400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 394400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 388960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 388960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 388960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 383520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 383520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 383520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 378080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 378080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 378080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 372640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 372640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 372640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 367200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 367200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 367200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 361760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 361760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 361760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 356320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 356320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 356320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 350880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 350880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 350880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 345440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 345440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 345440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 340000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 340000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 340000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 334560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 334560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 334560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 329120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 329120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 329120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 323680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 323680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 323680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 318240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 318240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 318240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 312800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 312800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 312800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 307360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 307360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 307360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 301920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 301920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 301920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 296480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 296480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 296480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 291040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 291040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 291040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 285600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 285600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 285600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 280160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 280160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 280160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 274720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 274720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 274720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 269280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 269280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 269280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 263840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 263840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 263840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 258400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 258400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 258400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 252960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 252960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 252960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 247520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 247520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 247520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 242080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 242080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 242080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 236640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 236640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 236640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 231200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 231200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 231200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 225760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 225760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 225760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 220320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 220320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 220320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 214880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 214880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 214880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 209440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 209440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 209440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 204000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 204000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 204000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 198560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 198560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 198560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 193120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 193120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 193120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 187680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 187680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 187680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 182240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 182240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 182240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 176800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 176800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 176800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 171360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 171360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 171360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 165920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 165920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 165920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 160480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 160480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 160480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 155040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 155040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 155040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 149600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 149600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 149600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 144160 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 144160 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 144160 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 138720 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 138720 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 138720 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 133280 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 133280 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 133280 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 127840 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 127840 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 127840 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 122400 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 122400 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 122400 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 116960 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 116960 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 116960 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 111520 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 111520 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 111520 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 106080 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 106080 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 106080 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 100640 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 100640 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 100640 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 95200 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 95200 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 95200 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 89760 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 89760 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 89760 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 84320 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 84320 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 84320 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 78880 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 78880 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 78880 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 73440 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 73440 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 73440 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 68000 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 68000 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 68000 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 62560 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 62560 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 62560 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 57120 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 57120 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 57120 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 51680 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 51680 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 51680 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 46240 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 46240 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 46240 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 40800 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 40800 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 40800 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 35360 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 35360 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 35360 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 29920 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 29920 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 29920 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 24480 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 24480 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 24480 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 19040 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 19040 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 19040 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2800520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2800520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2800520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2620520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2620520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2620520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2440520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2440520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2440520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2260520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2260520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2260520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 2080520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 2080520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 2080520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1900520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1900520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1900520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1720520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1720520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1720520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1540520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1540520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1540520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1360520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1360520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1360520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1180520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1180520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1180520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 1000520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 1000520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 1000520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 820520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 820520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 820520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 640520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 640520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 640520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 460520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 460520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 460520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 280520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 280520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 280520 13600 ) via_3000x480 
+    NEW met3 0 + SHAPE STRIPE ( 100520 13600 ) via3_3000x480 
+    NEW met2 0 + SHAPE STRIPE ( 100520 13600 ) via2_3000x480 
+    NEW met1 0 + SHAPE STRIPE ( 100520 13600 ) via_3000x480 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 3527500 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 3345640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 3165640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 2985640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 2805640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 2625640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 2445640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 2265640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 2085640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 1905640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 1725640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 1545640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 1365640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 1185640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 1005640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 825640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 645640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 465640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 285640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 105640 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2932800 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2800520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2620520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2440520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2260520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2080520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1900520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1720520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1540520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1360520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1180520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1000520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 820520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 640520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 460520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 280520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 100520 -7820 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -13180 -7820 ) via4_3000x3000 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 3527500 ) ( 2934300 3527500 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 3345640 ) ( 2934300 3345640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 3165640 ) ( 2934300 3165640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 2985640 ) ( 2934300 2985640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 2805640 ) ( 2934300 2805640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 2625640 ) ( 2934300 2625640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 2445640 ) ( 2934300 2445640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 2265640 ) ( 2934300 2265640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 2085640 ) ( 2934300 2085640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1905640 ) ( 2934300 1905640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1725640 ) ( 2934300 1725640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1545640 ) ( 2934300 1545640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1365640 ) ( 2934300 1365640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1185640 ) ( 2934300 1185640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 1005640 ) ( 2934300 1005640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 825640 ) ( 2934300 825640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 645640 ) ( 2934300 645640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 465640 ) ( 2934300 465640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 285640 ) ( 2934300 285640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 105640 ) ( 2934300 105640 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -14680 -7820 ) ( 2934300 -7820 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2932800 -9320 ) ( 2932800 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2800520 -9320 ) ( 2800520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2620520 -9320 ) ( 2620520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2440520 -9320 ) ( 2440520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2260520 -9320 ) ( 2260520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2080520 -9320 ) ( 2080520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1900520 -9320 ) ( 1900520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1720520 -9320 ) ( 1720520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1540520 -9320 ) ( 1540520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1360520 1999760 ) ( 1360520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1180520 1999760 ) ( 1180520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1000520 -9320 ) ( 1000520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 820520 -9320 ) ( 820520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 640520 -9320 ) ( 640520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 460520 -9320 ) ( 460520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 280520 -9320 ) ( 280520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 100520 -9320 ) ( 100520 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( -13180 -9320 ) ( -13180 3529000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1360520 -9320 ) ( 1360520 1680240 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1180520 -9320 ) ( 1180520 1680240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3506080 ) ( 2914100 3506080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3500640 ) ( 2914100 3500640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3495200 ) ( 2914100 3495200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3489760 ) ( 2914100 3489760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3484320 ) ( 2914100 3484320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3478880 ) ( 2914100 3478880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3473440 ) ( 2914100 3473440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3468000 ) ( 2914100 3468000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3462560 ) ( 2914100 3462560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3457120 ) ( 2914100 3457120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3451680 ) ( 2914100 3451680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3446240 ) ( 2914100 3446240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3440800 ) ( 2914100 3440800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3435360 ) ( 2914100 3435360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3429920 ) ( 2914100 3429920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3424480 ) ( 2914100 3424480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3419040 ) ( 2914100 3419040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3413600 ) ( 2914100 3413600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3408160 ) ( 2914100 3408160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3402720 ) ( 2914100 3402720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3397280 ) ( 2914100 3397280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3391840 ) ( 2914100 3391840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3386400 ) ( 2914100 3386400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3380960 ) ( 2914100 3380960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3375520 ) ( 2914100 3375520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3370080 ) ( 2914100 3370080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3364640 ) ( 2914100 3364640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3359200 ) ( 2914100 3359200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3353760 ) ( 2914100 3353760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3348320 ) ( 2914100 3348320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3342880 ) ( 2914100 3342880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3337440 ) ( 2914100 3337440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3332000 ) ( 2914100 3332000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3326560 ) ( 2914100 3326560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3321120 ) ( 2914100 3321120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3315680 ) ( 2914100 3315680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3310240 ) ( 2914100 3310240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3304800 ) ( 2914100 3304800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3299360 ) ( 2914100 3299360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3293920 ) ( 2914100 3293920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3288480 ) ( 2914100 3288480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3283040 ) ( 2914100 3283040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3277600 ) ( 2914100 3277600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3272160 ) ( 2914100 3272160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3266720 ) ( 2914100 3266720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3261280 ) ( 2914100 3261280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3255840 ) ( 2914100 3255840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3250400 ) ( 2914100 3250400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3244960 ) ( 2914100 3244960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3239520 ) ( 2914100 3239520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3234080 ) ( 2914100 3234080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3228640 ) ( 2914100 3228640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3223200 ) ( 2914100 3223200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3217760 ) ( 2914100 3217760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3212320 ) ( 2914100 3212320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3206880 ) ( 2914100 3206880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3201440 ) ( 2914100 3201440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3196000 ) ( 2914100 3196000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3190560 ) ( 2914100 3190560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3185120 ) ( 2914100 3185120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3179680 ) ( 2914100 3179680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3174240 ) ( 2914100 3174240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3168800 ) ( 2914100 3168800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3163360 ) ( 2914100 3163360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3157920 ) ( 2914100 3157920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3152480 ) ( 2914100 3152480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3147040 ) ( 2914100 3147040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3141600 ) ( 2914100 3141600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3136160 ) ( 2914100 3136160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3130720 ) ( 2914100 3130720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3125280 ) ( 2914100 3125280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3119840 ) ( 2914100 3119840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3114400 ) ( 2914100 3114400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3108960 ) ( 2914100 3108960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3103520 ) ( 2914100 3103520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3098080 ) ( 2914100 3098080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3092640 ) ( 2914100 3092640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3087200 ) ( 2914100 3087200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3081760 ) ( 2914100 3081760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3076320 ) ( 2914100 3076320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3070880 ) ( 2914100 3070880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3065440 ) ( 2914100 3065440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3060000 ) ( 2914100 3060000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3054560 ) ( 2914100 3054560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3049120 ) ( 2914100 3049120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3043680 ) ( 2914100 3043680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3038240 ) ( 2914100 3038240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3032800 ) ( 2914100 3032800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3027360 ) ( 2914100 3027360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3021920 ) ( 2914100 3021920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3016480 ) ( 2914100 3016480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3011040 ) ( 2914100 3011040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3005600 ) ( 2914100 3005600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 3000160 ) ( 2914100 3000160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2994720 ) ( 2914100 2994720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2989280 ) ( 2914100 2989280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2983840 ) ( 2914100 2983840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2978400 ) ( 2914100 2978400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2972960 ) ( 2914100 2972960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2967520 ) ( 2914100 2967520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2962080 ) ( 2914100 2962080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2956640 ) ( 2914100 2956640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2951200 ) ( 2914100 2951200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2945760 ) ( 2914100 2945760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2940320 ) ( 2914100 2940320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2934880 ) ( 2914100 2934880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2929440 ) ( 2914100 2929440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2924000 ) ( 2914100 2924000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2918560 ) ( 2914100 2918560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2913120 ) ( 2914100 2913120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2907680 ) ( 2914100 2907680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2902240 ) ( 2914100 2902240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2896800 ) ( 2914100 2896800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2891360 ) ( 2914100 2891360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2885920 ) ( 2914100 2885920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2880480 ) ( 2914100 2880480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2875040 ) ( 2914100 2875040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2869600 ) ( 2914100 2869600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2864160 ) ( 2914100 2864160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2858720 ) ( 2914100 2858720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2853280 ) ( 2914100 2853280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2847840 ) ( 2914100 2847840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2842400 ) ( 2914100 2842400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2836960 ) ( 2914100 2836960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2831520 ) ( 2914100 2831520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2826080 ) ( 2914100 2826080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2820640 ) ( 2914100 2820640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2815200 ) ( 2914100 2815200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2809760 ) ( 2914100 2809760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2804320 ) ( 2914100 2804320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2798880 ) ( 2914100 2798880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2793440 ) ( 2914100 2793440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2788000 ) ( 2914100 2788000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2782560 ) ( 2914100 2782560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2777120 ) ( 2914100 2777120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2771680 ) ( 2914100 2771680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2766240 ) ( 2914100 2766240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2760800 ) ( 2914100 2760800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2755360 ) ( 2914100 2755360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2749920 ) ( 2914100 2749920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2744480 ) ( 2914100 2744480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2739040 ) ( 2914100 2739040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2733600 ) ( 2914100 2733600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2728160 ) ( 2914100 2728160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2722720 ) ( 2914100 2722720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2717280 ) ( 2914100 2717280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2711840 ) ( 2914100 2711840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2706400 ) ( 2914100 2706400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2700960 ) ( 2914100 2700960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2695520 ) ( 2914100 2695520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2690080 ) ( 2914100 2690080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2684640 ) ( 2914100 2684640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2679200 ) ( 2914100 2679200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2673760 ) ( 2914100 2673760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2668320 ) ( 2914100 2668320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2662880 ) ( 2914100 2662880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2657440 ) ( 2914100 2657440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2652000 ) ( 2914100 2652000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2646560 ) ( 2914100 2646560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2641120 ) ( 2914100 2641120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2635680 ) ( 2914100 2635680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2630240 ) ( 2914100 2630240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2624800 ) ( 2914100 2624800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2619360 ) ( 2914100 2619360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2613920 ) ( 2914100 2613920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2608480 ) ( 2914100 2608480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2603040 ) ( 2914100 2603040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2597600 ) ( 2914100 2597600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2592160 ) ( 2914100 2592160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2586720 ) ( 2914100 2586720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2581280 ) ( 2914100 2581280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2575840 ) ( 2914100 2575840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2570400 ) ( 2914100 2570400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2564960 ) ( 2914100 2564960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2559520 ) ( 2914100 2559520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2554080 ) ( 2914100 2554080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2548640 ) ( 2914100 2548640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2543200 ) ( 2914100 2543200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2537760 ) ( 2914100 2537760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2532320 ) ( 2914100 2532320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2526880 ) ( 2914100 2526880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2521440 ) ( 2914100 2521440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2516000 ) ( 2914100 2516000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2510560 ) ( 2914100 2510560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2505120 ) ( 2914100 2505120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2499680 ) ( 2914100 2499680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2494240 ) ( 2914100 2494240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2488800 ) ( 2914100 2488800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2483360 ) ( 2914100 2483360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2477920 ) ( 2914100 2477920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2472480 ) ( 2914100 2472480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2467040 ) ( 2914100 2467040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2461600 ) ( 2914100 2461600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2456160 ) ( 2914100 2456160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2450720 ) ( 2914100 2450720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2445280 ) ( 2914100 2445280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2439840 ) ( 2914100 2439840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2434400 ) ( 2914100 2434400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2428960 ) ( 2914100 2428960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2423520 ) ( 2914100 2423520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2418080 ) ( 2914100 2418080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2412640 ) ( 2914100 2412640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2407200 ) ( 2914100 2407200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2401760 ) ( 2914100 2401760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2396320 ) ( 2914100 2396320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2390880 ) ( 2914100 2390880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2385440 ) ( 2914100 2385440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2380000 ) ( 2914100 2380000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2374560 ) ( 2914100 2374560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2369120 ) ( 2914100 2369120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2363680 ) ( 2914100 2363680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2358240 ) ( 2914100 2358240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2352800 ) ( 2914100 2352800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2347360 ) ( 2914100 2347360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2341920 ) ( 2914100 2341920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2336480 ) ( 2914100 2336480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2331040 ) ( 2914100 2331040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2325600 ) ( 2914100 2325600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2320160 ) ( 2914100 2320160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2314720 ) ( 2914100 2314720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2309280 ) ( 2914100 2309280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2303840 ) ( 2914100 2303840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2298400 ) ( 2914100 2298400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2292960 ) ( 2914100 2292960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2287520 ) ( 2914100 2287520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2282080 ) ( 2914100 2282080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2276640 ) ( 2914100 2276640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2271200 ) ( 2914100 2271200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2265760 ) ( 2914100 2265760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2260320 ) ( 2914100 2260320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2254880 ) ( 2914100 2254880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2249440 ) ( 2914100 2249440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2244000 ) ( 2914100 2244000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2238560 ) ( 2914100 2238560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2233120 ) ( 2914100 2233120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2227680 ) ( 2914100 2227680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2222240 ) ( 2914100 2222240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2216800 ) ( 2914100 2216800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2211360 ) ( 2914100 2211360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2205920 ) ( 2914100 2205920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2200480 ) ( 2914100 2200480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2195040 ) ( 2914100 2195040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2189600 ) ( 2914100 2189600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2184160 ) ( 2914100 2184160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2178720 ) ( 2914100 2178720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2173280 ) ( 2914100 2173280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2167840 ) ( 2914100 2167840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2162400 ) ( 2914100 2162400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2156960 ) ( 2914100 2156960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2151520 ) ( 2914100 2151520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2146080 ) ( 2914100 2146080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2140640 ) ( 2914100 2140640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2135200 ) ( 2914100 2135200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2129760 ) ( 2914100 2129760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2124320 ) ( 2914100 2124320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2118880 ) ( 2914100 2118880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2113440 ) ( 2914100 2113440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2108000 ) ( 2914100 2108000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2102560 ) ( 2914100 2102560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2097120 ) ( 2914100 2097120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2091680 ) ( 2914100 2091680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2086240 ) ( 2914100 2086240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2080800 ) ( 2914100 2080800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2075360 ) ( 2914100 2075360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2069920 ) ( 2914100 2069920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2064480 ) ( 2914100 2064480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2059040 ) ( 2914100 2059040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2053600 ) ( 2914100 2053600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2048160 ) ( 2914100 2048160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2042720 ) ( 2914100 2042720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2037280 ) ( 2914100 2037280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2031840 ) ( 2914100 2031840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2026400 ) ( 2914100 2026400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2020960 ) ( 2914100 2020960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2015520 ) ( 2914100 2015520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2010080 ) ( 2914100 2010080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 2004640 ) ( 2914100 2004640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1999200 ) ( 2914100 1999200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1999200 ) ( 1165000 1999200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1993760 ) ( 2914100 1993760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1993760 ) ( 1165000 1993760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1988320 ) ( 2914100 1988320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1988320 ) ( 1165000 1988320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1982880 ) ( 2914100 1982880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1982880 ) ( 1165000 1982880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1977440 ) ( 2914100 1977440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1977440 ) ( 1165000 1977440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1972000 ) ( 2914100 1972000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1972000 ) ( 1165000 1972000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1966560 ) ( 2914100 1966560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1966560 ) ( 1165000 1966560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1961120 ) ( 2914100 1961120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1961120 ) ( 1165000 1961120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1955680 ) ( 2914100 1955680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1955680 ) ( 1165000 1955680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1950240 ) ( 2914100 1950240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1950240 ) ( 1165000 1950240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1944800 ) ( 2914100 1944800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1944800 ) ( 1165000 1944800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1939360 ) ( 2914100 1939360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1939360 ) ( 1165000 1939360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1933920 ) ( 2914100 1933920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1933920 ) ( 1165000 1933920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1928480 ) ( 2914100 1928480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1928480 ) ( 1165000 1928480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1923040 ) ( 2914100 1923040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1923040 ) ( 1165000 1923040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1917600 ) ( 2914100 1917600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1917600 ) ( 1165000 1917600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1912160 ) ( 2914100 1912160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1912160 ) ( 1165000 1912160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1906720 ) ( 2914100 1906720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1906720 ) ( 1165000 1906720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1901280 ) ( 2914100 1901280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1901280 ) ( 1165000 1901280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1895840 ) ( 2914100 1895840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1895840 ) ( 1165000 1895840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1890400 ) ( 2914100 1890400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1890400 ) ( 1165000 1890400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1884960 ) ( 2914100 1884960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1884960 ) ( 1165000 1884960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1879520 ) ( 2914100 1879520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1879520 ) ( 1165000 1879520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1874080 ) ( 2914100 1874080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1874080 ) ( 1165000 1874080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1868640 ) ( 2914100 1868640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1868640 ) ( 1165000 1868640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1863200 ) ( 2914100 1863200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1863200 ) ( 1165000 1863200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1857760 ) ( 2914100 1857760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1857760 ) ( 1165000 1857760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1852320 ) ( 2914100 1852320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1852320 ) ( 1165000 1852320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1846880 ) ( 2914100 1846880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1846880 ) ( 1165000 1846880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1841440 ) ( 2914100 1841440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1841440 ) ( 1165000 1841440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1836000 ) ( 2914100 1836000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1836000 ) ( 1165000 1836000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1830560 ) ( 2914100 1830560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1830560 ) ( 1165000 1830560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1825120 ) ( 2914100 1825120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1825120 ) ( 1165000 1825120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1819680 ) ( 2914100 1819680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1819680 ) ( 1165000 1819680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1814240 ) ( 2914100 1814240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1814240 ) ( 1165000 1814240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1808800 ) ( 2914100 1808800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1808800 ) ( 1165000 1808800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1803360 ) ( 2914100 1803360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1803360 ) ( 1165000 1803360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1797920 ) ( 2914100 1797920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1797920 ) ( 1165000 1797920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1792480 ) ( 2914100 1792480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1792480 ) ( 1165000 1792480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1787040 ) ( 2914100 1787040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1787040 ) ( 1165000 1787040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1781600 ) ( 2914100 1781600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1781600 ) ( 1165000 1781600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1776160 ) ( 2914100 1776160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1776160 ) ( 1165000 1776160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1770720 ) ( 2914100 1770720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1770720 ) ( 1165000 1770720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1765280 ) ( 2914100 1765280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1765280 ) ( 1165000 1765280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1759840 ) ( 2914100 1759840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1759840 ) ( 1165000 1759840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1754400 ) ( 2914100 1754400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1754400 ) ( 1165000 1754400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1748960 ) ( 2914100 1748960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1748960 ) ( 1165000 1748960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1743520 ) ( 2914100 1743520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1743520 ) ( 1165000 1743520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1738080 ) ( 2914100 1738080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1738080 ) ( 1165000 1738080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1732640 ) ( 2914100 1732640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1732640 ) ( 1165000 1732640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1727200 ) ( 2914100 1727200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1727200 ) ( 1165000 1727200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1721760 ) ( 2914100 1721760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1721760 ) ( 1165000 1721760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1716320 ) ( 2914100 1716320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1716320 ) ( 1165000 1716320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1710880 ) ( 2914100 1710880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1710880 ) ( 1165000 1710880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1705440 ) ( 2914100 1705440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1705440 ) ( 1165000 1705440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1700000 ) ( 2914100 1700000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1700000 ) ( 1165000 1700000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1694560 ) ( 2914100 1694560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1694560 ) ( 1165000 1694560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1689120 ) ( 2914100 1689120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1689120 ) ( 1165000 1689120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 1485000 1683680 ) ( 2914100 1683680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1683680 ) ( 1165000 1683680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1678240 ) ( 2914100 1678240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1672800 ) ( 2914100 1672800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1667360 ) ( 2914100 1667360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1661920 ) ( 2914100 1661920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1656480 ) ( 2914100 1656480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1651040 ) ( 2914100 1651040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1645600 ) ( 2914100 1645600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1640160 ) ( 2914100 1640160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1634720 ) ( 2914100 1634720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1629280 ) ( 2914100 1629280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1623840 ) ( 2914100 1623840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1618400 ) ( 2914100 1618400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1612960 ) ( 2914100 1612960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1607520 ) ( 2914100 1607520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1602080 ) ( 2914100 1602080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1596640 ) ( 2914100 1596640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1591200 ) ( 2914100 1591200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1585760 ) ( 2914100 1585760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1580320 ) ( 2914100 1580320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1574880 ) ( 2914100 1574880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1569440 ) ( 2914100 1569440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1564000 ) ( 2914100 1564000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1558560 ) ( 2914100 1558560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1553120 ) ( 2914100 1553120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1547680 ) ( 2914100 1547680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1542240 ) ( 2914100 1542240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1536800 ) ( 2914100 1536800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1531360 ) ( 2914100 1531360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1525920 ) ( 2914100 1525920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1520480 ) ( 2914100 1520480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1515040 ) ( 2914100 1515040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1509600 ) ( 2914100 1509600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1504160 ) ( 2914100 1504160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1498720 ) ( 2914100 1498720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1493280 ) ( 2914100 1493280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1487840 ) ( 2914100 1487840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1482400 ) ( 2914100 1482400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1476960 ) ( 2914100 1476960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1471520 ) ( 2914100 1471520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1466080 ) ( 2914100 1466080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1460640 ) ( 2914100 1460640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1455200 ) ( 2914100 1455200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1449760 ) ( 2914100 1449760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1444320 ) ( 2914100 1444320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1438880 ) ( 2914100 1438880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1433440 ) ( 2914100 1433440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1428000 ) ( 2914100 1428000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1422560 ) ( 2914100 1422560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1417120 ) ( 2914100 1417120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1411680 ) ( 2914100 1411680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1406240 ) ( 2914100 1406240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1400800 ) ( 2914100 1400800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1395360 ) ( 2914100 1395360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1389920 ) ( 2914100 1389920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1384480 ) ( 2914100 1384480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1379040 ) ( 2914100 1379040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1373600 ) ( 2914100 1373600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1368160 ) ( 2914100 1368160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1362720 ) ( 2914100 1362720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1357280 ) ( 2914100 1357280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1351840 ) ( 2914100 1351840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1346400 ) ( 2914100 1346400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1340960 ) ( 2914100 1340960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1335520 ) ( 2914100 1335520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1330080 ) ( 2914100 1330080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1324640 ) ( 2914100 1324640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1319200 ) ( 2914100 1319200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1313760 ) ( 2914100 1313760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1308320 ) ( 2914100 1308320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1302880 ) ( 2914100 1302880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1297440 ) ( 2914100 1297440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1292000 ) ( 2914100 1292000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1286560 ) ( 2914100 1286560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1281120 ) ( 2914100 1281120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1275680 ) ( 2914100 1275680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1270240 ) ( 2914100 1270240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1264800 ) ( 2914100 1264800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1259360 ) ( 2914100 1259360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1253920 ) ( 2914100 1253920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1248480 ) ( 2914100 1248480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1243040 ) ( 2914100 1243040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1237600 ) ( 2914100 1237600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1232160 ) ( 2914100 1232160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1226720 ) ( 2914100 1226720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1221280 ) ( 2914100 1221280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1215840 ) ( 2914100 1215840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1210400 ) ( 2914100 1210400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1204960 ) ( 2914100 1204960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1199520 ) ( 2914100 1199520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1194080 ) ( 2914100 1194080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1188640 ) ( 2914100 1188640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1183200 ) ( 2914100 1183200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1177760 ) ( 2914100 1177760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1172320 ) ( 2914100 1172320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1166880 ) ( 2914100 1166880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1161440 ) ( 2914100 1161440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1156000 ) ( 2914100 1156000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1150560 ) ( 2914100 1150560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1145120 ) ( 2914100 1145120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1139680 ) ( 2914100 1139680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1134240 ) ( 2914100 1134240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1128800 ) ( 2914100 1128800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1123360 ) ( 2914100 1123360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1117920 ) ( 2914100 1117920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1112480 ) ( 2914100 1112480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1107040 ) ( 2914100 1107040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1101600 ) ( 2914100 1101600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1096160 ) ( 2914100 1096160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1090720 ) ( 2914100 1090720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1085280 ) ( 2914100 1085280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1079840 ) ( 2914100 1079840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1074400 ) ( 2914100 1074400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1068960 ) ( 2914100 1068960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1063520 ) ( 2914100 1063520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1058080 ) ( 2914100 1058080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1052640 ) ( 2914100 1052640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1047200 ) ( 2914100 1047200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1041760 ) ( 2914100 1041760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1036320 ) ( 2914100 1036320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1030880 ) ( 2914100 1030880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1025440 ) ( 2914100 1025440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1020000 ) ( 2914100 1020000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1014560 ) ( 2914100 1014560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1009120 ) ( 2914100 1009120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 1003680 ) ( 2914100 1003680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 998240 ) ( 2914100 998240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 992800 ) ( 2914100 992800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 987360 ) ( 2914100 987360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 981920 ) ( 2914100 981920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 976480 ) ( 2914100 976480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 971040 ) ( 2914100 971040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 965600 ) ( 2914100 965600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 960160 ) ( 2914100 960160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 954720 ) ( 2914100 954720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 949280 ) ( 2914100 949280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 943840 ) ( 2914100 943840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 938400 ) ( 2914100 938400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 932960 ) ( 2914100 932960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 927520 ) ( 2914100 927520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 922080 ) ( 2914100 922080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 916640 ) ( 2914100 916640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 911200 ) ( 2914100 911200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 905760 ) ( 2914100 905760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 900320 ) ( 2914100 900320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 894880 ) ( 2914100 894880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 889440 ) ( 2914100 889440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 884000 ) ( 2914100 884000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 878560 ) ( 2914100 878560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 873120 ) ( 2914100 873120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 867680 ) ( 2914100 867680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 862240 ) ( 2914100 862240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 856800 ) ( 2914100 856800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 851360 ) ( 2914100 851360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 845920 ) ( 2914100 845920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 840480 ) ( 2914100 840480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 835040 ) ( 2914100 835040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 829600 ) ( 2914100 829600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 824160 ) ( 2914100 824160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 818720 ) ( 2914100 818720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 813280 ) ( 2914100 813280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 807840 ) ( 2914100 807840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 802400 ) ( 2914100 802400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 796960 ) ( 2914100 796960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 791520 ) ( 2914100 791520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 786080 ) ( 2914100 786080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 780640 ) ( 2914100 780640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 775200 ) ( 2914100 775200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 769760 ) ( 2914100 769760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 764320 ) ( 2914100 764320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 758880 ) ( 2914100 758880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 753440 ) ( 2914100 753440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 748000 ) ( 2914100 748000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 742560 ) ( 2914100 742560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 737120 ) ( 2914100 737120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 731680 ) ( 2914100 731680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 726240 ) ( 2914100 726240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 720800 ) ( 2914100 720800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 715360 ) ( 2914100 715360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 709920 ) ( 2914100 709920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 704480 ) ( 2914100 704480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 699040 ) ( 2914100 699040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 693600 ) ( 2914100 693600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 688160 ) ( 2914100 688160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 682720 ) ( 2914100 682720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 677280 ) ( 2914100 677280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 671840 ) ( 2914100 671840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 666400 ) ( 2914100 666400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 660960 ) ( 2914100 660960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 655520 ) ( 2914100 655520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 650080 ) ( 2914100 650080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 644640 ) ( 2914100 644640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 639200 ) ( 2914100 639200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 633760 ) ( 2914100 633760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 628320 ) ( 2914100 628320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 622880 ) ( 2914100 622880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 617440 ) ( 2914100 617440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 612000 ) ( 2914100 612000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 606560 ) ( 2914100 606560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 601120 ) ( 2914100 601120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 595680 ) ( 2914100 595680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 590240 ) ( 2914100 590240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 584800 ) ( 2914100 584800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 579360 ) ( 2914100 579360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 573920 ) ( 2914100 573920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 568480 ) ( 2914100 568480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 563040 ) ( 2914100 563040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 557600 ) ( 2914100 557600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 552160 ) ( 2914100 552160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 546720 ) ( 2914100 546720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 541280 ) ( 2914100 541280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 535840 ) ( 2914100 535840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 530400 ) ( 2914100 530400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 524960 ) ( 2914100 524960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 519520 ) ( 2914100 519520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 514080 ) ( 2914100 514080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 508640 ) ( 2914100 508640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 503200 ) ( 2914100 503200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 497760 ) ( 2914100 497760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 492320 ) ( 2914100 492320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 486880 ) ( 2914100 486880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 481440 ) ( 2914100 481440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 476000 ) ( 2914100 476000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 470560 ) ( 2914100 470560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 465120 ) ( 2914100 465120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 459680 ) ( 2914100 459680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 454240 ) ( 2914100 454240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 448800 ) ( 2914100 448800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 443360 ) ( 2914100 443360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 437920 ) ( 2914100 437920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 432480 ) ( 2914100 432480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 427040 ) ( 2914100 427040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 421600 ) ( 2914100 421600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 416160 ) ( 2914100 416160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 410720 ) ( 2914100 410720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 405280 ) ( 2914100 405280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 399840 ) ( 2914100 399840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 394400 ) ( 2914100 394400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 388960 ) ( 2914100 388960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 383520 ) ( 2914100 383520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 378080 ) ( 2914100 378080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 372640 ) ( 2914100 372640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 367200 ) ( 2914100 367200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 361760 ) ( 2914100 361760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 356320 ) ( 2914100 356320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 350880 ) ( 2914100 350880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 345440 ) ( 2914100 345440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 340000 ) ( 2914100 340000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 334560 ) ( 2914100 334560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 329120 ) ( 2914100 329120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 323680 ) ( 2914100 323680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 318240 ) ( 2914100 318240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 312800 ) ( 2914100 312800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 307360 ) ( 2914100 307360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 301920 ) ( 2914100 301920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 296480 ) ( 2914100 296480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 291040 ) ( 2914100 291040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 285600 ) ( 2914100 285600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 280160 ) ( 2914100 280160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 274720 ) ( 2914100 274720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 269280 ) ( 2914100 269280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 263840 ) ( 2914100 263840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 258400 ) ( 2914100 258400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 252960 ) ( 2914100 252960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 247520 ) ( 2914100 247520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 242080 ) ( 2914100 242080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 236640 ) ( 2914100 236640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 231200 ) ( 2914100 231200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 225760 ) ( 2914100 225760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 220320 ) ( 2914100 220320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 214880 ) ( 2914100 214880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 209440 ) ( 2914100 209440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 204000 ) ( 2914100 204000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 198560 ) ( 2914100 198560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 193120 ) ( 2914100 193120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 187680 ) ( 2914100 187680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 182240 ) ( 2914100 182240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 176800 ) ( 2914100 176800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 171360 ) ( 2914100 171360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 165920 ) ( 2914100 165920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 160480 ) ( 2914100 160480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 155040 ) ( 2914100 155040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 149600 ) ( 2914100 149600 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 144160 ) ( 2914100 144160 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 2914100 138720 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 2914100 133280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 2914100 127840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 2914100 122400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 2914100 116960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 2914100 111520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 2914100 106080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 2914100 100640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 2914100 95200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 2914100 89760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 2914100 84320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 2914100 78880 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 2914100 73440 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 2914100 68000 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 2914100 62560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 2914100 57120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 2914100 51680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 2914100 46240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 2914100 40800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 2914100 35360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 2914100 29920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 2914100 24480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 2914100 19040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 2914100 13600 ) 
+  + USE GROUND ;
+- vccd2 ( PIN vccd2 ) 
+  + ROUTED met4 0 + SHAPE STRIPE ( 1353980 1833880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1200380 1833880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 3532200 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 3453880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 3273880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 3093880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 2913880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 2733880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 2553880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 2373880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 2193880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 2013880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 1833880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 1653880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 1473880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 1293880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 1113880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 933880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 753880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 573880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 393880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 213880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 33880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2937500 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2908520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2728520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2548520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2368520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2188520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2008520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1828520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1648520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1468520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1288520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1108520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 928520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 748520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 568520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 388520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 208520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 28520 -12520 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -17880 -12520 ) via4_3000x3000 
+    NEW met5 3000 + SHAPE STRIPE ( -19380 3532200 ) ( 2939000 3532200 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 3453880 ) ( 2943700 3453880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 3273880 ) ( 2943700 3273880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 3093880 ) ( 2943700 3093880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 2913880 ) ( 2943700 2913880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 2733880 ) ( 2943700 2733880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 2553880 ) ( 2943700 2553880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 2373880 ) ( 2943700 2373880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 2193880 ) ( 2943700 2193880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 2013880 ) ( 2943700 2013880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 1833880 ) ( 2943700 1833880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 1653880 ) ( 2943700 1653880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 1473880 ) ( 2943700 1473880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 1293880 ) ( 2943700 1293880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 1113880 ) ( 2943700 1113880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 933880 ) ( 2943700 933880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 753880 ) ( 2943700 753880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 573880 ) ( 2943700 573880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 393880 ) ( 2943700 393880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 213880 ) ( 2943700 213880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 33880 ) ( 2943700 33880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -19380 -12520 ) ( 2939000 -12520 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2908520 -18720 ) ( 2908520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2728520 -18720 ) ( 2728520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2548520 -18720 ) ( 2548520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2368520 -18720 ) ( 2368520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2188520 -18720 ) ( 2188520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2008520 -18720 ) ( 2008520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1828520 -18720 ) ( 1828520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1648520 -18720 ) ( 1648520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1468520 2000000 ) ( 1468520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1288520 2000000 ) ( 1288520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1108520 -18720 ) ( 1108520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 928520 -18720 ) ( 928520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 748520 -18720 ) ( 748520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 568520 -18720 ) ( 568520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 388520 -18720 ) ( 388520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 208520 -18720 ) ( 208520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 28520 -18720 ) ( 28520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2937500 -14020 ) ( 2937500 3533700 ) 
+    NEW met4 3000 + SHAPE STRIPE ( -17880 -14020 ) ( -17880 3533700 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1468520 -18720 ) ( 1468520 1680000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1288520 -18720 ) ( 1288520 1680000 ) 
+  + USE POWER ;
+- vssd2 ( PIN vssd2 ) 
+  + ROUTED met4 0 + SHAPE STRIPE ( 1430780 1923880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1277180 1923880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1430780 1743880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1277180 1743880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 3536900 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 3363880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 3183880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 3003880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 2823880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 2643880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 2463880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 2283880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 2103880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 1923880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 1743880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 1563880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 1383880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 1203880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 1023880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 843880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 663880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 483880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 303880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 123880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2942200 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2818520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2638520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2458520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2278520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2098520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1918520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1738520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1558520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1378520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1198520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1018520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 838520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 658520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 478520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 298520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 118520 -17220 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -22580 -17220 ) via4_3000x3000 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 3536900 ) ( 2943700 3536900 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 3363880 ) ( 2943700 3363880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 3183880 ) ( 2943700 3183880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 3003880 ) ( 2943700 3003880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 2823880 ) ( 2943700 2823880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 2643880 ) ( 2943700 2643880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 2463880 ) ( 2943700 2463880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 2283880 ) ( 2943700 2283880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 2103880 ) ( 2943700 2103880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 1923880 ) ( 2943700 1923880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 1743880 ) ( 2943700 1743880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 1563880 ) ( 2943700 1563880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 1383880 ) ( 2943700 1383880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 1203880 ) ( 2943700 1203880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 1023880 ) ( 2943700 1023880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 843880 ) ( 2943700 843880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 663880 ) ( 2943700 663880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 483880 ) ( 2943700 483880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 303880 ) ( 2943700 303880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 123880 ) ( 2943700 123880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -24080 -17220 ) ( 2943700 -17220 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2942200 -18720 ) ( 2942200 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2818520 -18720 ) ( 2818520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2638520 -18720 ) ( 2638520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2458520 -18720 ) ( 2458520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2278520 -18720 ) ( 2278520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2098520 -18720 ) ( 2098520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1918520 -18720 ) ( 1918520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1738520 -18720 ) ( 1738520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1558520 -18720 ) ( 1558520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1378520 2000000 ) ( 1378520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1198520 2000000 ) ( 1198520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1018520 -18720 ) ( 1018520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 838520 -18720 ) ( 838520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 658520 -18720 ) ( 658520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 478520 -18720 ) ( 478520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 298520 -18720 ) ( 298520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 118520 -18720 ) ( 118520 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( -22580 -18720 ) ( -22580 3538400 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1378520 -18720 ) ( 1378520 1680000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1198520 -18720 ) ( 1198520 1680000 ) 
+  + USE GROUND ;
+- vdda1 ( PIN vdda1 ) 
+  + ROUTED met4 0 + SHAPE STRIPE ( 1357280 1851880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1203680 1851880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 3541600 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 3471880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 3291880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 3111880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 2931880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 2751880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 2571880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 2391880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 2211880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 2031880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 1851880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 1671880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 1491880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 1311880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 1131880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 951880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 771880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 591880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 411880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 231880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 51880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2946900 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2746520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2566520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2386520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2206520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2026520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1846520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1666520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1486520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1306520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1126520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 946520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 766520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 586520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 406520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 226520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 46520 -21920 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -27280 -21920 ) via4_3000x3000 
+    NEW met5 3000 + SHAPE STRIPE ( -28780 3541600 ) ( 2948400 3541600 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 3471880 ) ( 2953100 3471880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 3291880 ) ( 2953100 3291880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 3111880 ) ( 2953100 3111880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 2931880 ) ( 2953100 2931880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 2751880 ) ( 2953100 2751880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 2571880 ) ( 2953100 2571880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 2391880 ) ( 2953100 2391880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 2211880 ) ( 2953100 2211880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 2031880 ) ( 2953100 2031880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 1851880 ) ( 2953100 1851880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 1671880 ) ( 2953100 1671880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 1491880 ) ( 2953100 1491880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 1311880 ) ( 2953100 1311880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 1131880 ) ( 2953100 1131880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 951880 ) ( 2953100 951880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 771880 ) ( 2953100 771880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 591880 ) ( 2953100 591880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 411880 ) ( 2953100 411880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 231880 ) ( 2953100 231880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 51880 ) ( 2953100 51880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -28780 -21920 ) ( 2948400 -21920 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2746520 -28120 ) ( 2746520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2566520 -28120 ) ( 2566520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2386520 -28120 ) ( 2386520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2206520 -28120 ) ( 2206520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2026520 -28120 ) ( 2026520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1846520 -28120 ) ( 1846520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1666520 -28120 ) ( 1666520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1486520 -28120 ) ( 1486520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1306520 2000000 ) ( 1306520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1126520 -28120 ) ( 1126520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 946520 -28120 ) ( 946520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 766520 -28120 ) ( 766520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 586520 -28120 ) ( 586520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 406520 -28120 ) ( 406520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 226520 -28120 ) ( 226520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 46520 -28120 ) ( 46520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2946900 -23420 ) ( 2946900 3543100 ) 
+    NEW met4 3000 + SHAPE STRIPE ( -27280 -23420 ) ( -27280 3543100 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1306520 -28120 ) ( 1306520 1680000 ) 
+  + USE POWER ;
+- vssa1 ( PIN vssa1 ) 
+  + ROUTED met4 0 + SHAPE STRIPE ( 1434080 1941880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1280480 1941880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1434080 1761880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1280480 1761880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 3546300 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 3381880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 3201880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 3021880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 2841880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 2661880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 2481880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 2301880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 2121880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 1941880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 1761880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 1581880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 1401880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 1221880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 1041880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 861880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 681880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 501880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 321880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 141880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2951600 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2836520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2656520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2476520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2296520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2116520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1936520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1756520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1576520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1396520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1216520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1036520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 856520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 676520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 496520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 316520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 136520 -26620 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -31980 -26620 ) via4_3000x3000 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 3546300 ) ( 2953100 3546300 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 3381880 ) ( 2953100 3381880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 3201880 ) ( 2953100 3201880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 3021880 ) ( 2953100 3021880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 2841880 ) ( 2953100 2841880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 2661880 ) ( 2953100 2661880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 2481880 ) ( 2953100 2481880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 2301880 ) ( 2953100 2301880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 2121880 ) ( 2953100 2121880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 1941880 ) ( 2953100 1941880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 1761880 ) ( 2953100 1761880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 1581880 ) ( 2953100 1581880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 1401880 ) ( 2953100 1401880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 1221880 ) ( 2953100 1221880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 1041880 ) ( 2953100 1041880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 861880 ) ( 2953100 861880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 681880 ) ( 2953100 681880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 501880 ) ( 2953100 501880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 321880 ) ( 2953100 321880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 141880 ) ( 2953100 141880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -33480 -26620 ) ( 2953100 -26620 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2951600 -28120 ) ( 2951600 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2836520 -28120 ) ( 2836520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2656520 -28120 ) ( 2656520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2476520 -28120 ) ( 2476520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2296520 -28120 ) ( 2296520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2116520 -28120 ) ( 2116520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1936520 -28120 ) ( 1936520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1756520 -28120 ) ( 1756520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1576520 -28120 ) ( 1576520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1396520 2000000 ) ( 1396520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1216520 2000000 ) ( 1216520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1036520 -28120 ) ( 1036520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 856520 -28120 ) ( 856520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 676520 -28120 ) ( 676520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 496520 -28120 ) ( 496520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 316520 -28120 ) ( 316520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 136520 -28120 ) ( 136520 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( -31980 -28120 ) ( -31980 3547800 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1396520 -28120 ) ( 1396520 1680000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1216520 -28120 ) ( 1216520 1680000 ) 
+  + USE GROUND ;
+- vdda2 ( PIN vdda2 ) 
+  + ROUTED met4 0 + SHAPE STRIPE ( 1360580 1869880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1206980 1869880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 3551000 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 3489880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 3309880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 3129880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 2949880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 2769880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 2589880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 2409880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 2229880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 2049880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 1869880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 1689880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 1509880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 1329880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 1149880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 969880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 789880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 609880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 429880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 249880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 69880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2956300 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2764520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2584520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2404520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2224520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2044520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1864520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1684520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1504520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1324520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1144520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 964520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 784520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 604520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 424520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 244520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 64520 -31320 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -36680 -31320 ) via4_3000x3000 
+    NEW met5 3000 + SHAPE STRIPE ( -38180 3551000 ) ( 2957800 3551000 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 3489880 ) ( 2962500 3489880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 3309880 ) ( 2962500 3309880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 3129880 ) ( 2962500 3129880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 2949880 ) ( 2962500 2949880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 2769880 ) ( 2962500 2769880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 2589880 ) ( 2962500 2589880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 2409880 ) ( 2962500 2409880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 2229880 ) ( 2962500 2229880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 2049880 ) ( 2962500 2049880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 1869880 ) ( 2962500 1869880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 1689880 ) ( 2962500 1689880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 1509880 ) ( 2962500 1509880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 1329880 ) ( 2962500 1329880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 1149880 ) ( 2962500 1149880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 969880 ) ( 2962500 969880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 789880 ) ( 2962500 789880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 609880 ) ( 2962500 609880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 429880 ) ( 2962500 429880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 249880 ) ( 2962500 249880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 69880 ) ( 2962500 69880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -38180 -31320 ) ( 2957800 -31320 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2764520 -37520 ) ( 2764520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2584520 -37520 ) ( 2584520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2404520 -37520 ) ( 2404520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2224520 -37520 ) ( 2224520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2044520 -37520 ) ( 2044520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1864520 -37520 ) ( 1864520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1684520 -37520 ) ( 1684520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1504520 -37520 ) ( 1504520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1324520 2000000 ) ( 1324520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1144520 -37520 ) ( 1144520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 964520 -37520 ) ( 964520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 784520 -37520 ) ( 784520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 604520 -37520 ) ( 604520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 424520 -37520 ) ( 424520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 244520 -37520 ) ( 244520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 64520 -37520 ) ( 64520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2956300 -32820 ) ( 2956300 3552500 ) 
+    NEW met4 3000 + SHAPE STRIPE ( -36680 -32820 ) ( -36680 3552500 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1324520 -37520 ) ( 1324520 1680000 ) 
+  + USE POWER ;
+- vssa2 ( PIN vssa2 ) 
+  + ROUTED met4 0 + SHAPE STRIPE ( 1437380 1959880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1283780 1959880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1437380 1779880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1283780 1779880 ) via4_1600x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 3555700 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 3399880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 3219880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 3039880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 2859880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 2679880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 2499880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 2319880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 2139880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 1959880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 1779880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 1599880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 1419880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 1239880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 1059880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 879880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 699880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 519880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 339880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 159880 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2961000 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2854520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2674520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2494520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2314520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 2134520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1954520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1774520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1594520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1414520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1234520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 1054520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 874520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 694520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 514520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 334520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( 154520 -36020 ) via4_3000x3000 
+    NEW met4 0 + SHAPE STRIPE ( -41380 -36020 ) via4_3000x3000 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 3555700 ) ( 2962500 3555700 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 3399880 ) ( 2962500 3399880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 3219880 ) ( 2962500 3219880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 3039880 ) ( 2962500 3039880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 2859880 ) ( 2962500 2859880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 2679880 ) ( 2962500 2679880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 2499880 ) ( 2962500 2499880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 2319880 ) ( 2962500 2319880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 2139880 ) ( 2962500 2139880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 1959880 ) ( 2962500 1959880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 1779880 ) ( 2962500 1779880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 1599880 ) ( 2962500 1599880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 1419880 ) ( 2962500 1419880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 1239880 ) ( 2962500 1239880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 1059880 ) ( 2962500 1059880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 879880 ) ( 2962500 879880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 699880 ) ( 2962500 699880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 519880 ) ( 2962500 519880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 339880 ) ( 2962500 339880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 159880 ) ( 2962500 159880 ) 
+    NEW met5 3000 + SHAPE STRIPE ( -42880 -36020 ) ( 2962500 -36020 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2961000 -37520 ) ( 2961000 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2854520 -37520 ) ( 2854520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2674520 -37520 ) ( 2674520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2494520 -37520 ) ( 2494520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2314520 -37520 ) ( 2314520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 2134520 -37520 ) ( 2134520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1954520 -37520 ) ( 1954520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1774520 -37520 ) ( 1774520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1594520 -37520 ) ( 1594520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1414520 2000000 ) ( 1414520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1234520 2000000 ) ( 1234520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1054520 -37520 ) ( 1054520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 874520 -37520 ) ( 874520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 694520 -37520 ) ( 694520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 514520 -37520 ) ( 514520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 334520 -37520 ) ( 334520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 154520 -37520 ) ( 154520 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( -41380 -37520 ) ( -41380 3557200 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1414520 -37520 ) ( 1414520 1680000 ) 
+    NEW met4 3000 + SHAPE STRIPE ( 1234520 -37520 ) ( 1234520 1680000 ) 
+  + USE GROUND ;
+=======
     - vccd1 ( PIN vccd1 ) + USE POWER
       + ROUTED met4 0 + SHAPE STRIPE ( 1196840 2175880 ) via4_1600x3100
       NEW met4 0 + SHAPE STRIPE ( 1196840 1995880 ) via4_1600x3100
@@ -7625,8 +78431,9085 @@
       NEW met4 3100 + SHAPE STRIPE ( 1559120 -19070 ) ( 1559120 1680000 )
       NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 1680000 )
       NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 1680000 ) ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 END SPECIALNETS
 NETS 637 ;
+<<<<<<< HEAD
+- analog_io[0] ( PIN analog_io[0] ) 
++ USE SIGNAL ;
+- analog_io[10] ( PIN analog_io[10] ) 
++ USE SIGNAL ;
+- analog_io[11] ( PIN analog_io[11] ) 
++ USE SIGNAL ;
+- analog_io[12] ( PIN analog_io[12] ) 
++ USE SIGNAL ;
+- analog_io[13] ( PIN analog_io[13] ) 
++ USE SIGNAL ;
+- analog_io[14] ( PIN analog_io[14] ) 
++ USE SIGNAL ;
+- analog_io[15] ( PIN analog_io[15] ) 
++ USE SIGNAL ;
+- analog_io[16] ( PIN analog_io[16] ) 
++ USE SIGNAL ;
+- analog_io[17] ( PIN analog_io[17] ) 
++ USE SIGNAL ;
+- analog_io[18] ( PIN analog_io[18] ) 
++ USE SIGNAL ;
+- analog_io[19] ( PIN analog_io[19] ) 
++ USE SIGNAL ;
+- analog_io[1] ( PIN analog_io[1] ) 
++ USE SIGNAL ;
+- analog_io[20] ( PIN analog_io[20] ) 
++ USE SIGNAL ;
+- analog_io[21] ( PIN analog_io[21] ) 
++ USE SIGNAL ;
+- analog_io[22] ( PIN analog_io[22] ) 
++ USE SIGNAL ;
+- analog_io[23] ( PIN analog_io[23] ) 
++ USE SIGNAL ;
+- analog_io[24] ( PIN analog_io[24] ) 
++ USE SIGNAL ;
+- analog_io[25] ( PIN analog_io[25] ) 
++ USE SIGNAL ;
+- analog_io[26] ( PIN analog_io[26] ) 
++ USE SIGNAL ;
+- analog_io[27] ( PIN analog_io[27] ) 
++ USE SIGNAL ;
+- analog_io[28] ( PIN analog_io[28] ) 
++ USE SIGNAL ;
+- analog_io[2] ( PIN analog_io[2] ) 
++ USE SIGNAL ;
+- analog_io[3] ( PIN analog_io[3] ) 
++ USE SIGNAL ;
+- analog_io[4] ( PIN analog_io[4] ) 
++ USE SIGNAL ;
+- analog_io[5] ( PIN analog_io[5] ) 
++ USE SIGNAL ;
+- analog_io[6] ( PIN analog_io[6] ) 
++ USE SIGNAL ;
+- analog_io[7] ( PIN analog_io[7] ) 
++ USE SIGNAL ;
+- analog_io[8] ( PIN analog_io[8] ) 
++ USE SIGNAL ;
+- analog_io[9] ( PIN analog_io[9] ) 
++ USE SIGNAL ;
+- io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) 
+  + ROUTED met2 ( 2900990 32980 ) ( 2900990 34170 )
+    NEW met3 ( 2900990 32980 ) ( 2917780 32980 0 )
+    NEW met2 ( 1173230 1986620 ) ( 1176220 1986620 0 )
+    NEW met2 ( 1173230 34170 ) ( 1173230 1986620 )
+    NEW met1 ( 1173230 34170 ) ( 2900990 34170 )
+    NEW met1 ( 1173230 34170 ) M1M2_PR
+    NEW met1 ( 2900990 34170 ) M1M2_PR
+    NEW met2 ( 2900990 32980 ) via2_FR
++ USE SIGNAL ;
+- io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) 
+  + ROUTED met2 ( 2900990 2283950 ) ( 2900990 2290580 )
+    NEW met3 ( 2900990 2290580 ) ( 2917780 2290580 0 )
+    NEW met1 ( 1255570 2283950 ) ( 2900990 2283950 )
+    NEW met2 ( 1254880 1989340 0 ) ( 1255570 1989340 )
+    NEW met2 ( 1255570 1989340 ) ( 1255570 2283950 )
+    NEW met1 ( 1255570 2283950 ) M1M2_PR
+    NEW met1 ( 2900990 2283950 ) M1M2_PR
+    NEW met2 ( 2900990 2290580 ) via2_FR
++ USE SIGNAL ;
+- io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) 
+  + ROUTED met2 ( 2900990 2553230 ) ( 2900990 2556460 )
+    NEW met3 ( 2900990 2556460 ) ( 2917780 2556460 0 )
+    NEW met1 ( 1262470 2553230 ) ( 2900990 2553230 )
+    NEW met2 ( 1262470 1989340 0 ) ( 1262470 2553230 )
+    NEW met1 ( 1262470 2553230 ) M1M2_PR
+    NEW met1 ( 2900990 2553230 ) M1M2_PR
+    NEW met2 ( 2900990 2556460 ) via2_FR
++ USE SIGNAL ;
+- io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) 
+  + ROUTED met2 ( 2900990 2815710 ) ( 2900990 2821660 )
+    NEW met3 ( 2900990 2821660 ) ( 2917780 2821660 0 )
+    NEW met2 ( 1270750 1989340 0 ) ( 1272590 1989340 )
+    NEW met2 ( 1272590 1989340 ) ( 1272590 2014800 )
+    NEW met2 ( 1272590 2014800 ) ( 1275350 2014800 )
+    NEW met2 ( 1275350 2014800 ) ( 1275350 2815710 )
+    NEW met1 ( 1275350 2815710 ) ( 2900990 2815710 )
+    NEW met1 ( 1275350 2815710 ) M1M2_PR
+    NEW met1 ( 2900990 2815710 ) M1M2_PR
+    NEW met2 ( 2900990 2821660 ) via2_FR
++ USE SIGNAL ;
+- io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) 
+  + ROUTED met2 ( 2900990 3084990 ) ( 2900990 3087540 )
+    NEW met3 ( 2900990 3087540 ) ( 2917780 3087540 0 )
+    NEW met2 ( 1278570 1989340 0 ) ( 1280870 1989340 )
+    NEW met2 ( 1280870 1989340 ) ( 1280870 2014800 )
+    NEW met2 ( 1280870 2014800 ) ( 1282710 2014800 )
+    NEW met2 ( 1282710 2014800 ) ( 1282710 3084990 )
+    NEW met1 ( 1282710 3084990 ) ( 2900990 3084990 )
+    NEW met1 ( 1282710 3084990 ) M1M2_PR
+    NEW met1 ( 2900990 3084990 ) M1M2_PR
+    NEW met2 ( 2900990 3087540 ) via2_FR
++ USE SIGNAL ;
+- io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) 
+  + ROUTED met2 ( 1286620 1989340 0 ) ( 1288230 1989340 )
+    NEW met2 ( 1288230 1989340 ) ( 1288230 1990700 )
+    NEW met2 ( 1288230 1990700 ) ( 1289610 1990700 )
+    NEW met2 ( 1289610 1990700 ) ( 1289610 3354270 )
+    NEW met2 ( 2900990 3353420 ) ( 2900990 3354270 )
+    NEW met3 ( 2900990 3353420 ) ( 2917780 3353420 0 )
+    NEW met1 ( 1289610 3354270 ) ( 2900990 3354270 )
+    NEW met1 ( 1289610 3354270 ) M1M2_PR
+    NEW met1 ( 2900990 3354270 ) M1M2_PR
+    NEW met2 ( 2900990 3353420 ) via2_FR
++ USE SIGNAL ;
+- io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) 
+  + ROUTED met2 ( 2798410 3501490 ) ( 2798410 3517980 0 )
+    NEW met1 ( 1296970 3501490 ) ( 2798410 3501490 )
+    NEW met2 ( 1294210 1989340 0 ) ( 1294210 2001410 )
+    NEW met1 ( 1294210 2001410 ) ( 1296970 2001410 )
+    NEW met2 ( 1296970 2001410 ) ( 1296970 3501490 )
+    NEW met1 ( 2798410 3501490 ) M1M2_PR
+    NEW met1 ( 1296970 3501490 ) M1M2_PR
+    NEW met1 ( 1294210 2001410 ) M1M2_PR
+    NEW met1 ( 1296970 2001410 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) 
+  + ROUTED met1 ( 1303410 3502850 ) ( 2474110 3502850 )
+    NEW met2 ( 1302490 1989340 0 ) ( 1303410 1989340 )
+    NEW met2 ( 1303410 1989340 ) ( 1303410 3502850 )
+    NEW met2 ( 2474110 3502850 ) ( 2474110 3517980 0 )
+    NEW met1 ( 1303410 3502850 ) M1M2_PR
+    NEW met1 ( 2474110 3502850 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) 
+  + ROUTED met2 ( 2149350 3504890 ) ( 2149350 3517980 0 )
+    NEW met1 ( 1309850 3504890 ) ( 2149350 3504890 )
+    NEW met2 ( 1310080 1989340 0 ) ( 1310080 1990700 )
+    NEW met2 ( 1309850 1990700 ) ( 1310080 1990700 )
+    NEW met2 ( 1309850 1990700 ) ( 1309850 3504890 )
+    NEW met1 ( 2149350 3504890 ) M1M2_PR
+    NEW met1 ( 1309850 3504890 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) 
+  + ROUTED met2 ( 1825050 3499450 ) ( 1825050 3517980 0 )
+    NEW met1 ( 1323650 3499450 ) ( 1825050 3499450 )
+    NEW met1 ( 1319970 2001070 ) ( 1323650 2001070 )
+    NEW met2 ( 1323650 2001070 ) ( 1323650 3499450 )
+    NEW met2 ( 1318360 1989340 0 ) ( 1319970 1989340 )
+    NEW met2 ( 1319970 1989340 ) ( 1319970 2001070 )
+    NEW met1 ( 1825050 3499450 ) M1M2_PR
+    NEW met1 ( 1323650 3499450 ) M1M2_PR
+    NEW met1 ( 1319970 2001070 ) M1M2_PR
+    NEW met1 ( 1323650 2001070 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) 
+  + ROUTED met1 ( 1327330 2000050 ) ( 1338370 2000050 )
+    NEW li1 ( 1338370 2000050 ) ( 1338370 2001070 )
+    NEW met1 ( 1338370 2001070 ) ( 1497530 2001070 )
+    NEW met2 ( 1497530 2001070 ) ( 1497530 3415500 )
+    NEW met2 ( 1497530 3415500 ) ( 1500750 3415500 )
+    NEW met2 ( 1500750 3415500 ) ( 1500750 3517980 0 )
+    NEW met2 ( 1325950 1989340 0 ) ( 1327330 1989340 )
+    NEW met2 ( 1327330 1989340 ) ( 1327330 2000050 )
+    NEW met1 ( 1327330 2000050 ) M1M2_PR
+    NEW li1 ( 1338370 2000050 ) L1M1_PR_MR
+    NEW li1 ( 1338370 2001070 ) L1M1_PR_MR
+    NEW met1 ( 1497530 2001070 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) 
+  + ROUTED met2 ( 1183810 1989340 0 ) ( 1183810 1994270 )
+    NEW met3 ( 2901450 231540 ) ( 2917780 231540 0 )
+    NEW met2 ( 2901450 231540 ) ( 2901450 1994270 )
+    NEW met1 ( 1183810 1994270 ) ( 2901450 1994270 )
+    NEW met1 ( 1183810 1994270 ) M1M2_PR
+    NEW met2 ( 2901450 231540 ) via2_FR
+    NEW met1 ( 2901450 1994270 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) 
+  + ROUTED met1 ( 1175990 3498770 ) ( 1178750 3498770 )
+    NEW met2 ( 1178750 2001070 ) ( 1178750 3498770 )
+    NEW met2 ( 1175990 3498770 ) ( 1175990 3517980 0 )
+    NEW met1 ( 1291450 2000390 ) ( 1332390 2000390 )
+    NEW met1 ( 1291450 2000390 ) ( 1291450 2001070 )
+    NEW met1 ( 1178750 2001070 ) ( 1291450 2001070 )
+    NEW met2 ( 1332390 1989340 ) ( 1333770 1989340 0 )
+    NEW met2 ( 1332390 1989340 ) ( 1332390 2000390 )
+    NEW met1 ( 1175990 3498770 ) M1M2_PR
+    NEW met1 ( 1178750 3498770 ) M1M2_PR
+    NEW met1 ( 1178750 2001070 ) M1M2_PR
+    NEW met1 ( 1332390 2000390 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) 
+  + ROUTED met1 ( 851690 3498430 ) ( 855370 3498430 )
+    NEW met2 ( 855370 2002430 ) ( 855370 3498430 )
+    NEW met2 ( 851690 3498430 ) ( 851690 3517980 0 )
+    NEW li1 ( 1292830 2002430 ) ( 1292830 2003110 )
+    NEW met1 ( 855370 2002430 ) ( 1292830 2002430 )
+    NEW met1 ( 1292830 2003110 ) ( 1340210 2003110 )
+    NEW met2 ( 1340210 1989340 ) ( 1341820 1989340 0 )
+    NEW met2 ( 1340210 1989340 ) ( 1340210 2003110 )
+    NEW met1 ( 1340210 2003110 ) M1M2_PR
+    NEW met1 ( 851690 3498430 ) M1M2_PR
+    NEW met1 ( 855370 3498430 ) M1M2_PR
+    NEW met1 ( 855370 2002430 ) M1M2_PR
+    NEW li1 ( 1292830 2002430 ) L1M1_PR_MR
+    NEW li1 ( 1292830 2003110 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) 
+  + ROUTED met1 ( 1339290 2001410 ) ( 1349410 2001410 )
+    NEW li1 ( 1339290 2000390 ) ( 1339290 2001410 )
+    NEW met1 ( 527390 3498430 ) ( 531070 3498430 )
+    NEW met2 ( 531070 2003110 ) ( 531070 3498430 )
+    NEW met2 ( 527390 3498430 ) ( 527390 3517980 0 )
+    NEW met1 ( 1338600 2000390 ) ( 1339290 2000390 )
+    NEW li1 ( 1292370 2000730 ) ( 1292370 2003110 )
+    NEW met1 ( 1292370 2000730 ) ( 1296510 2000730 )
+    NEW met1 ( 1296510 2000730 ) ( 1296510 2001070 )
+    NEW met1 ( 1296510 2001070 ) ( 1297430 2001070 )
+    NEW met1 ( 1297430 2001070 ) ( 1297430 2001410 )
+    NEW met1 ( 1297430 2001410 ) ( 1304330 2001410 )
+    NEW met1 ( 1304330 2001070 ) ( 1304330 2001410 )
+    NEW met1 ( 1304330 2001070 ) ( 1308470 2001070 )
+    NEW met1 ( 1308470 2000730 ) ( 1308470 2001070 )
+    NEW met1 ( 1308470 2000730 ) ( 1310770 2000730 )
+    NEW met1 ( 1310770 2000730 ) ( 1310770 2001070 )
+    NEW met1 ( 1310770 2001070 ) ( 1311230 2001070 )
+    NEW met1 ( 1311230 2001070 ) ( 1311230 2001410 )
+    NEW met1 ( 1311230 2001410 ) ( 1319510 2001410 )
+    NEW met1 ( 1319510 2000730 ) ( 1319510 2001410 )
+    NEW met1 ( 1319510 2000730 ) ( 1324110 2000730 )
+    NEW met1 ( 1324110 2000730 ) ( 1324110 2001070 )
+    NEW met1 ( 1324110 2001070 ) ( 1337910 2001070 )
+    NEW met1 ( 1337910 2000730 ) ( 1337910 2001070 )
+    NEW met1 ( 1337910 2000730 ) ( 1338600 2000730 )
+    NEW met1 ( 1338600 2000390 ) ( 1338600 2000730 )
+    NEW met1 ( 531070 2003110 ) ( 1292370 2003110 )
+    NEW met2 ( 1349410 1989340 0 ) ( 1349410 2001410 )
+    NEW met1 ( 1349410 2001410 ) M1M2_PR
+    NEW li1 ( 1339290 2001410 ) L1M1_PR_MR
+    NEW li1 ( 1339290 2000390 ) L1M1_PR_MR
+    NEW met1 ( 527390 3498430 ) M1M2_PR
+    NEW met1 ( 531070 3498430 ) M1M2_PR
+    NEW met1 ( 531070 2003110 ) M1M2_PR
+    NEW li1 ( 1292370 2003110 ) L1M1_PR_MR
+    NEW li1 ( 1292370 2000730 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) 
+  + ROUTED met1 ( 202630 3498430 ) ( 206770 3498430 )
+    NEW met2 ( 206770 2002940 ) ( 206770 3498430 )
+    NEW met2 ( 202630 3498430 ) ( 202630 3517980 0 )
+    NEW met3 ( 206770 2002940 ) ( 1357690 2002940 )
+    NEW met2 ( 1357690 1989340 0 ) ( 1357690 2002940 )
+    NEW met1 ( 202630 3498430 ) M1M2_PR
+    NEW met1 ( 206770 3498430 ) M1M2_PR
+    NEW met2 ( 206770 2002940 ) via2_FR
+    NEW met2 ( 1357690 2002940 ) via2_FR
++ USE SIGNAL ;
+- io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) 
+  + ROUTED met3 ( 2300 3421420 0 ) ( 7820 3421420 )
+    NEW met3 ( 7820 3420740 ) ( 7820 3421420 )
+    NEW met3 ( 7820 3420740 ) ( 17250 3420740 )
+    NEW met2 ( 17250 2006340 ) ( 17250 3420740 )
+    NEW met3 ( 17250 2006340 ) ( 1363670 2006340 )
+    NEW met2 ( 1363670 1989340 ) ( 1365280 1989340 0 )
+    NEW met2 ( 1363670 1989340 ) ( 1363670 2006340 )
+    NEW met2 ( 17250 2006340 ) via2_FR
+    NEW met2 ( 17250 3420740 ) via2_FR
+    NEW met2 ( 1363670 2006340 ) via2_FR
++ USE SIGNAL ;
+- io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) 
+  + ROUTED met3 ( 2300 3160300 0 ) ( 7820 3160300 )
+    NEW met3 ( 7820 3160300 ) ( 7820 3161660 )
+    NEW met3 ( 7820 3161660 ) ( 17710 3161660 )
+    NEW met2 ( 17710 2004130 ) ( 17710 3161660 )
+    NEW met2 ( 1373330 1989340 ) ( 1373560 1989340 0 )
+    NEW met2 ( 1373330 1989340 ) ( 1373330 2004130 )
+    NEW met1 ( 17710 2004130 ) ( 1373330 2004130 )
+    NEW met1 ( 17710 2004130 ) M1M2_PR
+    NEW met2 ( 17710 3161660 ) via2_FR
+    NEW met1 ( 1373330 2004130 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) 
+  + ROUTED met3 ( 2300 2899860 0 ) ( 7820 2899860 )
+    NEW met3 ( 7820 2899860 ) ( 7820 2900540 )
+    NEW met3 ( 7820 2900540 ) ( 19090 2900540 )
+    NEW met2 ( 19090 2007870 ) ( 19090 2900540 )
+    NEW met2 ( 1381150 1989340 0 ) ( 1381150 2007870 )
+    NEW met1 ( 19090 2007870 ) ( 1381150 2007870 )
+    NEW met2 ( 19090 2900540 ) via2_FR
+    NEW met1 ( 19090 2007870 ) M1M2_PR
+    NEW met1 ( 1381150 2007870 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) 
+  + ROUTED met3 ( 2300 2639420 0 ) ( 20010 2639420 )
+    NEW met2 ( 20010 2006510 ) ( 20010 2639420 )
+    NEW met2 ( 1389430 1989340 0 ) ( 1389430 2006510 )
+    NEW met1 ( 20010 2006510 ) ( 1389430 2006510 )
+    NEW met2 ( 20010 2639420 ) via2_FR
+    NEW met1 ( 20010 2006510 ) M1M2_PR
+    NEW met1 ( 1389430 2006510 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) 
+  + ROUTED met3 ( 2300 2378300 0 ) ( 16790 2378300 )
+    NEW met2 ( 16790 2005490 ) ( 16790 2378300 )
+    NEW met2 ( 1395410 1989340 ) ( 1397020 1989340 0 )
+    NEW met2 ( 1395410 1989340 ) ( 1395410 2005490 )
+    NEW met1 ( 16790 2005490 ) ( 1395410 2005490 )
+    NEW met1 ( 16790 2005490 ) M1M2_PR
+    NEW met2 ( 16790 2378300 ) via2_FR
+    NEW met1 ( 1395410 2005490 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) 
+  + ROUTED met3 ( 2300 2117860 0 ) ( 15870 2117860 )
+    NEW met2 ( 15870 2005150 ) ( 15870 2117860 )
+    NEW met2 ( 1404610 1989340 0 ) ( 1404610 2005150 )
+    NEW met1 ( 15870 2005150 ) ( 1404610 2005150 )
+    NEW met2 ( 15870 2117860 ) via2_FR
+    NEW met1 ( 15870 2005150 ) M1M2_PR
+    NEW met1 ( 1404610 2005150 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) 
+  + ROUTED li1 ( 1192550 1983730 ) ( 1192550 1986450 )
+    NEW met2 ( 1192550 1986450 ) ( 1192550 1986620 )
+    NEW met2 ( 1191630 1986620 0 ) ( 1192550 1986620 )
+    NEW met2 ( 2900990 430780 ) ( 2900990 434690 )
+    NEW met3 ( 2900990 430780 ) ( 2917780 430780 0 )
+    NEW met2 ( 1528350 434690 ) ( 1528350 1983730 )
+    NEW met1 ( 1528350 434690 ) ( 2900990 434690 )
+    NEW met1 ( 1192550 1983730 ) ( 1528350 1983730 )
+    NEW li1 ( 1192550 1983730 ) L1M1_PR_MR
+    NEW li1 ( 1192550 1986450 ) L1M1_PR_MR
+    NEW met1 ( 1192550 1986450 ) M1M2_PR
+    NEW met1 ( 2900990 434690 ) M1M2_PR
+    NEW met2 ( 2900990 430780 ) via2_FR
+    NEW met1 ( 1528350 434690 ) M1M2_PR
+    NEW met1 ( 1528350 1983730 ) M1M2_PR
+    NEW met1 ( 1192550 1986450 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) 
+  + ROUTED met3 ( 2300 1856740 0 ) ( 17710 1856740 )
+    NEW met2 ( 17710 1856740 ) ( 17710 1981010 )
+    NEW li1 ( 1411510 1981010 ) ( 1411510 1986790 )
+    NEW met2 ( 1411510 1986620 ) ( 1411510 1986790 )
+    NEW met2 ( 1411510 1986620 ) ( 1412890 1986620 0 )
+    NEW met1 ( 17710 1981010 ) ( 1411510 1981010 )
+    NEW met2 ( 17710 1856740 ) via2_FR
+    NEW met1 ( 17710 1981010 ) M1M2_PR
+    NEW li1 ( 1411510 1981010 ) L1M1_PR_MR
+    NEW li1 ( 1411510 1986790 ) L1M1_PR_MR
+    NEW met1 ( 1411510 1986790 ) M1M2_PR
+    NEW met1 ( 1411510 1986790 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) 
+  + ROUTED met3 ( 2300 1596300 0 ) ( 14030 1596300 )
+    NEW met2 ( 14030 1596300 ) ( 14030 1597490 )
+    NEW met1 ( 14030 1597490 ) ( 24150 1597490 )
+    NEW met2 ( 24150 1597490 ) ( 24150 1994950 )
+    NEW met2 ( 1418870 1989340 ) ( 1420480 1989340 0 )
+    NEW met2 ( 1418870 1989340 ) ( 1418870 1994950 )
+    NEW met1 ( 24150 1994950 ) ( 1418870 1994950 )
+    NEW met2 ( 14030 1596300 ) via2_FR
+    NEW met1 ( 14030 1597490 ) M1M2_PR
+    NEW met1 ( 24150 1597490 ) M1M2_PR
+    NEW met1 ( 24150 1994950 ) M1M2_PR
+    NEW met1 ( 1418870 1994950 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) 
+  + ROUTED met3 ( 2300 1335860 0 ) ( 17710 1335860 )
+    NEW met2 ( 17710 1335860 ) ( 17710 1337730 )
+    NEW met2 ( 1156670 1337730 ) ( 1156670 1990530 )
+    NEW met1 ( 17710 1337730 ) ( 1156670 1337730 )
+    NEW met2 ( 1428760 1989340 0 ) ( 1428760 1990530 )
+    NEW met1 ( 1156670 1990530 ) ( 1428760 1990530 )
+    NEW met2 ( 17710 1335860 ) via2_FR
+    NEW met1 ( 17710 1337730 ) M1M2_PR
+    NEW met1 ( 1156670 1337730 ) M1M2_PR
+    NEW met1 ( 1156670 1990530 ) M1M2_PR
+    NEW met1 ( 1428760 1990530 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) 
+  + ROUTED met3 ( 2300 1074740 0 ) ( 16790 1074740 )
+    NEW met2 ( 16790 1074740 ) ( 16790 1076270 )
+    NEW met2 ( 1170470 1076270 ) ( 1170470 1996650 )
+    NEW met2 ( 1436350 1989340 0 ) ( 1436350 1996650 )
+    NEW met1 ( 16790 1076270 ) ( 1170470 1076270 )
+    NEW met1 ( 1170470 1996650 ) ( 1436350 1996650 )
+    NEW met2 ( 16790 1074740 ) via2_FR
+    NEW met1 ( 16790 1076270 ) M1M2_PR
+    NEW met1 ( 1170470 1076270 ) M1M2_PR
+    NEW met1 ( 1170470 1996650 ) M1M2_PR
+    NEW met1 ( 1436350 1996650 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) 
+  + ROUTED met3 ( 2300 814300 0 ) ( 16330 814300 )
+    NEW met2 ( 16330 814300 ) ( 16330 820930 )
+    NEW met2 ( 1149310 820930 ) ( 1149310 1984750 )
+    NEW met2 ( 1442790 1986450 ) ( 1442790 1986620 )
+    NEW met2 ( 1442790 1986620 ) ( 1444630 1986620 0 )
+    NEW met1 ( 16330 820930 ) ( 1149310 820930 )
+    NEW met1 ( 1386900 1984750 ) ( 1386900 1986450 )
+    NEW met1 ( 1386900 1986450 ) ( 1442790 1986450 )
+    NEW met1 ( 1149310 1984750 ) ( 1386900 1984750 )
+    NEW met2 ( 16330 814300 ) via2_FR
+    NEW met1 ( 16330 820930 ) M1M2_PR
+    NEW met1 ( 1149310 820930 ) M1M2_PR
+    NEW met1 ( 1149310 1984750 ) M1M2_PR
+    NEW met1 ( 1442790 1986450 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) 
+  + ROUTED met3 ( 2300 553180 0 ) ( 17250 553180 )
+    NEW met2 ( 17250 553180 ) ( 17250 558790 )
+    NEW met2 ( 1450610 1989340 ) ( 1452220 1989340 0 )
+    NEW met2 ( 1450610 1989340 ) ( 1450610 1991550 )
+    NEW met1 ( 17250 558790 ) ( 1135050 558790 )
+    NEW met2 ( 1135050 558790 ) ( 1135050 1991550 )
+    NEW met1 ( 1135050 1991550 ) ( 1450610 1991550 )
+    NEW met2 ( 17250 553180 ) via2_FR
+    NEW met1 ( 17250 558790 ) M1M2_PR
+    NEW met1 ( 1450610 1991550 ) M1M2_PR
+    NEW met1 ( 1135050 558790 ) M1M2_PR
+    NEW met1 ( 1135050 1991550 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) 
+  + ROUTED met3 ( 2300 358020 0 ) ( 17250 358020 )
+    NEW met2 ( 17250 358020 ) ( 17250 358530 )
+    NEW met2 ( 1460270 1989340 0 ) ( 1460270 1996990 )
+    NEW met1 ( 17250 358530 ) ( 1121250 358530 )
+    NEW met2 ( 1121250 358530 ) ( 1121250 1996990 )
+    NEW met1 ( 1121250 1996990 ) ( 1460270 1996990 )
+    NEW met2 ( 17250 358020 ) via2_FR
+    NEW met1 ( 17250 358530 ) M1M2_PR
+    NEW met1 ( 1460270 1996990 ) M1M2_PR
+    NEW met1 ( 1121250 358530 ) M1M2_PR
+    NEW met1 ( 1121250 1996990 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) 
+  + ROUTED met3 ( 2300 162180 0 ) ( 15870 162180 )
+    NEW met2 ( 15870 162180 ) ( 15870 165410 )
+    NEW met1 ( 1466710 1984410 ) ( 1466710 1986450 )
+    NEW met2 ( 1466710 1986450 ) ( 1466710 1986620 )
+    NEW met2 ( 1466710 1986620 ) ( 1468090 1986620 0 )
+    NEW met1 ( 15870 165410 ) ( 1114350 165410 )
+    NEW met2 ( 1114350 165410 ) ( 1114350 1984410 )
+    NEW met1 ( 1114350 1984410 ) ( 1466710 1984410 )
+    NEW met2 ( 15870 162180 ) via2_FR
+    NEW met1 ( 15870 165410 ) M1M2_PR
+    NEW met1 ( 1466710 1986450 ) M1M2_PR
+    NEW met1 ( 1114350 165410 ) M1M2_PR
+    NEW met1 ( 1114350 1984410 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) 
+  + ROUTED met2 ( 2900990 630020 ) ( 2900990 634610 )
+    NEW met3 ( 2900990 630020 ) ( 2917780 630020 0 )
+    NEW li1 ( 1200370 1980670 ) ( 1200370 1986450 )
+    NEW met2 ( 1200370 1986450 ) ( 1200370 1986620 )
+    NEW met2 ( 1199680 1986620 0 ) ( 1200370 1986620 )
+    NEW met1 ( 2880750 634610 ) ( 2900990 634610 )
+    NEW met2 ( 2880750 634610 ) ( 2880750 1980670 )
+    NEW met1 ( 1200370 1980670 ) ( 2880750 1980670 )
+    NEW met1 ( 2900990 634610 ) M1M2_PR
+    NEW met2 ( 2900990 630020 ) via2_FR
+    NEW li1 ( 1200370 1980670 ) L1M1_PR_MR
+    NEW li1 ( 1200370 1986450 ) L1M1_PR_MR
+    NEW met1 ( 1200370 1986450 ) M1M2_PR
+    NEW met1 ( 2880750 634610 ) M1M2_PR
+    NEW met1 ( 2880750 1980670 ) M1M2_PR
+    NEW met1 ( 1200370 1986450 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) 
+  + ROUTED met2 ( 2900990 829260 ) ( 2900990 834530 )
+    NEW met3 ( 2900990 829260 ) ( 2917780 829260 0 )
+    NEW met2 ( 1206810 1989340 ) ( 1207270 1989340 0 )
+    NEW met2 ( 1206810 1989340 ) ( 1206810 1989850 )
+    NEW met1 ( 1494310 834530 ) ( 2900990 834530 )
+    NEW met1 ( 1206810 1989850 ) ( 1494310 1989850 )
+    NEW met2 ( 1494310 834530 ) ( 1494310 1989850 )
+    NEW met1 ( 2900990 834530 ) M1M2_PR
+    NEW met2 ( 2900990 829260 ) via2_FR
+    NEW met1 ( 1206810 1989850 ) M1M2_PR
+    NEW met1 ( 1494310 834530 ) M1M2_PR
+    NEW met1 ( 1494310 1989850 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) 
+  + ROUTED met2 ( 2900990 1028500 ) ( 2900990 1034790 )
+    NEW met3 ( 2900990 1028500 ) ( 2917780 1028500 0 )
+    NEW met2 ( 1215550 1989340 0 ) ( 1215550 1990870 )
+    NEW met1 ( 1480510 1034790 ) ( 2900990 1034790 )
+    NEW met1 ( 1215550 1990870 ) ( 1480510 1990870 )
+    NEW met2 ( 1480510 1034790 ) ( 1480510 1990870 )
+    NEW met1 ( 1480510 1034790 ) M1M2_PR
+    NEW met1 ( 1480510 1990870 ) M1M2_PR
+    NEW met1 ( 2900990 1034790 ) M1M2_PR
+    NEW met2 ( 2900990 1028500 ) via2_FR
+    NEW met1 ( 1215550 1990870 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) 
+  + ROUTED met2 ( 2900990 1227740 ) ( 2900990 1227910 )
+    NEW met3 ( 2900990 1227740 ) ( 2917780 1227740 0 )
+    NEW met1 ( 1223370 1998690 ) ( 1515010 1998690 )
+    NEW met2 ( 1515010 1227910 ) ( 1515010 1998690 )
+    NEW met1 ( 1515010 1227910 ) ( 2900990 1227910 )
+    NEW met2 ( 1223370 1989340 0 ) ( 1223370 1998690 )
+    NEW met1 ( 2900990 1227910 ) M1M2_PR
+    NEW met2 ( 2900990 1227740 ) via2_FR
+    NEW met1 ( 1223370 1998690 ) M1M2_PR
+    NEW met1 ( 1515010 1227910 ) M1M2_PR
+    NEW met1 ( 1515010 1998690 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) 
+  + ROUTED met2 ( 2898230 1493620 ) ( 2898230 1497190 )
+    NEW met3 ( 2898230 1493620 ) ( 2917780 1493620 0 )
+    NEW met2 ( 1521910 1497190 ) ( 1521910 1990190 )
+    NEW met1 ( 1521910 1497190 ) ( 2898230 1497190 )
+    NEW met2 ( 1231420 1989340 0 ) ( 1233030 1989340 )
+    NEW met2 ( 1233030 1989340 ) ( 1233030 1990190 )
+    NEW met1 ( 1233030 1990190 ) ( 1521910 1990190 )
+    NEW met1 ( 2898230 1497190 ) M1M2_PR
+    NEW met2 ( 2898230 1493620 ) via2_FR
+    NEW met1 ( 1521910 1497190 ) M1M2_PR
+    NEW met1 ( 1521910 1990190 ) M1M2_PR
+    NEW met1 ( 1233030 1990190 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) 
+  + ROUTED met2 ( 2900990 1759500 ) ( 2900990 1766130 )
+    NEW met3 ( 2900990 1759500 ) ( 2917780 1759500 0 )
+    NEW met1 ( 2873850 1766130 ) ( 2900990 1766130 )
+    NEW met1 ( 1239010 1994610 ) ( 2873850 1994610 )
+    NEW met2 ( 2873850 1766130 ) ( 2873850 1994610 )
+    NEW met2 ( 1239010 1989340 0 ) ( 1239010 1994610 )
+    NEW met1 ( 2900990 1766130 ) M1M2_PR
+    NEW met2 ( 2900990 1759500 ) via2_FR
+    NEW met1 ( 2873850 1766130 ) M1M2_PR
+    NEW met1 ( 1239010 1994610 ) M1M2_PR
+    NEW met1 ( 2873850 1994610 ) M1M2_PR
++ USE SIGNAL ;
+- io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) 
+  + ROUTED met2 ( 2900990 2021810 ) ( 2900990 2024700 )
+    NEW met3 ( 2900990 2024700 ) ( 2917780 2024700 0 )
+    NEW met1 ( 1247290 2021810 ) ( 2900990 2021810 )
+    NEW met2 ( 1247290 1989340 0 ) ( 1247290 2021810 )
+    NEW met1 ( 1247290 2021810 ) M1M2_PR
+    NEW met1 ( 2900990 2021810 ) M1M2_PR
+    NEW met2 ( 2900990 2024700 ) via2_FR
++ USE SIGNAL ;
+- io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) 
+  + ROUTED met1 ( 1173690 1986110 ) ( 1173690 1986450 )
+    NEW met1 ( 1173690 1986450 ) ( 1176910 1986450 )
+    NEW met2 ( 1176910 1986450 ) ( 1176910 1986620 )
+    NEW met2 ( 1176910 1986620 ) ( 1178520 1986620 0 )
+    NEW met2 ( 2900990 165410 ) ( 2900990 165580 )
+    NEW met3 ( 2900990 165580 ) ( 2917780 165580 0 )
+    NEW met1 ( 1173690 165410 ) ( 2900990 165410 )
+    NEW met2 ( 1173690 165410 ) ( 1173690 1986110 )
+    NEW met1 ( 1173690 165410 ) M1M2_PR
+    NEW met1 ( 1173690 1986110 ) M1M2_PR
+    NEW met1 ( 1176910 1986450 ) M1M2_PR
+    NEW met1 ( 2900990 165410 ) M1M2_PR
+    NEW met2 ( 2900990 165580 ) via2_FR
++ USE SIGNAL ;
+- io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) 
+  + ROUTED met2 ( 2900990 2421990 ) ( 2900990 2423180 )
+    NEW met3 ( 2900990 2423180 ) ( 2917780 2423180 0 )
+    NEW met1 ( 1259250 2000730 ) ( 1262010 2000730 )
+    NEW met2 ( 1262010 2000730 ) ( 1262010 2421990 )
+    NEW met1 ( 1262010 2421990 ) ( 2900990 2421990 )
+    NEW met2 ( 1257410 1989340 0 ) ( 1259250 1989340 )
+    NEW met2 ( 1259250 1989340 ) ( 1259250 2000730 )
+    NEW met1 ( 1262010 2421990 ) M1M2_PR
+    NEW met1 ( 2900990 2421990 ) M1M2_PR
+    NEW met2 ( 2900990 2423180 ) via2_FR
+    NEW met1 ( 1259250 2000730 ) M1M2_PR
+    NEW met1 ( 1262010 2000730 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) 
+  + ROUTED met2 ( 2900990 2684130 ) ( 2900990 2689060 )
+    NEW met3 ( 2900990 2689060 ) ( 2917780 2689060 0 )
+    NEW met1 ( 1268450 2684130 ) ( 2900990 2684130 )
+    NEW met2 ( 1265460 1989340 0 ) ( 1267530 1989340 )
+    NEW met2 ( 1267530 1989340 ) ( 1267530 1990700 )
+    NEW met2 ( 1267530 1990700 ) ( 1268450 1990700 )
+    NEW met2 ( 1268450 1990700 ) ( 1268450 2684130 )
+    NEW met1 ( 1268450 2684130 ) M1M2_PR
+    NEW met1 ( 2900990 2684130 ) M1M2_PR
+    NEW met2 ( 2900990 2689060 ) via2_FR
++ USE SIGNAL ;
+- io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) 
+  + ROUTED met2 ( 1273050 1989340 0 ) ( 1274890 1989340 )
+    NEW met2 ( 1274890 1989340 ) ( 1274890 2000730 )
+    NEW met1 ( 1274890 2000730 ) ( 1276270 2000730 )
+    NEW met2 ( 1276270 2000730 ) ( 1276270 2953410 )
+    NEW met2 ( 2899150 2953410 ) ( 2899150 2954940 )
+    NEW met3 ( 2899150 2954940 ) ( 2917780 2954940 0 )
+    NEW met1 ( 1276270 2953410 ) ( 2899150 2953410 )
+    NEW met1 ( 1274890 2000730 ) M1M2_PR
+    NEW met1 ( 1276270 2000730 ) M1M2_PR
+    NEW met1 ( 1276270 2953410 ) M1M2_PR
+    NEW met1 ( 2899150 2953410 ) M1M2_PR
+    NEW met2 ( 2899150 2954940 ) via2_FR
++ USE SIGNAL ;
+- io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) 
+  + ROUTED met2 ( 2900990 3215550 ) ( 2900990 3220140 )
+    NEW met3 ( 2900990 3220140 ) ( 2917780 3220140 0 )
+    NEW met2 ( 1281330 1989340 0 ) ( 1283170 1989340 )
+    NEW met2 ( 1283170 1989340 ) ( 1283170 3215550 )
+    NEW met1 ( 1283170 3215550 ) ( 2900990 3215550 )
+    NEW met1 ( 1283170 3215550 ) M1M2_PR
+    NEW met1 ( 2900990 3215550 ) M1M2_PR
+    NEW met2 ( 2900990 3220140 ) via2_FR
++ USE SIGNAL ;
+- io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) 
+  + ROUTED met2 ( 2900990 3484830 ) ( 2900990 3486020 )
+    NEW met3 ( 2900990 3486020 ) ( 2917780 3486020 0 )
+    NEW met2 ( 1288920 1989340 0 ) ( 1290070 1989340 )
+    NEW met2 ( 1290070 1989340 ) ( 1290070 3484830 )
+    NEW met1 ( 1290070 3484830 ) ( 2900990 3484830 )
+    NEW met1 ( 1290070 3484830 ) M1M2_PR
+    NEW met1 ( 2900990 3484830 ) M1M2_PR
+    NEW met2 ( 2900990 3486020 ) via2_FR
++ USE SIGNAL ;
+- io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) 
+  + ROUTED met2 ( 2636030 3502170 ) ( 2636030 3517980 0 )
+    NEW met1 ( 1296510 3502170 ) ( 2636030 3502170 )
+    NEW met2 ( 1296510 1989340 ) ( 1297200 1989340 0 )
+    NEW met2 ( 1296510 1989340 ) ( 1296510 3502170 )
+    NEW met1 ( 2636030 3502170 ) M1M2_PR
+    NEW met1 ( 1296510 3502170 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) 
+  + ROUTED met2 ( 2311730 3504210 ) ( 2311730 3517980 0 )
+    NEW met1 ( 1310770 3504210 ) ( 2311730 3504210 )
+    NEW met2 ( 1304790 1989340 0 ) ( 1304790 2001410 )
+    NEW met1 ( 1304790 2001410 ) ( 1310770 2001410 )
+    NEW met2 ( 1310770 2001410 ) ( 1310770 3504210 )
+    NEW met1 ( 2311730 3504210 ) M1M2_PR
+    NEW met1 ( 1310770 3504210 ) M1M2_PR
+    NEW met1 ( 1304790 2001410 ) M1M2_PR
+    NEW met1 ( 1310770 2001410 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) 
+  + ROUTED met1 ( 1317210 3500130 ) ( 1987430 3500130 )
+    NEW met2 ( 1313070 1989340 0 ) ( 1313070 2001070 )
+    NEW met1 ( 1313070 2001070 ) ( 1317210 2001070 )
+    NEW met2 ( 1317210 2001070 ) ( 1317210 3500130 )
+    NEW met2 ( 1987430 3500130 ) ( 1987430 3517980 0 )
+    NEW met1 ( 1317210 3500130 ) M1M2_PR
+    NEW met1 ( 1987430 3500130 ) M1M2_PR
+    NEW met1 ( 1313070 2001070 ) M1M2_PR
+    NEW met1 ( 1317210 2001070 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) 
+  + ROUTED met2 ( 1662670 3498770 ) ( 1662670 3517980 0 )
+    NEW met1 ( 1324570 3498770 ) ( 1662670 3498770 )
+    NEW met1 ( 1322270 2001410 ) ( 1324570 2001410 )
+    NEW met2 ( 1324570 2001410 ) ( 1324570 3498770 )
+    NEW met2 ( 1320660 1989340 0 ) ( 1322270 1989340 )
+    NEW met2 ( 1322270 1989340 ) ( 1322270 2001410 )
+    NEW met1 ( 1662670 3498770 ) M1M2_PR
+    NEW met1 ( 1324570 3498770 ) M1M2_PR
+    NEW met1 ( 1322270 2001410 ) M1M2_PR
+    NEW met1 ( 1324570 2001410 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) 
+  + ROUTED met1 ( 1331470 3498430 ) ( 1338370 3498430 )
+    NEW met2 ( 1330550 2014800 ) ( 1331470 2014800 )
+    NEW met2 ( 1331470 2014800 ) ( 1331470 3498430 )
+    NEW met2 ( 1338370 3498430 ) ( 1338370 3517980 0 )
+    NEW met2 ( 1328250 1989340 0 ) ( 1330550 1989340 )
+    NEW met2 ( 1330550 1989340 ) ( 1330550 2014800 )
+    NEW met1 ( 1331470 3498430 ) M1M2_PR
+    NEW met1 ( 1338370 3498430 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) 
+  + ROUTED li1 ( 1187030 1983390 ) ( 1187030 1986450 )
+    NEW met2 ( 1187030 1986450 ) ( 1187030 1986620 )
+    NEW met2 ( 1186340 1986620 0 ) ( 1187030 1986620 )
+    NEW met2 ( 1535250 365670 ) ( 1535250 1983390 )
+    NEW met2 ( 2900070 364820 ) ( 2900070 365670 )
+    NEW met3 ( 2900070 364820 ) ( 2917780 364820 0 )
+    NEW met1 ( 1535250 365670 ) ( 2900070 365670 )
+    NEW met1 ( 1187030 1983390 ) ( 1535250 1983390 )
+    NEW li1 ( 1187030 1983390 ) L1M1_PR_MR
+    NEW li1 ( 1187030 1986450 ) L1M1_PR_MR
+    NEW met1 ( 1187030 1986450 ) M1M2_PR
+    NEW met1 ( 1535250 365670 ) M1M2_PR
+    NEW met1 ( 1535250 1983390 ) M1M2_PR
+    NEW met1 ( 2900070 365670 ) M1M2_PR
+    NEW met2 ( 2900070 364820 ) via2_FR
+    NEW met1 ( 1187030 1986450 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) 
+  + ROUTED met2 ( 1331010 3498430 ) ( 1331010 3498940 )
+    NEW met2 ( 1331010 3498940 ) ( 1331930 3498940 )
+    NEW met1 ( 1014070 3498430 ) ( 1331010 3498430 )
+    NEW met2 ( 1014070 3498430 ) ( 1014070 3517980 0 )
+    NEW met2 ( 1332390 2014800 ) ( 1334690 2014800 )
+    NEW met2 ( 1331930 3463800 ) ( 1331930 3498940 )
+    NEW met2 ( 1331930 3463800 ) ( 1332390 3463800 )
+    NEW met2 ( 1332390 2014800 ) ( 1332390 3463800 )
+    NEW met2 ( 1334690 1989340 ) ( 1336530 1989340 0 )
+    NEW met2 ( 1334690 1989340 ) ( 1334690 2014800 )
+    NEW met1 ( 1014070 3498430 ) M1M2_PR
+    NEW met1 ( 1331010 3498430 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) 
+  + ROUTED met2 ( 689310 3499790 ) ( 689310 3517980 0 )
+    NEW met2 ( 1339750 2014800 ) ( 1342510 2014800 )
+    NEW met2 ( 1339750 2014800 ) ( 1339750 3499790 )
+    NEW met1 ( 689310 3499790 ) ( 1339750 3499790 )
+    NEW met2 ( 1342510 1989340 ) ( 1344120 1989340 0 )
+    NEW met2 ( 1342510 1989340 ) ( 1342510 2014800 )
+    NEW met1 ( 689310 3499790 ) M1M2_PR
+    NEW met1 ( 1339750 3499790 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) 
+  + ROUTED met2 ( 1346650 2014800 ) ( 1350330 2014800 )
+    NEW met2 ( 1346650 2014800 ) ( 1346650 3504550 )
+    NEW met1 ( 365010 3504550 ) ( 1346650 3504550 )
+    NEW met2 ( 365010 3504550 ) ( 365010 3517980 0 )
+    NEW met2 ( 1350330 1989340 ) ( 1352400 1989340 0 )
+    NEW met2 ( 1350330 1989340 ) ( 1350330 2014800 )
+    NEW met1 ( 1346650 3504550 ) M1M2_PR
+    NEW met1 ( 365010 3504550 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) 
+  + ROUTED met1 ( 40710 3502510 ) ( 1362290 3502510 )
+    NEW met2 ( 40710 3502510 ) ( 40710 3517980 0 )
+    NEW met2 ( 1362290 1994100 ) ( 1362290 3502510 )
+    NEW met2 ( 1359990 1989340 0 ) ( 1361830 1989340 )
+    NEW met2 ( 1361830 1989340 ) ( 1361830 1994100 )
+    NEW met2 ( 1361830 1994100 ) ( 1362290 1994100 )
+    NEW met1 ( 1362290 3502510 ) M1M2_PR
+    NEW met1 ( 40710 3502510 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) 
+  + ROUTED met3 ( 2300 3290860 0 ) ( 7820 3290860 )
+    NEW met3 ( 7820 3290180 ) ( 7820 3290860 )
+    NEW met3 ( 7820 3290180 ) ( 16790 3290180 )
+    NEW met2 ( 16790 3284570 ) ( 16790 3290180 )
+    NEW met2 ( 1366430 1989340 ) ( 1368270 1989340 0 )
+    NEW met2 ( 1366430 1989340 ) ( 1366430 3284570 )
+    NEW met1 ( 16790 3284570 ) ( 1366430 3284570 )
+    NEW met2 ( 16790 3290180 ) via2_FR
+    NEW met1 ( 16790 3284570 ) M1M2_PR
+    NEW met1 ( 1366430 3284570 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) 
+  + ROUTED met3 ( 2300 3030420 0 ) ( 7820 3030420 )
+    NEW met3 ( 7820 3030420 ) ( 7820 3031100 )
+    NEW met3 ( 7820 3031100 ) ( 16790 3031100 )
+    NEW met2 ( 16790 3029230 ) ( 16790 3031100 )
+    NEW met2 ( 1374250 1989340 ) ( 1375860 1989340 0 )
+    NEW met2 ( 1374250 1989340 ) ( 1374250 3029230 )
+    NEW met1 ( 16790 3029230 ) ( 1374250 3029230 )
+    NEW met2 ( 16790 3031100 ) via2_FR
+    NEW met1 ( 16790 3029230 ) M1M2_PR
+    NEW met1 ( 1374250 3029230 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) 
+  + ROUTED met3 ( 2300 2769300 0 ) ( 7820 2769300 )
+    NEW met3 ( 7820 2769300 ) ( 7820 2769980 )
+    NEW met3 ( 7820 2769980 ) ( 16790 2769980 )
+    NEW met2 ( 16790 2767090 ) ( 16790 2769980 )
+    NEW met2 ( 1381610 1989340 ) ( 1383910 1989340 0 )
+    NEW met2 ( 1381610 1989340 ) ( 1381610 2014800 )
+    NEW met2 ( 1381150 2014800 ) ( 1381610 2014800 )
+    NEW met2 ( 1381150 2014800 ) ( 1381150 2767090 )
+    NEW met1 ( 16790 2767090 ) ( 1381150 2767090 )
+    NEW met2 ( 16790 2769980 ) via2_FR
+    NEW met1 ( 16790 2767090 ) M1M2_PR
+    NEW met1 ( 1381150 2767090 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) 
+  + ROUTED met3 ( 2300 2508860 0 ) ( 16790 2508860 )
+    NEW met2 ( 16790 2505630 ) ( 16790 2508860 )
+    NEW met1 ( 16790 2505630 ) ( 1387590 2505630 )
+    NEW met2 ( 1389890 1989340 ) ( 1391730 1989340 0 )
+    NEW met2 ( 1389890 1989340 ) ( 1389890 2014800 )
+    NEW met2 ( 1387590 2014800 ) ( 1389890 2014800 )
+    NEW met2 ( 1387590 2014800 ) ( 1387590 2505630 )
+    NEW met2 ( 16790 2508860 ) via2_FR
+    NEW met1 ( 16790 2505630 ) M1M2_PR
+    NEW met1 ( 1387590 2505630 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) 
+  + ROUTED met3 ( 2300 2247740 0 ) ( 15870 2247740 )
+    NEW met2 ( 15870 2242810 ) ( 15870 2247740 )
+    NEW met1 ( 15870 2242810 ) ( 1394950 2242810 )
+    NEW met2 ( 1398170 1989340 ) ( 1400010 1989340 0 )
+    NEW met2 ( 1398170 1989340 ) ( 1398170 2014800 )
+    NEW met2 ( 1394950 2014800 ) ( 1398170 2014800 )
+    NEW met2 ( 1394950 2014800 ) ( 1394950 2242810 )
+    NEW met2 ( 15870 2247740 ) via2_FR
+    NEW met1 ( 15870 2242810 ) M1M2_PR
+    NEW met1 ( 1394950 2242810 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) 
+  + ROUTED met3 ( 2300 1987300 0 ) ( 17250 1987300 )
+    NEW met2 ( 17250 1987300 ) ( 17250 1987470 )
+    NEW met2 ( 1405990 1987300 ) ( 1405990 1987470 )
+    NEW met2 ( 1405990 1987300 ) ( 1407600 1987300 0 )
+    NEW met1 ( 17250 1987470 ) ( 1405990 1987470 )
+    NEW met2 ( 17250 1987300 ) via2_FR
+    NEW met1 ( 17250 1987470 ) M1M2_PR
+    NEW met1 ( 1405990 1987470 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) 
+  + ROUTED met2 ( 1549050 565250 ) ( 1549050 1989170 )
+    NEW met2 ( 2900990 564060 ) ( 2900990 565250 )
+    NEW met3 ( 2900990 564060 ) ( 2917780 564060 0 )
+    NEW met2 ( 1195770 1989170 ) ( 1195770 1989340 )
+    NEW met2 ( 1194390 1989340 0 ) ( 1195770 1989340 )
+    NEW met1 ( 1549050 565250 ) ( 2900990 565250 )
+    NEW met1 ( 1195770 1989170 ) ( 1549050 1989170 )
+    NEW met1 ( 1549050 565250 ) M1M2_PR
+    NEW met1 ( 1549050 1989170 ) M1M2_PR
+    NEW met1 ( 2900990 565250 ) M1M2_PR
+    NEW met2 ( 2900990 564060 ) via2_FR
+    NEW met1 ( 1195770 1989170 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) 
+  + ROUTED met3 ( 2300 1726860 0 ) ( 15870 1726860 )
+    NEW met2 ( 15870 1726860 ) ( 15870 1731790 )
+    NEW met1 ( 15870 1731790 ) ( 1100550 1731790 )
+    NEW met2 ( 1100550 1731790 ) ( 1100550 1997670 )
+    NEW met2 ( 1415190 1989340 0 ) ( 1415190 1997670 )
+    NEW met1 ( 1100550 1997670 ) ( 1415190 1997670 )
+    NEW met2 ( 15870 1726860 ) via2_FR
+    NEW met1 ( 15870 1731790 ) M1M2_PR
+    NEW met1 ( 1100550 1731790 ) M1M2_PR
+    NEW met1 ( 1100550 1997670 ) M1M2_PR
+    NEW met1 ( 1415190 1997670 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) 
+  + ROUTED met3 ( 2300 1465740 0 ) ( 15410 1465740 )
+    NEW met2 ( 15410 1465740 ) ( 15410 1469650 )
+    NEW met1 ( 15410 1469650 ) ( 37950 1469650 )
+    NEW met2 ( 37950 1469650 ) ( 37950 1981350 )
+    NEW li1 ( 1421630 1981350 ) ( 1421630 1986790 )
+    NEW met2 ( 1421630 1986620 ) ( 1421630 1986790 )
+    NEW met2 ( 1421630 1986620 ) ( 1423470 1986620 0 )
+    NEW met1 ( 37950 1981350 ) ( 1421630 1981350 )
+    NEW met2 ( 15410 1465740 ) via2_FR
+    NEW met1 ( 15410 1469650 ) M1M2_PR
+    NEW met1 ( 37950 1469650 ) M1M2_PR
+    NEW met1 ( 37950 1981350 ) M1M2_PR
+    NEW li1 ( 1421630 1981350 ) L1M1_PR_MR
+    NEW li1 ( 1421630 1986790 ) L1M1_PR_MR
+    NEW met1 ( 1421630 1986790 ) M1M2_PR
+    NEW met1 ( 1421630 1986790 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) 
+  + ROUTED met3 ( 2300 1205300 0 ) ( 17250 1205300 )
+    NEW met2 ( 17250 1205300 ) ( 17250 1207170 )
+    NEW met2 ( 1079850 1207170 ) ( 1079850 1989510 )
+    NEW met1 ( 17250 1207170 ) ( 1079850 1207170 )
+    NEW met2 ( 1429450 1989340 ) ( 1431060 1989340 0 )
+    NEW met2 ( 1429450 1989340 ) ( 1429450 1989510 )
+    NEW met1 ( 1079850 1989510 ) ( 1429450 1989510 )
+    NEW met2 ( 17250 1205300 ) via2_FR
+    NEW met1 ( 17250 1207170 ) M1M2_PR
+    NEW met1 ( 1079850 1207170 ) M1M2_PR
+    NEW met1 ( 1079850 1989510 ) M1M2_PR
+    NEW met1 ( 1429450 1989510 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) 
+  + ROUTED met3 ( 2300 944180 0 ) ( 17250 944180 )
+    NEW met2 ( 17250 944180 ) ( 17250 945030 )
+    NEW met2 ( 1072950 945030 ) ( 1072950 1995630 )
+    NEW met2 ( 1439110 1989340 0 ) ( 1439110 1995630 )
+    NEW met1 ( 17250 945030 ) ( 1072950 945030 )
+    NEW met1 ( 1072950 1995630 ) ( 1439110 1995630 )
+    NEW met2 ( 17250 944180 ) via2_FR
+    NEW met1 ( 17250 945030 ) M1M2_PR
+    NEW met1 ( 1072950 945030 ) M1M2_PR
+    NEW met1 ( 1072950 1995630 ) M1M2_PR
+    NEW met1 ( 1439110 1995630 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) 
+  + ROUTED met3 ( 2300 683740 0 ) ( 17250 683740 )
+    NEW met2 ( 17250 683740 ) ( 17250 689860 )
+    NEW met3 ( 1442100 1987300 ) ( 1445550 1987300 )
+    NEW met2 ( 1445550 1987300 ) ( 1446930 1987300 0 )
+    NEW met3 ( 17250 689860 ) ( 1442100 689860 )
+    NEW met4 ( 1442100 689860 ) ( 1442100 1987300 )
+    NEW met2 ( 17250 683740 ) via2_FR
+    NEW met2 ( 17250 689860 ) via2_FR
+    NEW met3 ( 1442100 689860 ) M3M4_PR_M
+    NEW met3 ( 1442100 1987300 ) M3M4_PR_M
+    NEW met2 ( 1445550 1987300 ) via2_FR
++ USE SIGNAL ;
+- io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) 
+  + ROUTED met3 ( 2300 423300 0 ) ( 15870 423300 )
+    NEW met2 ( 15870 423300 ) ( 15870 427550 )
+    NEW met2 ( 1066050 427550 ) ( 1066050 1982030 )
+    NEW li1 ( 1453830 1982030 ) ( 1453830 1986450 )
+    NEW met2 ( 1453830 1986450 ) ( 1453830 1986620 )
+    NEW met2 ( 1453830 1986620 ) ( 1455210 1986620 0 )
+    NEW met1 ( 15870 427550 ) ( 1066050 427550 )
+    NEW met1 ( 1066050 1982030 ) ( 1453830 1982030 )
+    NEW met2 ( 15870 423300 ) via2_FR
+    NEW met1 ( 15870 427550 ) M1M2_PR
+    NEW met1 ( 1066050 427550 ) M1M2_PR
+    NEW met1 ( 1066050 1982030 ) M1M2_PR
+    NEW li1 ( 1453830 1982030 ) L1M1_PR_MR
+    NEW li1 ( 1453830 1986450 ) L1M1_PR_MR
+    NEW met1 ( 1453830 1986450 ) M1M2_PR
+    NEW met1 ( 1453830 1986450 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) 
+  + ROUTED met3 ( 2300 227460 0 ) ( 17250 227460 )
+    NEW met2 ( 17250 227460 ) ( 17250 227630 )
+    NEW met2 ( 1155750 227630 ) ( 1155750 1991890 )
+    NEW met2 ( 1461190 1989340 ) ( 1462800 1989340 0 )
+    NEW met2 ( 1461190 1989340 ) ( 1461190 1991890 )
+    NEW met1 ( 17250 227630 ) ( 1155750 227630 )
+    NEW met1 ( 1155750 1991890 ) ( 1461190 1991890 )
+    NEW met2 ( 17250 227460 ) via2_FR
+    NEW met1 ( 17250 227630 ) M1M2_PR
+    NEW met1 ( 1155750 227630 ) M1M2_PR
+    NEW met1 ( 1155750 1991890 ) M1M2_PR
+    NEW met1 ( 1461190 1991890 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) 
+  + ROUTED met3 ( 2300 32300 0 ) ( 7820 32300 )
+    NEW met3 ( 7820 31620 ) ( 7820 32300 )
+    NEW met3 ( 7820 31620 ) ( 17250 31620 )
+    NEW met2 ( 17250 30430 ) ( 17250 31620 )
+    NEW met1 ( 1474990 1986110 ) ( 1474990 1986450 )
+    NEW met1 ( 1472690 1986450 ) ( 1474990 1986450 )
+    NEW met2 ( 1472690 1986450 ) ( 1472690 1986620 )
+    NEW met2 ( 1470850 1986620 0 ) ( 1472690 1986620 )
+    NEW li1 ( 1414730 30430 ) ( 1414730 34510 )
+    NEW met1 ( 1414730 34510 ) ( 1474990 34510 )
+    NEW met1 ( 17250 30430 ) ( 1414730 30430 )
+    NEW met2 ( 1474990 34510 ) ( 1474990 1986110 )
+    NEW met2 ( 17250 31620 ) via2_FR
+    NEW met1 ( 17250 30430 ) M1M2_PR
+    NEW met1 ( 1474990 34510 ) M1M2_PR
+    NEW met1 ( 1474990 1986110 ) M1M2_PR
+    NEW met1 ( 1472690 1986450 ) M1M2_PR
+    NEW li1 ( 1414730 30430 ) L1M1_PR_MR
+    NEW li1 ( 1414730 34510 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) 
+  + ROUTED met2 ( 2899150 763300 ) ( 2899150 765850 )
+    NEW met3 ( 2899150 763300 ) ( 2917780 763300 0 )
+    NEW met2 ( 1562850 765850 ) ( 1562850 1995970 )
+    NEW met1 ( 1562850 765850 ) ( 2899150 765850 )
+    NEW met2 ( 1202210 1989340 0 ) ( 1202210 1995970 )
+    NEW met1 ( 1202210 1995970 ) ( 1562850 1995970 )
+    NEW met1 ( 1562850 765850 ) M1M2_PR
+    NEW met1 ( 2899150 765850 ) M1M2_PR
+    NEW met2 ( 2899150 763300 ) via2_FR
+    NEW met1 ( 1562850 1995970 ) M1M2_PR
+    NEW met1 ( 1202210 1995970 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) 
+  + ROUTED met2 ( 2899150 962540 ) ( 2899150 965090 )
+    NEW met3 ( 2899150 962540 ) ( 2917780 962540 0 )
+    NEW met2 ( 1569750 965090 ) ( 1569750 1982370 )
+    NEW met1 ( 1569750 965090 ) ( 2899150 965090 )
+    NEW li1 ( 1211870 1982370 ) ( 1211870 1986450 )
+    NEW met2 ( 1211870 1986450 ) ( 1211870 1986620 )
+    NEW met2 ( 1210260 1986620 0 ) ( 1211870 1986620 )
+    NEW met1 ( 1211870 1982370 ) ( 1569750 1982370 )
+    NEW met1 ( 1569750 965090 ) M1M2_PR
+    NEW met1 ( 2899150 965090 ) M1M2_PR
+    NEW met2 ( 2899150 962540 ) via2_FR
+    NEW met1 ( 1569750 1982370 ) M1M2_PR
+    NEW li1 ( 1211870 1982370 ) L1M1_PR_MR
+    NEW li1 ( 1211870 1986450 ) L1M1_PR_MR
+    NEW met1 ( 1211870 1986450 ) M1M2_PR
+    NEW met1 ( 1211870 1986450 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) 
+  + ROUTED met2 ( 2900990 1161780 ) ( 2900990 1166030 )
+    NEW met3 ( 2900990 1161780 ) ( 2917780 1161780 0 )
+    NEW met1 ( 1583550 1166030 ) ( 2900990 1166030 )
+    NEW met2 ( 1219690 1988660 ) ( 1219690 1988830 )
+    NEW met2 ( 1217850 1988660 0 ) ( 1219690 1988660 )
+    NEW met2 ( 1583550 1166030 ) ( 1583550 1988830 )
+    NEW met1 ( 1219690 1988830 ) ( 1583550 1988830 )
+    NEW met1 ( 2900990 1166030 ) M1M2_PR
+    NEW met2 ( 2900990 1161780 ) via2_FR
+    NEW met1 ( 1583550 1166030 ) M1M2_PR
+    NEW met1 ( 1219690 1988830 ) M1M2_PR
+    NEW met1 ( 1583550 1988830 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) 
+  + ROUTED met3 ( 1227740 1361020 ) ( 2917780 1361020 0 )
+    NEW met3 ( 1227510 1987300 ) ( 1227740 1987300 )
+    NEW met2 ( 1226130 1987300 0 ) ( 1227510 1987300 )
+    NEW met4 ( 1227740 1361020 ) ( 1227740 1987300 )
+    NEW met3 ( 1227740 1361020 ) M3M4_PR_M
+    NEW met3 ( 1227740 1987300 ) M3M4_PR_M
+    NEW met2 ( 1227510 1987300 ) via2_FR
+    NEW met3 ( 1227740 1987300 ) RECT ( 0 -150 390 150 )
++ USE SIGNAL ;
+- io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) 
+  + ROUTED met2 ( 2899610 1626220 ) ( 2899610 1628090 )
+    NEW met3 ( 2899610 1626220 ) ( 2917780 1626220 0 )
+    NEW met1 ( 1234870 1998010 ) ( 1480970 1998010 )
+    NEW met1 ( 1480970 1628090 ) ( 2899610 1628090 )
+    NEW met2 ( 1233720 1989340 0 ) ( 1234870 1989340 )
+    NEW met2 ( 1234870 1989340 ) ( 1234870 1998010 )
+    NEW met2 ( 1480970 1628090 ) ( 1480970 1998010 )
+    NEW met1 ( 1480970 1628090 ) M1M2_PR
+    NEW met1 ( 1480970 1998010 ) M1M2_PR
+    NEW met1 ( 2899610 1628090 ) M1M2_PR
+    NEW met2 ( 2899610 1626220 ) via2_FR
+    NEW met1 ( 1234870 1998010 ) M1M2_PR
++ USE SIGNAL ;
+- io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) 
+  + ROUTED met2 ( 1480050 1897370 ) ( 1480050 1984070 )
+    NEW met2 ( 2900990 1892100 ) ( 2900990 1897370 )
+    NEW met3 ( 2900990 1892100 ) ( 2917780 1892100 0 )
+    NEW met1 ( 1480050 1897370 ) ( 2900990 1897370 )
+    NEW li1 ( 1242690 1984070 ) ( 1242690 1986450 )
+    NEW met2 ( 1242690 1986450 ) ( 1242690 1986620 )
+    NEW met2 ( 1242000 1986620 0 ) ( 1242690 1986620 )
+    NEW met1 ( 1242690 1984070 ) ( 1480050 1984070 )
+    NEW met1 ( 1480050 1897370 ) M1M2_PR
+    NEW met1 ( 1480050 1984070 ) M1M2_PR
+    NEW met1 ( 2900990 1897370 ) M1M2_PR
+    NEW met2 ( 2900990 1892100 ) via2_FR
+    NEW li1 ( 1242690 1984070 ) L1M1_PR_MR
+    NEW li1 ( 1242690 1986450 ) L1M1_PR_MR
+    NEW met1 ( 1242690 1986450 ) M1M2_PR
+    NEW met1 ( 1242690 1986450 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) 
+  + ROUTED met2 ( 2900990 2153050 ) ( 2900990 2157980 )
+    NEW met3 ( 2900990 2157980 ) ( 2917780 2157980 0 )
+    NEW met1 ( 1250970 2000730 ) ( 1255110 2000730 )
+    NEW met2 ( 1255110 2000730 ) ( 1255110 2153050 )
+    NEW met1 ( 1255110 2153050 ) ( 2900990 2153050 )
+    NEW met2 ( 1249590 1989340 0 ) ( 1250970 1989340 )
+    NEW met2 ( 1250970 1989340 ) ( 1250970 2000730 )
+    NEW met1 ( 1255110 2153050 ) M1M2_PR
+    NEW met1 ( 2900990 2153050 ) M1M2_PR
+    NEW met2 ( 2900990 2157980 ) via2_FR
+    NEW met1 ( 1250970 2000730 ) M1M2_PR
+    NEW met1 ( 1255110 2000730 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) 
+  + ROUTED met3 ( 1182890 1987300 ) ( 1186340 1987300 )
+    NEW met2 ( 1181050 1987300 0 ) ( 1182890 1987300 )
+    NEW met3 ( 1186340 96900 ) ( 2835900 96900 )
+    NEW met3 ( 2835900 96900 ) ( 2835900 98940 )
+    NEW met3 ( 2835900 98940 ) ( 2917780 98940 0 )
+    NEW met4 ( 1186340 96900 ) ( 1186340 1987300 )
+    NEW met3 ( 1186340 96900 ) M3M4_PR_M
+    NEW met3 ( 1186340 1987300 ) M3M4_PR_M
+    NEW met2 ( 1182890 1987300 ) via2_FR
++ USE SIGNAL ;
+- io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) 
+  + ROUTED met2 ( 2900990 2353310 ) ( 2900990 2357220 )
+    NEW met3 ( 2900990 2357220 ) ( 2917780 2357220 0 )
+    NEW met1 ( 1261550 2353310 ) ( 2900990 2353310 )
+    NEW met2 ( 1260170 1989340 0 ) ( 1261550 1989340 )
+    NEW met2 ( 1261550 1989340 ) ( 1261550 2353310 )
+    NEW met1 ( 1261550 2353310 ) M1M2_PR
+    NEW met1 ( 2900990 2353310 ) M1M2_PR
+    NEW met2 ( 2900990 2357220 ) via2_FR
++ USE SIGNAL ;
+- io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) 
+  + ROUTED met2 ( 2900990 2622420 ) ( 2900990 2622590 )
+    NEW met3 ( 2900990 2622420 ) ( 2917780 2622420 0 )
+    NEW met1 ( 1267990 2622590 ) ( 2900990 2622590 )
+    NEW met2 ( 1267990 1989340 0 ) ( 1268910 1989340 )
+    NEW met2 ( 1268910 1989340 ) ( 1268910 2000730 )
+    NEW met1 ( 1267990 2000730 ) ( 1268910 2000730 )
+    NEW met2 ( 1267990 2000730 ) ( 1267990 2622590 )
+    NEW met1 ( 1267990 2622590 ) M1M2_PR
+    NEW met1 ( 2900990 2622590 ) M1M2_PR
+    NEW met2 ( 2900990 2622420 ) via2_FR
+    NEW met1 ( 1268910 2000730 ) M1M2_PR
+    NEW met1 ( 1267990 2000730 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) 
+  + ROUTED met2 ( 2900990 2884390 ) ( 2900990 2888300 )
+    NEW met3 ( 2900990 2888300 ) ( 2917780 2888300 0 )
+    NEW met2 ( 1276040 1989340 0 ) ( 1276040 1990700 )
+    NEW met2 ( 1275810 1990700 ) ( 1276040 1990700 )
+    NEW met2 ( 1275810 1990700 ) ( 1275810 2884390 )
+    NEW met1 ( 1275810 2884390 ) ( 2900990 2884390 )
+    NEW met1 ( 1275810 2884390 ) M1M2_PR
+    NEW met1 ( 2900990 2884390 ) M1M2_PR
+    NEW met2 ( 2900990 2888300 ) via2_FR
++ USE SIGNAL ;
+- io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) 
+  + ROUTED met2 ( 1283630 1989340 0 ) ( 1285470 1989340 )
+    NEW met2 ( 1285470 1989340 ) ( 1285470 2014800 )
+    NEW met2 ( 1285470 2014800 ) ( 1289150 2014800 )
+    NEW met2 ( 1289150 2014800 ) ( 1289150 3153330 )
+    NEW met2 ( 2900990 3153330 ) ( 2900990 3154180 )
+    NEW met3 ( 2900990 3154180 ) ( 2917780 3154180 0 )
+    NEW met1 ( 1289150 3153330 ) ( 2900990 3153330 )
+    NEW met1 ( 1289150 3153330 ) M1M2_PR
+    NEW met1 ( 2900990 3153330 ) M1M2_PR
+    NEW met2 ( 2900990 3154180 ) via2_FR
++ USE SIGNAL ;
+- io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) 
+  + ROUTED met2 ( 2900990 3415810 ) ( 2900990 3419380 )
+    NEW met3 ( 2900990 3419380 ) ( 2917780 3419380 0 )
+    NEW met2 ( 1291910 1989340 0 ) ( 1291910 2001070 )
+    NEW met1 ( 1291910 2001070 ) ( 1296050 2001070 )
+    NEW met2 ( 1296050 2001070 ) ( 1296050 3415810 )
+    NEW met1 ( 1296050 3415810 ) ( 2900990 3415810 )
+    NEW met1 ( 2900990 3415810 ) M1M2_PR
+    NEW met2 ( 2900990 3419380 ) via2_FR
+    NEW met1 ( 1291910 2001070 ) M1M2_PR
+    NEW met1 ( 1296050 2001070 ) M1M2_PR
+    NEW met1 ( 1296050 3415810 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) 
+  + ROUTED met2 ( 2717450 3501830 ) ( 2717450 3517980 0 )
+    NEW met1 ( 1303870 3501830 ) ( 2717450 3501830 )
+    NEW met2 ( 1299500 1989340 0 ) ( 1301110 1989340 )
+    NEW met2 ( 1301110 1989340 ) ( 1301110 2001070 )
+    NEW met1 ( 1301110 2001070 ) ( 1303870 2001070 )
+    NEW met2 ( 1303870 2001070 ) ( 1303870 3501830 )
+    NEW met1 ( 2717450 3501830 ) M1M2_PR
+    NEW met1 ( 1303870 3501830 ) M1M2_PR
+    NEW met1 ( 1301110 2001070 ) M1M2_PR
+    NEW met1 ( 1303870 2001070 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) 
+  + ROUTED met1 ( 1310310 3503870 ) ( 2392690 3503870 )
+    NEW met2 ( 1307780 1989340 0 ) ( 1308930 1989340 )
+    NEW met2 ( 1308930 1989340 ) ( 1308930 2001070 )
+    NEW met1 ( 1308930 2001070 ) ( 1310310 2001070 )
+    NEW met2 ( 1310310 2001070 ) ( 1310310 3503870 )
+    NEW met2 ( 2392690 3503870 ) ( 2392690 3517980 0 )
+    NEW met1 ( 1310310 3503870 ) M1M2_PR
+    NEW met1 ( 2392690 3503870 ) M1M2_PR
+    NEW met1 ( 1308930 2001070 ) M1M2_PR
+    NEW met1 ( 1310310 2001070 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) 
+  + ROUTED met1 ( 1317670 3501150 ) ( 2068390 3501150 )
+    NEW met2 ( 1315370 1989340 0 ) ( 1317670 1989340 )
+    NEW met2 ( 1317670 1989340 ) ( 1317670 3501150 )
+    NEW met2 ( 2068390 3501150 ) ( 2068390 3517980 0 )
+    NEW met1 ( 1317670 3501150 ) M1M2_PR
+    NEW met1 ( 2068390 3501150 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) 
+  + ROUTED met2 ( 1744090 3499110 ) ( 1744090 3517980 0 )
+    NEW met1 ( 1324110 3499110 ) ( 1744090 3499110 )
+    NEW met2 ( 1323650 1989340 0 ) ( 1324110 1989340 )
+    NEW met2 ( 1324110 1989340 ) ( 1324110 3499110 )
+    NEW met1 ( 1744090 3499110 ) M1M2_PR
+    NEW met1 ( 1324110 3499110 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) 
+  + ROUTED met1 ( 1331470 2002430 ) ( 1414730 2002430 )
+    NEW met2 ( 1414730 2002430 ) ( 1414730 3415500 )
+    NEW met2 ( 1414730 3415500 ) ( 1419330 3415500 )
+    NEW met2 ( 1419330 3415500 ) ( 1419330 3517980 0 )
+    NEW met2 ( 1331240 1989340 0 ) ( 1331240 1990700 )
+    NEW met2 ( 1331240 1990700 ) ( 1331470 1990700 )
+    NEW met2 ( 1331470 1990700 ) ( 1331470 2002430 )
+    NEW met1 ( 1331470 2002430 ) M1M2_PR
+    NEW met1 ( 1414730 2002430 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) 
+  + ROUTED met3 ( 1190710 1987300 ) ( 1192780 1987300 )
+    NEW met2 ( 1189100 1987300 0 ) ( 1190710 1987300 )
+    NEW met3 ( 1192780 297500 ) ( 2835900 297500 )
+    NEW met3 ( 2835900 297500 ) ( 2835900 298180 )
+    NEW met3 ( 2835900 298180 ) ( 2917780 298180 0 )
+    NEW met4 ( 1192780 297500 ) ( 1192780 1987300 )
+    NEW met3 ( 1192780 297500 ) M3M4_PR_M
+    NEW met3 ( 1192780 1987300 ) M3M4_PR_M
+    NEW met2 ( 1190710 1987300 ) via2_FR
++ USE SIGNAL ;
+- io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) 
+  + ROUTED met2 ( 1096870 2001410 ) ( 1096870 3512100 )
+    NEW met2 ( 1095030 3512100 ) ( 1096870 3512100 )
+    NEW met2 ( 1095030 3512100 ) ( 1095030 3517980 0 )
+    NEW li1 ( 1293750 2001410 ) ( 1293750 2002770 )
+    NEW met1 ( 1096870 2001410 ) ( 1293750 2001410 )
+    NEW met1 ( 1293750 2002770 ) ( 1338830 2002770 )
+    NEW met2 ( 1338830 1989340 0 ) ( 1338830 2002770 )
+    NEW met1 ( 1096870 2001410 ) M1M2_PR
+    NEW met1 ( 1338830 2002770 ) M1M2_PR
+    NEW li1 ( 1293750 2001410 ) L1M1_PR_MR
+    NEW li1 ( 1293750 2002770 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) 
+  + ROUTED met2 ( 772570 2002770 ) ( 772570 3512100 )
+    NEW met2 ( 770730 3512100 ) ( 772570 3512100 )
+    NEW met2 ( 770730 3512100 ) ( 770730 3517980 0 )
+    NEW met1 ( 1339290 2002770 ) ( 1347110 2002770 )
+    NEW li1 ( 1338830 2002770 ) ( 1339290 2002770 )
+    NEW li1 ( 1338830 2001410 ) ( 1338830 2002770 )
+    NEW met1 ( 1293290 2002430 ) ( 1293290 2002770 )
+    NEW met1 ( 1293290 2002430 ) ( 1325030 2002430 )
+    NEW li1 ( 1325030 2001410 ) ( 1325030 2002430 )
+    NEW met1 ( 772570 2002770 ) ( 1293290 2002770 )
+    NEW met1 ( 1325030 2001410 ) ( 1338830 2001410 )
+    NEW met2 ( 1347110 1989340 0 ) ( 1347110 2002770 )
+    NEW met1 ( 772570 2002770 ) M1M2_PR
+    NEW met1 ( 1347110 2002770 ) M1M2_PR
+    NEW li1 ( 1339290 2002770 ) L1M1_PR_MR
+    NEW li1 ( 1338830 2001410 ) L1M1_PR_MR
+    NEW li1 ( 1325030 2002430 ) L1M1_PR_MR
+    NEW li1 ( 1325030 2001410 ) L1M1_PR_MR
++ USE SIGNAL ;
+- io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) 
+  + ROUTED met1 ( 445970 3498430 ) ( 448270 3498430 )
+    NEW met2 ( 448270 2003450 ) ( 448270 3498430 )
+    NEW met2 ( 445970 3498430 ) ( 445970 3517980 0 )
+    NEW met1 ( 448270 2003450 ) ( 1353090 2003450 )
+    NEW met2 ( 1353090 1989340 ) ( 1354700 1989340 0 )
+    NEW met2 ( 1353090 1989340 ) ( 1353090 2003450 )
+    NEW met1 ( 1353090 2003450 ) M1M2_PR
+    NEW met1 ( 445970 3498430 ) M1M2_PR
+    NEW met1 ( 448270 3498430 ) M1M2_PR
+    NEW met1 ( 448270 2003450 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) 
+  + ROUTED met1 ( 121670 3498430 ) ( 123970 3498430 )
+    NEW met2 ( 123970 2003620 ) ( 123970 3498430 )
+    NEW met2 ( 121670 3498430 ) ( 121670 3517980 0 )
+    NEW met3 ( 1362060 2002940 ) ( 1362750 2002940 )
+    NEW met3 ( 1362060 2002940 ) ( 1362060 2003620 )
+    NEW met3 ( 123970 2003620 ) ( 1362060 2003620 )
+    NEW met2 ( 1362750 1989340 ) ( 1362980 1989340 0 )
+    NEW met2 ( 1362750 1989340 ) ( 1362750 2002940 )
+    NEW met1 ( 121670 3498430 ) M1M2_PR
+    NEW met1 ( 123970 3498430 ) M1M2_PR
+    NEW met2 ( 123970 2003620 ) via2_FR
+    NEW met2 ( 1362750 2002940 ) via2_FR
++ USE SIGNAL ;
+- io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) 
+  + ROUTED met3 ( 2300 3356140 0 ) ( 7820 3356140 )
+    NEW met3 ( 7820 3355460 ) ( 7820 3356140 )
+    NEW met3 ( 7820 3355460 ) ( 18170 3355460 )
+    NEW met2 ( 18170 2005660 ) ( 18170 3355460 )
+    NEW met2 ( 1370570 1989340 0 ) ( 1370570 2005660 )
+    NEW met3 ( 18170 2005660 ) ( 1370570 2005660 )
+    NEW met2 ( 18170 2005660 ) via2_FR
+    NEW met2 ( 18170 3355460 ) via2_FR
+    NEW met2 ( 1370570 2005660 ) via2_FR
++ USE SIGNAL ;
+- io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) 
+  + ROUTED met3 ( 2300 3095700 0 ) ( 7820 3095700 )
+    NEW met3 ( 7820 3095700 ) ( 7820 3096380 )
+    NEW met3 ( 7820 3096380 ) ( 18630 3096380 )
+    NEW met2 ( 18630 2003790 ) ( 18630 3096380 )
+    NEW met2 ( 1378850 1989340 0 ) ( 1378850 2003790 )
+    NEW met1 ( 18630 2003790 ) ( 1378850 2003790 )
+    NEW met2 ( 18630 3096380 ) via2_FR
+    NEW met1 ( 18630 2003790 ) M1M2_PR
+    NEW met1 ( 1378850 2003790 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) 
+  + ROUTED met3 ( 2300 2834580 0 ) ( 7820 2834580 )
+    NEW met3 ( 7820 2833220 ) ( 7820 2834580 )
+    NEW met3 ( 7820 2833220 ) ( 19550 2833220 )
+    NEW met2 ( 19550 2006850 ) ( 19550 2833220 )
+    NEW met2 ( 1384830 1989340 ) ( 1386440 1989340 0 )
+    NEW met2 ( 1384830 1989340 ) ( 1384830 2006850 )
+    NEW met1 ( 19550 2006850 ) ( 1384830 2006850 )
+    NEW met2 ( 19550 2833220 ) via2_FR
+    NEW met1 ( 19550 2006850 ) M1M2_PR
+    NEW met1 ( 1384830 2006850 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) 
+  + ROUTED met3 ( 2300 2574140 0 ) ( 20470 2574140 )
+    NEW met2 ( 20470 2006170 ) ( 20470 2574140 )
+    NEW met2 ( 1394490 1989340 0 ) ( 1394490 2006170 )
+    NEW met1 ( 20470 2006170 ) ( 1394490 2006170 )
+    NEW met1 ( 20470 2006170 ) M1M2_PR
+    NEW met2 ( 20470 2574140 ) via2_FR
+    NEW met1 ( 1394490 2006170 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) 
+  + ROUTED met3 ( 2300 2313020 0 ) ( 16330 2313020 )
+    NEW met2 ( 16330 2005830 ) ( 16330 2313020 )
+    NEW met2 ( 1402310 1989340 0 ) ( 1402310 2005830 )
+    NEW met1 ( 16330 2005830 ) ( 1402310 2005830 )
+    NEW met2 ( 16330 2313020 ) via2_FR
+    NEW met1 ( 16330 2005830 ) M1M2_PR
+    NEW met1 ( 1402310 2005830 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) 
+  + ROUTED met3 ( 2300 2052580 0 ) ( 14950 2052580 )
+    NEW met2 ( 14950 2049350 ) ( 14950 2052580 )
+    NEW met2 ( 1362750 2003450 ) ( 1362750 2049350 )
+    NEW met1 ( 14950 2049350 ) ( 1362750 2049350 )
+    NEW met2 ( 1408290 1989340 ) ( 1409900 1989340 0 )
+    NEW met2 ( 1408290 1989340 ) ( 1408290 2003450 )
+    NEW met1 ( 1362750 2003450 ) ( 1408290 2003450 )
+    NEW met2 ( 14950 2052580 ) via2_FR
+    NEW met1 ( 14950 2049350 ) M1M2_PR
+    NEW met1 ( 1362750 2049350 ) M1M2_PR
+    NEW met1 ( 1362750 2003450 ) M1M2_PR
+    NEW met1 ( 1408290 2003450 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) 
+  + ROUTED met2 ( 2900990 497420 ) ( 2900990 502690 )
+    NEW met3 ( 2900990 497420 ) ( 2917780 497420 0 )
+    NEW met1 ( 1590450 502690 ) ( 2900990 502690 )
+    NEW met2 ( 1196920 1989340 0 ) ( 1198530 1989340 )
+    NEW met2 ( 1198530 1989340 ) ( 1198530 1995290 )
+    NEW met1 ( 1198530 1995290 ) ( 1590450 1995290 )
+    NEW met2 ( 1590450 502690 ) ( 1590450 1995290 )
+    NEW met1 ( 2900990 502690 ) M1M2_PR
+    NEW met2 ( 2900990 497420 ) via2_FR
+    NEW met1 ( 1590450 502690 ) M1M2_PR
+    NEW met1 ( 1198530 1995290 ) M1M2_PR
+    NEW met1 ( 1590450 1995290 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) 
+  + ROUTED met3 ( 2300 1792140 0 ) ( 16790 1792140 )
+    NEW met2 ( 16790 1792140 ) ( 16790 1793670 )
+    NEW met2 ( 1162650 1793670 ) ( 1162650 1992230 )
+    NEW met1 ( 16790 1793670 ) ( 1162650 1793670 )
+    NEW met2 ( 1416570 1989340 ) ( 1418180 1989340 0 )
+    NEW met2 ( 1416570 1989340 ) ( 1416570 1992230 )
+    NEW met1 ( 1162650 1992230 ) ( 1416570 1992230 )
+    NEW met2 ( 16790 1792140 ) via2_FR
+    NEW met1 ( 16790 1793670 ) M1M2_PR
+    NEW met1 ( 1162650 1793670 ) M1M2_PR
+    NEW met1 ( 1162650 1992230 ) M1M2_PR
+    NEW met1 ( 1416570 1992230 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) 
+  + ROUTED met3 ( 2300 1531020 0 ) ( 17710 1531020 )
+    NEW met2 ( 17710 1530850 ) ( 17710 1531020 )
+    NEW met2 ( 1170930 1530850 ) ( 1170930 1983050 )
+    NEW met1 ( 17710 1530850 ) ( 1170930 1530850 )
+    NEW li1 ( 1424390 1983050 ) ( 1424390 1986790 )
+    NEW met2 ( 1424390 1986620 ) ( 1424390 1986790 )
+    NEW met2 ( 1424390 1986620 ) ( 1425770 1986620 0 )
+    NEW met1 ( 1170930 1983050 ) ( 1424390 1983050 )
+    NEW met2 ( 17710 1531020 ) via2_FR
+    NEW met1 ( 17710 1530850 ) M1M2_PR
+    NEW met1 ( 1170930 1530850 ) M1M2_PR
+    NEW met1 ( 1170930 1983050 ) M1M2_PR
+    NEW li1 ( 1424390 1983050 ) L1M1_PR_MR
+    NEW li1 ( 1424390 1986790 ) L1M1_PR_MR
+    NEW met1 ( 1424390 1986790 ) M1M2_PR
+    NEW met1 ( 1424390 1986790 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) 
+  + ROUTED met3 ( 2300 1270580 0 ) ( 17250 1270580 )
+    NEW met2 ( 17250 1981860 ) ( 17710 1981860 )
+    NEW met2 ( 17710 1981860 ) ( 17710 1997330 )
+    NEW met2 ( 17250 1270580 ) ( 17250 1981860 )
+    NEW met2 ( 1380230 1997330 ) ( 1380230 2001410 )
+    NEW met1 ( 17710 1997330 ) ( 1380230 1997330 )
+    NEW met2 ( 1434050 1989340 0 ) ( 1434050 2001410 )
+    NEW met1 ( 1380230 2001410 ) ( 1434050 2001410 )
+    NEW met2 ( 17250 1270580 ) via2_FR
+    NEW met1 ( 17710 1997330 ) M1M2_PR
+    NEW met1 ( 1380230 1997330 ) M1M2_PR
+    NEW met1 ( 1380230 2001410 ) M1M2_PR
+    NEW met1 ( 1434050 2001410 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) 
+  + ROUTED met3 ( 2300 1009460 0 ) ( 17250 1009460 )
+    NEW met2 ( 17250 1009460 ) ( 17250 1014050 )
+    NEW met2 ( 1059150 1014050 ) ( 1059150 1987810 )
+    NEW met2 ( 1440030 1987810 ) ( 1440030 1987980 )
+    NEW met2 ( 1440030 1987980 ) ( 1441640 1987980 0 )
+    NEW met1 ( 17250 1014050 ) ( 1059150 1014050 )
+    NEW met1 ( 1059150 1987810 ) ( 1440030 1987810 )
+    NEW met2 ( 17250 1009460 ) via2_FR
+    NEW met1 ( 17250 1014050 ) M1M2_PR
+    NEW met1 ( 1059150 1014050 ) M1M2_PR
+    NEW met1 ( 1059150 1987810 ) M1M2_PR
+    NEW met1 ( 1440030 1987810 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) 
+  + ROUTED met3 ( 1451530 1987300 ) ( 1453140 1987300 )
+    NEW met2 ( 1449690 1987300 0 ) ( 1451530 1987300 )
+    NEW met3 ( 2300 749020 0 ) ( 34500 749020 )
+    NEW met3 ( 34500 749020 ) ( 34500 751740 )
+    NEW met3 ( 34500 751740 ) ( 1453140 751740 )
+    NEW met4 ( 1453140 751740 ) ( 1453140 1987300 )
+    NEW met3 ( 1453140 751740 ) M3M4_PR_M
+    NEW met3 ( 1453140 1987300 ) M3M4_PR_M
+    NEW met2 ( 1451530 1987300 ) via2_FR
++ USE SIGNAL ;
+- io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) 
+  + ROUTED met3 ( 1455900 1987300 ) ( 1456130 1987300 )
+    NEW met2 ( 1456130 1987300 ) ( 1457510 1987300 0 )
+    NEW met3 ( 2300 487900 0 ) ( 34500 487900 )
+    NEW met3 ( 34500 487900 ) ( 34500 488580 )
+    NEW met3 ( 34500 488580 ) ( 1455900 488580 )
+    NEW met4 ( 1455900 488580 ) ( 1455900 1987300 )
+    NEW met3 ( 1455900 488580 ) M3M4_PR_M
+    NEW met3 ( 1455900 1987300 ) M3M4_PR_M
+    NEW met2 ( 1456130 1987300 ) via2_FR
+    NEW met3 ( 1455900 1987300 ) RECT ( -390 -150 0 150 )
++ USE SIGNAL ;
+- io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) 
+  + ROUTED met3 ( 1463260 1987300 ) ( 1463950 1987300 )
+    NEW met2 ( 1463950 1987300 ) ( 1465560 1987300 0 )
+    NEW met3 ( 2300 292740 0 ) ( 34500 292740 )
+    NEW met3 ( 34500 292740 ) ( 34500 295460 )
+    NEW met3 ( 34500 295460 ) ( 1463260 295460 )
+    NEW met4 ( 1463260 295460 ) ( 1463260 1987300 )
+    NEW met3 ( 1463260 295460 ) M3M4_PR_M
+    NEW met3 ( 1463260 1987300 ) M3M4_PR_M
+    NEW met2 ( 1463950 1987300 ) via2_FR
++ USE SIGNAL ;
+- io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) 
+  + ROUTED met3 ( 2300 96900 0 ) ( 16330 96900 )
+    NEW met2 ( 16330 96900 ) ( 16330 102850 )
+    NEW met2 ( 1473380 1986620 0 ) ( 1475450 1986620 )
+    NEW met1 ( 16330 102850 ) ( 1475450 102850 )
+    NEW met2 ( 1475450 102850 ) ( 1475450 1986620 )
+    NEW met2 ( 16330 96900 ) via2_FR
+    NEW met1 ( 16330 102850 ) M1M2_PR
+    NEW met1 ( 1475450 102850 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) 
+  + ROUTED met2 ( 2900990 696660 ) ( 2900990 696830 )
+    NEW met3 ( 2900990 696660 ) ( 2917780 696660 0 )
+    NEW met1 ( 1604250 696830 ) ( 2900990 696830 )
+    NEW li1 ( 1206810 1981690 ) ( 1206810 1986450 )
+    NEW met2 ( 1206810 1986450 ) ( 1206810 1986620 )
+    NEW met2 ( 1204970 1986620 0 ) ( 1206810 1986620 )
+    NEW met2 ( 1604250 696830 ) ( 1604250 1981690 )
+    NEW met1 ( 1206810 1981690 ) ( 1604250 1981690 )
+    NEW met1 ( 2900990 696830 ) M1M2_PR
+    NEW met2 ( 2900990 696660 ) via2_FR
+    NEW met1 ( 1604250 696830 ) M1M2_PR
+    NEW li1 ( 1206810 1981690 ) L1M1_PR_MR
+    NEW li1 ( 1206810 1986450 ) L1M1_PR_MR
+    NEW met1 ( 1206810 1986450 ) M1M2_PR
+    NEW met1 ( 1604250 1981690 ) M1M2_PR
+    NEW met1 ( 1206810 1986450 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) 
+  + ROUTED met3 ( 1213940 890460 ) ( 2835900 890460 )
+    NEW met3 ( 2835900 890460 ) ( 2835900 895900 )
+    NEW met3 ( 2835900 895900 ) ( 2917780 895900 0 )
+    NEW met3 ( 1213710 1987300 ) ( 1213940 1987300 )
+    NEW met2 ( 1212790 1987300 0 ) ( 1213710 1987300 )
+    NEW met4 ( 1213940 890460 ) ( 1213940 1987300 )
+    NEW met3 ( 1213940 890460 ) M3M4_PR_M
+    NEW met3 ( 1213940 1987300 ) M3M4_PR_M
+    NEW met2 ( 1213710 1987300 ) via2_FR
+    NEW met3 ( 1213940 1987300 ) RECT ( 0 -150 390 150 )
++ USE SIGNAL ;
+- io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) 
+  + ROUTED met3 ( 1220380 1091740 ) ( 2835900 1091740 )
+    NEW met3 ( 2835900 1091740 ) ( 2835900 1095140 )
+    NEW met3 ( 2835900 1095140 ) ( 2917780 1095140 0 )
+    NEW met3 ( 1220150 1987300 ) ( 1220380 1987300 )
+    NEW met2 ( 1220150 1987300 ) ( 1220840 1987300 0 )
+    NEW met4 ( 1220380 1091740 ) ( 1220380 1987300 )
+    NEW met3 ( 1220380 1091740 ) M3M4_PR_M
+    NEW met3 ( 1220380 1987300 ) M3M4_PR_M
+    NEW met2 ( 1220150 1987300 ) via2_FR
+    NEW met3 ( 1220380 1987300 ) RECT ( 0 -150 390 150 )
++ USE SIGNAL ;
+- io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) 
+  + ROUTED met3 ( 2901220 1290300 ) ( 2901220 1294380 )
+    NEW met3 ( 2901220 1294380 ) ( 2917780 1294380 0 )
+    NEW met3 ( 1232340 1290300 ) ( 2901220 1290300 )
+    NEW met3 ( 1229810 1987300 ) ( 1232340 1987300 )
+    NEW met2 ( 1228430 1987300 0 ) ( 1229810 1987300 )
+    NEW met4 ( 1232340 1290300 ) ( 1232340 1987300 )
+    NEW met3 ( 1232340 1290300 ) M3M4_PR_M
+    NEW met3 ( 1232340 1987300 ) M3M4_PR_M
+    NEW met2 ( 1229810 1987300 ) via2_FR
++ USE SIGNAL ;
+- io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) 
+  + ROUTED met3 ( 2835900 1559580 ) ( 2835900 1560260 )
+    NEW met3 ( 2835900 1560260 ) ( 2917780 1560260 0 )
+    NEW met3 ( 1237630 1987300 ) ( 1241540 1987300 )
+    NEW met2 ( 1236710 1987300 0 ) ( 1237630 1987300 )
+    NEW met4 ( 1241540 1559580 ) ( 1241540 1987300 )
+    NEW met3 ( 1241540 1559580 ) ( 2835900 1559580 )
+    NEW met3 ( 1241540 1559580 ) M3M4_PR_M
+    NEW met3 ( 1241540 1987300 ) M3M4_PR_M
+    NEW met2 ( 1237630 1987300 ) via2_FR
++ USE SIGNAL ;
+- io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) 
+  + ROUTED met2 ( 2900990 1825460 ) ( 2900990 1828350 )
+    NEW met3 ( 2900990 1825460 ) ( 2917780 1825460 0 )
+    NEW met1 ( 1493850 1828350 ) ( 2900990 1828350 )
+    NEW met1 ( 1245910 1998350 ) ( 1493850 1998350 )
+    NEW met2 ( 1493850 1828350 ) ( 1493850 1998350 )
+    NEW met2 ( 1244300 1989340 0 ) ( 1245910 1989340 )
+    NEW met2 ( 1245910 1989340 ) ( 1245910 1998350 )
+    NEW met1 ( 2900990 1828350 ) M1M2_PR
+    NEW met2 ( 2900990 1825460 ) via2_FR
+    NEW met1 ( 1245910 1998350 ) M1M2_PR
+    NEW met1 ( 1493850 1828350 ) M1M2_PR
+    NEW met1 ( 1493850 1998350 ) M1M2_PR
++ USE SIGNAL ;
+- io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) 
+  + ROUTED met2 ( 1254190 2014800 ) ( 1254650 2014800 )
+    NEW met2 ( 1254650 2014800 ) ( 1254650 2090830 )
+    NEW met2 ( 2900990 2090830 ) ( 2900990 2091340 )
+    NEW met3 ( 2900990 2091340 ) ( 2917780 2091340 0 )
+    NEW met1 ( 1254650 2090830 ) ( 2900990 2090830 )
+    NEW met2 ( 1252120 1989340 0 ) ( 1254190 1989340 )
+    NEW met2 ( 1254190 1989340 ) ( 1254190 2014800 )
+    NEW met1 ( 1254650 2090830 ) M1M2_PR
+    NEW met1 ( 2900990 2090830 ) M1M2_PR
+    NEW met2 ( 2900990 2091340 ) via2_FR
++ USE SIGNAL ;
+- la_data_in[0] ( PIN la_data_in[0] ) ( mprj la_data_in[0] ) 
+  + ROUTED met2 ( 1166330 1665830 ) ( 1166330 1666850 )
+    NEW met1 ( 1166330 1665830 ) ( 1172770 1665830 )
+    NEW met2 ( 1172770 1665830 ) ( 1172770 1679430 )
+    NEW met2 ( 629510 2380 0 ) ( 629510 17850 )
+    NEW met1 ( 629510 17850 ) ( 634570 17850 )
+    NEW met1 ( 634570 1666850 ) ( 1166330 1666850 )
+    NEW met2 ( 634570 17850 ) ( 634570 1666850 )
+    NEW met1 ( 1172770 1679430 ) ( 1193700 1679430 )
+    NEW met1 ( 1193700 1679090 ) ( 1193700 1679430 )
+    NEW met2 ( 1239930 1679090 ) ( 1239930 1690140 0 )
+    NEW met1 ( 1193700 1679090 ) ( 1239930 1679090 )
+    NEW met1 ( 1166330 1666850 ) M1M2_PR
+    NEW met1 ( 1166330 1665830 ) M1M2_PR
+    NEW met1 ( 1172770 1665830 ) M1M2_PR
+    NEW met1 ( 1172770 1679430 ) M1M2_PR
+    NEW met1 ( 629510 17850 ) M1M2_PR
+    NEW met1 ( 634570 17850 ) M1M2_PR
+    NEW met1 ( 634570 1666850 ) M1M2_PR
+    NEW met1 ( 1239930 1679090 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[100] ( PIN la_data_in[100] ) ( mprj la_data_in[100] ) 
+  + ROUTED met2 ( 2402810 2380 0 ) ( 2402810 23970 )
+    NEW met1 ( 2397750 23970 ) ( 2402810 23970 )
+    NEW met2 ( 2397750 23970 ) ( 2397750 1680110 )
+    NEW met1 ( 1435890 1683170 ) ( 1435890 1683510 )
+    NEW met1 ( 1423470 1683170 ) ( 1435890 1683170 )
+    NEW met2 ( 1423470 1683170 ) ( 1423470 1690140 0 )
+    NEW met1 ( 1435890 1683510 ) ( 1449000 1683510 )
+    NEW met1 ( 1449000 1683510 ) ( 1449000 1684190 )
+    NEW met1 ( 1449000 1684190 ) ( 1473150 1684190 )
+    NEW li1 ( 1473150 1680110 ) ( 1473150 1684190 )
+    NEW met1 ( 1473150 1680110 ) ( 2397750 1680110 )
+    NEW met1 ( 2402810 23970 ) M1M2_PR
+    NEW met1 ( 2397750 23970 ) M1M2_PR
+    NEW met1 ( 2397750 1680110 ) M1M2_PR
+    NEW met1 ( 1423470 1683170 ) M1M2_PR
+    NEW li1 ( 1473150 1684190 ) L1M1_PR_MR
+    NEW li1 ( 1473150 1680110 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[101] ( PIN la_data_in[101] ) ( mprj la_data_in[101] ) 
+  + ROUTED met2 ( 2415230 82800 ) ( 2420290 82800 )
+    NEW met2 ( 2420290 2380 0 ) ( 2420290 82800 )
+    NEW met2 ( 2415230 82800 ) ( 2415230 1666850 )
+    NEW met2 ( 1425310 1682830 ) ( 1425310 1690140 0 )
+    NEW li1 ( 1463950 1682830 ) ( 1464870 1682830 )
+    NEW li1 ( 1464870 1679770 ) ( 1464870 1682830 )
+    NEW met1 ( 1464870 1679770 ) ( 1490630 1679770 )
+    NEW met2 ( 1490630 1666850 ) ( 1490630 1679770 )
+    NEW met1 ( 1425310 1682830 ) ( 1463950 1682830 )
+    NEW met1 ( 1490630 1666850 ) ( 2415230 1666850 )
+    NEW met1 ( 2415230 1666850 ) M1M2_PR
+    NEW met1 ( 1425310 1682830 ) M1M2_PR
+    NEW li1 ( 1463950 1682830 ) L1M1_PR_MR
+    NEW li1 ( 1464870 1679770 ) L1M1_PR_MR
+    NEW met1 ( 1490630 1679770 ) M1M2_PR
+    NEW met1 ( 1490630 1666850 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[102] ( PIN la_data_in[102] ) ( mprj la_data_in[102] ) 
+  + ROUTED met2 ( 2438230 2380 0 ) ( 2438230 44710 )
+    NEW met2 ( 1611150 44710 ) ( 1611150 1680450 )
+    NEW met1 ( 1611150 44710 ) ( 2438230 44710 )
+    NEW li1 ( 1462570 1680450 ) ( 1462570 1681810 )
+    NEW met1 ( 1427150 1681810 ) ( 1462570 1681810 )
+    NEW met2 ( 1427150 1681810 ) ( 1427150 1690140 0 )
+    NEW li1 ( 1462570 1680450 ) ( 1463030 1680450 )
+    NEW met1 ( 1463030 1680450 ) ( 1611150 1680450 )
+    NEW met1 ( 2438230 44710 ) M1M2_PR
+    NEW met1 ( 1611150 44710 ) M1M2_PR
+    NEW met1 ( 1611150 1680450 ) M1M2_PR
+    NEW li1 ( 1462570 1681810 ) L1M1_PR_MR
+    NEW met1 ( 1427150 1681810 ) M1M2_PR
+    NEW li1 ( 1463030 1680450 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[103] ( PIN la_data_in[103] ) ( mprj la_data_in[103] ) 
+  + ROUTED met2 ( 2455710 2380 0 ) ( 2455710 17510 )
+    NEW met1 ( 2449730 17510 ) ( 2455710 17510 )
+    NEW met1 ( 1477290 1652910 ) ( 2449730 1652910 )
+    NEW met2 ( 2449730 17510 ) ( 2449730 1652910 )
+    NEW li1 ( 1462110 1679090 ) ( 1462110 1682150 )
+    NEW met1 ( 1445090 1682150 ) ( 1462110 1682150 )
+    NEW li1 ( 1445090 1680110 ) ( 1445090 1682150 )
+    NEW met1 ( 1429450 1680110 ) ( 1445090 1680110 )
+    NEW met2 ( 1429450 1680110 ) ( 1429450 1688780 )
+    NEW met2 ( 1429220 1688780 ) ( 1429450 1688780 )
+    NEW met2 ( 1429220 1688780 ) ( 1429220 1690140 0 )
+    NEW met1 ( 1463030 1679090 ) ( 1464410 1679090 )
+    NEW met1 ( 1464410 1679090 ) ( 1464410 1679430 )
+    NEW met1 ( 1464410 1679430 ) ( 1477290 1679430 )
+    NEW li1 ( 1462110 1679090 ) ( 1463030 1679090 )
+    NEW met2 ( 1477290 1652910 ) ( 1477290 1679430 )
+    NEW met1 ( 1477290 1652910 ) M1M2_PR
+    NEW met1 ( 2455710 17510 ) M1M2_PR
+    NEW met1 ( 2449730 17510 ) M1M2_PR
+    NEW met1 ( 2449730 1652910 ) M1M2_PR
+    NEW li1 ( 1462110 1682150 ) L1M1_PR_MR
+    NEW li1 ( 1445090 1682150 ) L1M1_PR_MR
+    NEW li1 ( 1445090 1680110 ) L1M1_PR_MR
+    NEW met1 ( 1429450 1680110 ) M1M2_PR
+    NEW li1 ( 1463030 1679090 ) L1M1_PR_MR
+    NEW met1 ( 1477290 1679430 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[104] ( PIN la_data_in[104] ) ( mprj la_data_in[104] ) 
+  + ROUTED met1 ( 1521450 86190 ) ( 2470430 86190 )
+    NEW met2 ( 1521450 86190 ) ( 1521450 1682490 )
+    NEW met2 ( 2470430 82800 ) ( 2470430 86190 )
+    NEW met2 ( 2470430 82800 ) ( 2473650 82800 )
+    NEW met2 ( 2473650 2380 0 ) ( 2473650 82800 )
+    NEW met2 ( 1431060 1689460 ) ( 1431060 1690140 0 )
+    NEW met2 ( 1430830 1689460 ) ( 1431060 1689460 )
+    NEW met2 ( 1430830 1682490 ) ( 1430830 1689460 )
+    NEW met1 ( 1430830 1682490 ) ( 1521450 1682490 )
+    NEW met1 ( 1521450 86190 ) M1M2_PR
+    NEW met1 ( 2470430 86190 ) M1M2_PR
+    NEW met1 ( 1521450 1682490 ) M1M2_PR
+    NEW met1 ( 1430830 1682490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[105] ( PIN la_data_in[105] ) ( mprj la_data_in[105] ) 
+  + ROUTED met2 ( 2491130 2380 0 ) ( 2491130 7140 )
+    NEW met2 ( 2491130 7140 ) ( 2491590 7140 )
+    NEW met1 ( 1480050 92990 ) ( 2491590 92990 )
+    NEW met2 ( 2491590 7140 ) ( 2491590 92990 )
+    NEW li1 ( 1459810 1676710 ) ( 1460270 1676710 )
+    NEW li1 ( 1459810 1676710 ) ( 1459810 1679770 )
+    NEW met1 ( 1434050 1679770 ) ( 1459810 1679770 )
+    NEW met2 ( 1434050 1679770 ) ( 1434050 1688610 )
+    NEW met1 ( 1433130 1688610 ) ( 1434050 1688610 )
+    NEW met1 ( 1433130 1688610 ) ( 1433130 1689290 )
+    NEW met1 ( 1432670 1689290 ) ( 1433130 1689290 )
+    NEW met1 ( 1432670 1689290 ) ( 1432670 1689630 )
+    NEW met2 ( 1432670 1689630 ) ( 1432670 1690140 )
+    NEW met2 ( 1432440 1690140 0 ) ( 1432670 1690140 )
+    NEW met1 ( 1466710 1676370 ) ( 1466710 1676710 )
+    NEW met1 ( 1466710 1676370 ) ( 1476830 1676370 )
+    NEW met1 ( 1476830 1676370 ) ( 1476830 1676710 )
+    NEW met1 ( 1476830 1676710 ) ( 1480050 1676710 )
+    NEW met1 ( 1460270 1676710 ) ( 1466710 1676710 )
+    NEW met2 ( 1480050 92990 ) ( 1480050 1676710 )
+    NEW met1 ( 1480050 92990 ) M1M2_PR
+    NEW met1 ( 2491590 92990 ) M1M2_PR
+    NEW li1 ( 1460270 1676710 ) L1M1_PR_MR
+    NEW li1 ( 1459810 1679770 ) L1M1_PR_MR
+    NEW met1 ( 1434050 1679770 ) M1M2_PR
+    NEW met1 ( 1434050 1688610 ) M1M2_PR
+    NEW met1 ( 1432670 1689630 ) M1M2_PR
+    NEW met1 ( 1480050 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[106] ( PIN la_data_in[106] ) ( mprj la_data_in[106] ) 
+  + ROUTED met2 ( 2504930 82800 ) ( 2509070 82800 )
+    NEW met2 ( 2509070 2380 0 ) ( 2509070 82800 )
+    NEW met2 ( 2504930 82800 ) ( 2504930 1638970 )
+    NEW met1 ( 1434970 1638970 ) ( 2504930 1638970 )
+    NEW met2 ( 1434510 1690140 0 ) ( 1434970 1690140 )
+    NEW met2 ( 1434970 1638970 ) ( 1434970 1690140 )
+    NEW met1 ( 2504930 1638970 ) M1M2_PR
+    NEW met1 ( 1434970 1638970 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[107] ( PIN la_data_in[107] ) ( mprj la_data_in[107] ) 
+  + ROUTED met2 ( 2525630 82800 ) ( 2525630 106930 )
+    NEW met2 ( 2525630 82800 ) ( 2527010 82800 )
+    NEW met2 ( 2527010 2380 0 ) ( 2527010 82800 )
+    NEW met1 ( 1514550 106930 ) ( 2525630 106930 )
+    NEW met2 ( 1514550 106930 ) ( 1514550 1682830 )
+    NEW met1 ( 1462340 1683170 ) ( 1462340 1683510 )
+    NEW met1 ( 1436350 1683170 ) ( 1462340 1683170 )
+    NEW met2 ( 1436350 1683170 ) ( 1436350 1690140 0 )
+    NEW met1 ( 1511100 1682830 ) ( 1514550 1682830 )
+    NEW met1 ( 1462340 1683510 ) ( 1462800 1683510 )
+    NEW met1 ( 1462800 1683170 ) ( 1462800 1683510 )
+    NEW met1 ( 1462800 1683170 ) ( 1511100 1683170 )
+    NEW met1 ( 1511100 1682830 ) ( 1511100 1683170 )
+    NEW met1 ( 2525630 106930 ) M1M2_PR
+    NEW met1 ( 1514550 106930 ) M1M2_PR
+    NEW met1 ( 1514550 1682830 ) M1M2_PR
+    NEW met1 ( 1436350 1683170 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[108] ( PIN la_data_in[108] ) ( mprj la_data_in[108] ) 
+  + ROUTED met2 ( 2539430 82800 ) ( 2544490 82800 )
+    NEW met2 ( 2544490 2380 0 ) ( 2544490 82800 )
+    NEW met2 ( 2539430 82800 ) ( 2539430 1611430 )
+    NEW met1 ( 1494770 1611430 ) ( 2539430 1611430 )
+    NEW met2 ( 1438190 1680450 ) ( 1438190 1690140 0 )
+    NEW li1 ( 1451070 1672290 ) ( 1451070 1680450 )
+    NEW met1 ( 1451070 1672290 ) ( 1494770 1672290 )
+    NEW met1 ( 1438190 1680450 ) ( 1451070 1680450 )
+    NEW met2 ( 1494770 1611430 ) ( 1494770 1672290 )
+    NEW met1 ( 2539430 1611430 ) M1M2_PR
+    NEW met1 ( 1494770 1611430 ) M1M2_PR
+    NEW met1 ( 1438190 1680450 ) M1M2_PR
+    NEW li1 ( 1451070 1680450 ) L1M1_PR_MR
+    NEW li1 ( 1451070 1672290 ) L1M1_PR_MR
+    NEW met1 ( 1494770 1672290 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[109] ( PIN la_data_in[109] ) ( mprj la_data_in[109] ) 
+  + ROUTED met1 ( 1618050 120530 ) ( 2560130 120530 )
+    NEW met2 ( 1618050 120530 ) ( 1618050 1681470 )
+    NEW met2 ( 2560130 82800 ) ( 2560130 120530 )
+    NEW met2 ( 2560130 82800 ) ( 2562430 82800 )
+    NEW met2 ( 2562430 2380 0 ) ( 2562430 82800 )
+    NEW met2 ( 1440030 1680790 ) ( 1440030 1689460 )
+    NEW met2 ( 1440030 1689460 ) ( 1440260 1689460 )
+    NEW met2 ( 1440260 1689460 ) ( 1440260 1690140 0 )
+    NEW met1 ( 1484650 1680790 ) ( 1484650 1681470 )
+    NEW met1 ( 1440030 1680790 ) ( 1484650 1680790 )
+    NEW met1 ( 1484650 1681470 ) ( 1618050 1681470 )
+    NEW met1 ( 1618050 120530 ) M1M2_PR
+    NEW met1 ( 2560130 120530 ) M1M2_PR
+    NEW met1 ( 1618050 1681470 ) M1M2_PR
+    NEW met1 ( 1440030 1680790 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[10] ( PIN la_data_in[10] ) ( mprj la_data_in[10] ) 
+  + ROUTED met2 ( 806610 2380 0 ) ( 806610 17340 )
+    NEW met2 ( 806610 17340 ) ( 807070 17340 )
+    NEW met2 ( 807070 17340 ) ( 807070 1654270 )
+    NEW met1 ( 1166790 1680790 ) ( 1185650 1680790 )
+    NEW li1 ( 1185650 1680790 ) ( 1185650 1683510 )
+    NEW met2 ( 1166790 1654270 ) ( 1166790 1680790 )
+    NEW met1 ( 807070 1654270 ) ( 1166790 1654270 )
+    NEW met2 ( 1258330 1683510 ) ( 1258330 1690140 0 )
+    NEW met1 ( 1185650 1683510 ) ( 1258330 1683510 )
+    NEW met1 ( 807070 1654270 ) M1M2_PR
+    NEW met1 ( 1166790 1654270 ) M1M2_PR
+    NEW met1 ( 1166790 1680790 ) M1M2_PR
+    NEW li1 ( 1185650 1680790 ) L1M1_PR_MR
+    NEW li1 ( 1185650 1683510 ) L1M1_PR_MR
+    NEW met1 ( 1258330 1683510 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[110] ( PIN la_data_in[110] ) ( mprj la_data_in[110] ) 
+  + ROUTED met2 ( 2579910 2380 0 ) ( 2579910 17510 )
+    NEW met1 ( 2573930 17510 ) ( 2579910 17510 )
+    NEW met1 ( 1482810 1597150 ) ( 2573930 1597150 )
+    NEW met2 ( 2573930 17510 ) ( 2573930 1597150 )
+    NEW met2 ( 1442330 1681470 ) ( 1442330 1688270 )
+    NEW met2 ( 1442100 1688270 ) ( 1442330 1688270 )
+    NEW met2 ( 1442100 1688270 ) ( 1442100 1690140 0 )
+    NEW met1 ( 1463950 1681470 ) ( 1463950 1681810 )
+    NEW met1 ( 1463950 1681810 ) ( 1482810 1681810 )
+    NEW met1 ( 1442330 1681470 ) ( 1463950 1681470 )
+    NEW met2 ( 1482810 1597150 ) ( 1482810 1681810 )
+    NEW met1 ( 1482810 1597150 ) M1M2_PR
+    NEW met1 ( 2579910 17510 ) M1M2_PR
+    NEW met1 ( 2573930 17510 ) M1M2_PR
+    NEW met1 ( 2573930 1597150 ) M1M2_PR
+    NEW met1 ( 1442330 1681470 ) M1M2_PR
+    NEW met1 ( 1482810 1681810 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[111] ( PIN la_data_in[111] ) ( mprj la_data_in[111] ) 
+  + ROUTED met2 ( 2594630 82800 ) ( 2597850 82800 )
+    NEW met2 ( 2597850 2380 0 ) ( 2597850 82800 )
+    NEW met2 ( 2594630 82800 ) ( 2594630 1673650 )
+    NEW met2 ( 1444170 1673650 ) ( 1444170 1690140 )
+    NEW met2 ( 1443480 1690140 0 ) ( 1444170 1690140 )
+    NEW met1 ( 1444170 1673650 ) ( 2594630 1673650 )
+    NEW met1 ( 2594630 1673650 ) M1M2_PR
+    NEW met1 ( 1444170 1673650 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[112] ( PIN la_data_in[112] ) ( mprj la_data_in[112] ) 
+  + ROUTED met2 ( 2615330 2380 0 ) ( 2615330 7140 )
+    NEW met2 ( 2615330 7140 ) ( 2615790 7140 )
+    NEW met2 ( 2615790 7140 ) ( 2615790 134470 )
+    NEW met1 ( 1493850 134470 ) ( 2615790 134470 )
+    NEW li1 ( 1460730 1678750 ) ( 1460730 1680110 )
+    NEW met1 ( 1445550 1680110 ) ( 1460730 1680110 )
+    NEW met2 ( 1445550 1680110 ) ( 1445550 1690140 0 )
+    NEW li1 ( 1464870 1678750 ) ( 1464870 1679430 )
+    NEW li1 ( 1464870 1679430 ) ( 1466710 1679430 )
+    NEW li1 ( 1466710 1678750 ) ( 1466710 1679430 )
+    NEW li1 ( 1466710 1678750 ) ( 1469010 1678750 )
+    NEW met1 ( 1469010 1678750 ) ( 1493850 1678750 )
+    NEW met1 ( 1460730 1678750 ) ( 1464870 1678750 )
+    NEW met2 ( 1493850 134470 ) ( 1493850 1678750 )
+    NEW met1 ( 2615790 134470 ) M1M2_PR
+    NEW met1 ( 1493850 134470 ) M1M2_PR
+    NEW li1 ( 1460730 1678750 ) L1M1_PR_MR
+    NEW li1 ( 1460730 1680110 ) L1M1_PR_MR
+    NEW met1 ( 1445550 1680110 ) M1M2_PR
+    NEW li1 ( 1464870 1678750 ) L1M1_PR_MR
+    NEW li1 ( 1469010 1678750 ) L1M1_PR_MR
+    NEW met1 ( 1493850 1678750 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[113] ( PIN la_data_in[113] ) ( mprj la_data_in[113] ) 
+  + ROUTED met2 ( 2629130 82800 ) ( 2633270 82800 )
+    NEW met2 ( 2633270 2380 0 ) ( 2633270 82800 )
+    NEW met2 ( 2629130 82800 ) ( 2629130 1666510 )
+    NEW met2 ( 1446010 1666510 ) ( 1446010 1677390 )
+    NEW met1 ( 1446010 1677390 ) ( 1447390 1677390 )
+    NEW met2 ( 1447390 1677390 ) ( 1447390 1690140 0 )
+    NEW met1 ( 1446010 1666510 ) ( 2629130 1666510 )
+    NEW met1 ( 2629130 1666510 ) M1M2_PR
+    NEW met1 ( 1446010 1666510 ) M1M2_PR
+    NEW met1 ( 1446010 1677390 ) M1M2_PR
+    NEW met1 ( 1447390 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[114] ( PIN la_data_in[114] ) ( mprj la_data_in[114] ) 
+  + ROUTED met2 ( 1624950 148070 ) ( 1624950 1682150 )
+    NEW met2 ( 2649830 82800 ) ( 2650750 82800 )
+    NEW met2 ( 2650750 2380 0 ) ( 2650750 82800 )
+    NEW met1 ( 1624950 148070 ) ( 2649830 148070 )
+    NEW met2 ( 2649830 82800 ) ( 2649830 148070 )
+    NEW met2 ( 1449230 1681130 ) ( 1449230 1690140 0 )
+    NEW met1 ( 1484190 1681130 ) ( 1484190 1682150 )
+    NEW met1 ( 1449230 1681130 ) ( 1484190 1681130 )
+    NEW met1 ( 1484190 1682150 ) ( 1624950 1682150 )
+    NEW met1 ( 1624950 148070 ) M1M2_PR
+    NEW met1 ( 1624950 1682150 ) M1M2_PR
+    NEW met1 ( 2649830 148070 ) M1M2_PR
+    NEW met1 ( 1449230 1681130 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[115] ( PIN la_data_in[115] ) ( mprj la_data_in[115] ) 
+  + ROUTED met2 ( 2663630 82800 ) ( 2668690 82800 )
+    NEW met2 ( 2668690 2380 0 ) ( 2668690 82800 )
+    NEW met1 ( 1482350 1590350 ) ( 2663630 1590350 )
+    NEW met2 ( 2663630 82800 ) ( 2663630 1590350 )
+    NEW li1 ( 1461650 1677050 ) ( 1461650 1679090 )
+    NEW met1 ( 1451070 1679090 ) ( 1461650 1679090 )
+    NEW met2 ( 1451070 1679090 ) ( 1451070 1690140 )
+    NEW met2 ( 1451070 1690140 ) ( 1451300 1690140 0 )
+    NEW li1 ( 1464410 1677050 ) ( 1464410 1681470 )
+    NEW met1 ( 1464410 1681470 ) ( 1482350 1681470 )
+    NEW met1 ( 1461650 1677050 ) ( 1464410 1677050 )
+    NEW met2 ( 1482350 1590350 ) ( 1482350 1681470 )
+    NEW met1 ( 1482350 1590350 ) M1M2_PR
+    NEW met1 ( 2663630 1590350 ) M1M2_PR
+    NEW li1 ( 1461650 1677050 ) L1M1_PR_MR
+    NEW li1 ( 1461650 1679090 ) L1M1_PR_MR
+    NEW met1 ( 1451070 1679090 ) M1M2_PR
+    NEW li1 ( 1464410 1677050 ) L1M1_PR_MR
+    NEW li1 ( 1464410 1681470 ) L1M1_PR_MR
+    NEW met1 ( 1482350 1681470 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[116] ( PIN la_data_in[116] ) ( mprj la_data_in[116] ) 
+  + ROUTED met2 ( 2684330 82800 ) ( 2686170 82800 )
+    NEW met2 ( 2686170 2380 0 ) ( 2686170 82800 )
+    NEW met2 ( 2684330 82800 ) ( 2684330 1659710 )
+    NEW met2 ( 1454750 1659710 ) ( 1454750 1677390 )
+    NEW met1 ( 1453370 1677390 ) ( 1454750 1677390 )
+    NEW met2 ( 1453370 1677390 ) ( 1453370 1688780 )
+    NEW met2 ( 1453140 1688780 ) ( 1453370 1688780 )
+    NEW met2 ( 1453140 1688780 ) ( 1453140 1690140 0 )
+    NEW met1 ( 1454750 1659710 ) ( 2684330 1659710 )
+    NEW met1 ( 2684330 1659710 ) M1M2_PR
+    NEW met1 ( 1454750 1659710 ) M1M2_PR
+    NEW met1 ( 1454750 1677390 ) M1M2_PR
+    NEW met1 ( 1453370 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[117] ( PIN la_data_in[117] ) ( mprj la_data_in[117] ) 
+  + ROUTED met2 ( 2704110 2380 0 ) ( 2704110 17510 )
+    NEW met1 ( 2698130 17510 ) ( 2704110 17510 )
+    NEW met2 ( 2698130 17510 ) ( 2698130 1652570 )
+    NEW met1 ( 1483500 1651890 ) ( 1483500 1652570 )
+    NEW met1 ( 1483500 1652570 ) ( 2698130 1652570 )
+    NEW met1 ( 1454290 1651890 ) ( 1483500 1651890 )
+    NEW met2 ( 1454290 1688780 ) ( 1454520 1688780 )
+    NEW met2 ( 1454520 1688780 ) ( 1454520 1690140 0 )
+    NEW met2 ( 1454290 1651890 ) ( 1454290 1688780 )
+    NEW met1 ( 2704110 17510 ) M1M2_PR
+    NEW met1 ( 2698130 17510 ) M1M2_PR
+    NEW met1 ( 2698130 1652570 ) M1M2_PR
+    NEW met1 ( 1454290 1651890 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[118] ( PIN la_data_in[118] ) ( mprj la_data_in[118] ) 
+  + ROUTED met2 ( 2718830 82800 ) ( 2722050 82800 )
+    NEW met2 ( 2722050 2380 0 ) ( 2722050 82800 )
+    NEW met2 ( 2718830 82800 ) ( 2718830 1576750 )
+    NEW met1 ( 1481890 1576750 ) ( 2718830 1576750 )
+    NEW met2 ( 1462110 1677390 ) ( 1462110 1683850 )
+    NEW met1 ( 1461650 1683850 ) ( 1462110 1683850 )
+    NEW met1 ( 1461650 1683510 ) ( 1461650 1683850 )
+    NEW met1 ( 1456590 1683510 ) ( 1461650 1683510 )
+    NEW met2 ( 1456590 1683510 ) ( 1456590 1690140 0 )
+    NEW li1 ( 1465330 1677390 ) ( 1465330 1679090 )
+    NEW li1 ( 1465330 1679090 ) ( 1466250 1679090 )
+    NEW met1 ( 1466250 1679090 ) ( 1481890 1679090 )
+    NEW met1 ( 1462110 1677390 ) ( 1465330 1677390 )
+    NEW met2 ( 1481890 1576750 ) ( 1481890 1679090 )
+    NEW met1 ( 1481890 1576750 ) M1M2_PR
+    NEW met1 ( 2718830 1576750 ) M1M2_PR
+    NEW met1 ( 1462110 1677390 ) M1M2_PR
+    NEW met1 ( 1462110 1683850 ) M1M2_PR
+    NEW met1 ( 1456590 1683510 ) M1M2_PR
+    NEW li1 ( 1465330 1677390 ) L1M1_PR_MR
+    NEW li1 ( 1466250 1679090 ) L1M1_PR_MR
+    NEW met1 ( 1481890 1679090 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[119] ( PIN la_data_in[119] ) ( mprj la_data_in[119] ) 
+  + ROUTED met2 ( 2739530 2380 0 ) ( 2739530 1646110 )
+    NEW met1 ( 1457050 1646110 ) ( 2739530 1646110 )
+    NEW met1 ( 1457050 1677390 ) ( 1458430 1677390 )
+    NEW met2 ( 1458430 1677390 ) ( 1458430 1690140 0 )
+    NEW met2 ( 1457050 1646110 ) ( 1457050 1677390 )
+    NEW met1 ( 2739530 1646110 ) M1M2_PR
+    NEW met1 ( 1457050 1646110 ) M1M2_PR
+    NEW met1 ( 1457050 1677390 ) M1M2_PR
+    NEW met1 ( 1458430 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[11] ( PIN la_data_in[11] ) ( mprj la_data_in[11] ) 
+  + ROUTED met2 ( 1169550 86190 ) ( 1169550 1681130 )
+    NEW met1 ( 827770 86190 ) ( 1169550 86190 )
+    NEW met2 ( 824550 2380 0 ) ( 824550 34500 )
+    NEW met2 ( 824550 34500 ) ( 827770 34500 )
+    NEW met2 ( 827770 34500 ) ( 827770 86190 )
+    NEW met2 ( 1260170 1681130 ) ( 1260170 1690140 0 )
+    NEW met1 ( 1169550 1681130 ) ( 1260170 1681130 )
+    NEW met1 ( 1169550 86190 ) M1M2_PR
+    NEW met1 ( 1169550 1681130 ) M1M2_PR
+    NEW met1 ( 827770 86190 ) M1M2_PR
+    NEW met1 ( 1260170 1681130 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[120] ( PIN la_data_in[120] ) ( mprj la_data_in[120] ) 
+  + ROUTED met2 ( 2753330 82800 ) ( 2757470 82800 )
+    NEW met2 ( 2757470 2380 0 ) ( 2757470 82800 )
+    NEW met2 ( 2753330 82800 ) ( 2753330 1638630 )
+    NEW met1 ( 1456590 1638630 ) ( 2753330 1638630 )
+    NEW met1 ( 1456590 1678750 ) ( 1460270 1678750 )
+    NEW met2 ( 1460270 1678750 ) ( 1460270 1690140 0 )
+    NEW met2 ( 1456590 1638630 ) ( 1456590 1678750 )
+    NEW met1 ( 2753330 1638630 ) M1M2_PR
+    NEW met1 ( 1456590 1638630 ) M1M2_PR
+    NEW met1 ( 1456590 1678750 ) M1M2_PR
+    NEW met1 ( 1460270 1678750 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[121] ( PIN la_data_in[121] ) ( mprj la_data_in[121] ) 
+  + ROUTED met2 ( 2774030 82800 ) ( 2774950 82800 )
+    NEW met2 ( 2774950 2380 0 ) ( 2774950 82800 )
+    NEW met2 ( 2774030 82800 ) ( 2774030 1666170 )
+    NEW met2 ( 1462570 1666170 ) ( 1462570 1688780 )
+    NEW met2 ( 1462340 1688780 ) ( 1462570 1688780 )
+    NEW met2 ( 1462340 1688780 ) ( 1462340 1690140 0 )
+    NEW met1 ( 1462570 1666170 ) ( 2774030 1666170 )
+    NEW met1 ( 2774030 1666170 ) M1M2_PR
+    NEW met1 ( 1462570 1666170 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[122] ( PIN la_data_in[122] ) ( mprj la_data_in[122] ) 
+  + ROUTED met2 ( 2787830 82800 ) ( 2792890 82800 )
+    NEW met2 ( 2792890 2380 0 ) ( 2792890 82800 )
+    NEW met2 ( 2787830 82800 ) ( 2787830 1548870 )
+    NEW met1 ( 1487410 1548870 ) ( 2787830 1548870 )
+    NEW met1 ( 1484650 1676710 ) ( 1487410 1676710 )
+    NEW met2 ( 1484650 1676710 ) ( 1484650 1683510 )
+    NEW met1 ( 1463950 1683510 ) ( 1484650 1683510 )
+    NEW met2 ( 1463950 1683510 ) ( 1463950 1689290 )
+    NEW met2 ( 1463950 1689290 ) ( 1464180 1689290 )
+    NEW met2 ( 1464180 1689290 ) ( 1464180 1690140 0 )
+    NEW met2 ( 1487410 1548870 ) ( 1487410 1676710 )
+    NEW met1 ( 2787830 1548870 ) M1M2_PR
+    NEW met1 ( 1487410 1548870 ) M1M2_PR
+    NEW met1 ( 1487410 1676710 ) M1M2_PR
+    NEW met1 ( 1484650 1676710 ) M1M2_PR
+    NEW met1 ( 1484650 1683510 ) M1M2_PR
+    NEW met1 ( 1463950 1683510 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[123] ( PIN la_data_in[123] ) ( mprj la_data_in[123] ) 
+  + ROUTED met2 ( 2808530 82800 ) ( 2810370 82800 )
+    NEW met2 ( 2810370 2380 0 ) ( 2810370 82800 )
+    NEW met2 ( 2808530 82800 ) ( 2808530 1673310 )
+    NEW met2 ( 1465330 1673310 ) ( 1465330 1678580 )
+    NEW met2 ( 1465330 1678580 ) ( 1465790 1678580 )
+    NEW met2 ( 1465790 1678580 ) ( 1465790 1690140 )
+    NEW met2 ( 1465560 1690140 0 ) ( 1465790 1690140 )
+    NEW met1 ( 1465330 1673310 ) ( 2808530 1673310 )
+    NEW met1 ( 2808530 1673310 ) M1M2_PR
+    NEW met1 ( 1465330 1673310 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[124] ( PIN la_data_in[124] ) ( mprj la_data_in[124] ) 
+  + ROUTED met2 ( 2828310 2380 0 ) ( 2828310 17510 )
+    NEW met1 ( 2822330 17510 ) ( 2828310 17510 )
+    NEW met2 ( 2822330 17510 ) ( 2822330 1632510 )
+    NEW met1 ( 1464870 1632510 ) ( 2822330 1632510 )
+    NEW met1 ( 1464870 1677050 ) ( 1467630 1677050 )
+    NEW met2 ( 1467630 1677050 ) ( 1467630 1690140 0 )
+    NEW met2 ( 1464870 1632510 ) ( 1464870 1677050 )
+    NEW met1 ( 1464870 1632510 ) M1M2_PR
+    NEW met1 ( 2828310 17510 ) M1M2_PR
+    NEW met1 ( 2822330 17510 ) M1M2_PR
+    NEW met1 ( 2822330 1632510 ) M1M2_PR
+    NEW met1 ( 1464870 1677050 ) M1M2_PR
+    NEW met1 ( 1467630 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[125] ( PIN la_data_in[125] ) ( mprj la_data_in[125] ) 
+  + ROUTED met2 ( 1466250 1652060 ) ( 1466710 1652060 )
+    NEW met2 ( 1466710 1624690 ) ( 1466710 1652060 )
+    NEW met2 ( 2843030 82800 ) ( 2845790 82800 )
+    NEW met2 ( 2845790 2380 0 ) ( 2845790 82800 )
+    NEW met1 ( 1466710 1624690 ) ( 2843030 1624690 )
+    NEW met2 ( 2843030 82800 ) ( 2843030 1624690 )
+    NEW met1 ( 1466250 1677390 ) ( 1469470 1677390 )
+    NEW met2 ( 1469470 1677390 ) ( 1469470 1690140 0 )
+    NEW met2 ( 1466250 1652060 ) ( 1466250 1677390 )
+    NEW met1 ( 1466710 1624690 ) M1M2_PR
+    NEW met1 ( 2843030 1624690 ) M1M2_PR
+    NEW met1 ( 1466250 1677390 ) M1M2_PR
+    NEW met1 ( 1469470 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[126] ( PIN la_data_in[126] ) ( mprj la_data_in[126] ) 
+  + ROUTED met1 ( 1481430 1535270 ) ( 2864190 1535270 )
+    NEW met2 ( 2863730 2380 0 ) ( 2863730 34500 )
+    NEW met2 ( 2863730 34500 ) ( 2864190 34500 )
+    NEW met2 ( 2864190 34500 ) ( 2864190 1535270 )
+    NEW met1 ( 1471310 1677730 ) ( 1481430 1677730 )
+    NEW met2 ( 1471310 1677730 ) ( 1471310 1690140 0 )
+    NEW met2 ( 1481430 1535270 ) ( 1481430 1677730 )
+    NEW met1 ( 1481430 1535270 ) M1M2_PR
+    NEW met1 ( 2864190 1535270 ) M1M2_PR
+    NEW met1 ( 1481430 1677730 ) M1M2_PR
+    NEW met1 ( 1471310 1677730 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[127] ( PIN la_data_in[127] ) ( mprj la_data_in[127] ) 
+  + ROUTED met2 ( 2881670 2380 0 ) ( 2881670 17850 )
+    NEW met1 ( 2873850 17850 ) ( 2881670 17850 )
+    NEW met1 ( 1476370 1611090 ) ( 2873850 1611090 )
+    NEW met2 ( 2873850 17850 ) ( 2873850 1611090 )
+    NEW met1 ( 1473150 1677390 ) ( 1476370 1677390 )
+    NEW met2 ( 1473150 1677390 ) ( 1473150 1690140 )
+    NEW met2 ( 1473150 1690140 ) ( 1473380 1690140 0 )
+    NEW met2 ( 1476370 1611090 ) ( 1476370 1677390 )
+    NEW met1 ( 1476370 1611090 ) M1M2_PR
+    NEW met1 ( 2881670 17850 ) M1M2_PR
+    NEW met1 ( 2873850 17850 ) M1M2_PR
+    NEW met1 ( 2873850 1611090 ) M1M2_PR
+    NEW met1 ( 1476370 1677390 ) M1M2_PR
+    NEW met1 ( 1473150 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[12] ( PIN la_data_in[12] ) ( mprj la_data_in[12] ) 
+  + ROUTED met2 ( 842030 2380 0 ) ( 842030 20910 )
+    NEW met1 ( 842030 20910 ) ( 848470 20910 )
+    NEW met2 ( 848470 20910 ) ( 848470 1674330 )
+    NEW met2 ( 1262010 1674330 ) ( 1262010 1690140 0 )
+    NEW met1 ( 848470 1674330 ) ( 1262010 1674330 )
+    NEW met1 ( 842030 20910 ) M1M2_PR
+    NEW met1 ( 848470 20910 ) M1M2_PR
+    NEW met1 ( 848470 1674330 ) M1M2_PR
+    NEW met1 ( 1262010 1674330 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[13] ( PIN la_data_in[13] ) ( mprj la_data_in[13] ) 
+  + ROUTED met2 ( 859970 2380 0 ) ( 859970 72250 )
+    NEW met1 ( 1148850 1679090 ) ( 1170010 1679090 )
+    NEW met1 ( 1170010 1679090 ) ( 1170010 1679770 )
+    NEW met2 ( 1148850 72250 ) ( 1148850 1679090 )
+    NEW met1 ( 859970 72250 ) ( 1148850 72250 )
+    NEW met2 ( 1263620 1689290 ) ( 1263620 1690140 0 )
+    NEW met1 ( 1263390 1689290 ) ( 1263620 1689290 )
+    NEW li1 ( 1263390 1679770 ) ( 1263390 1689290 )
+    NEW met1 ( 1170010 1679770 ) ( 1263390 1679770 )
+    NEW met1 ( 859970 72250 ) M1M2_PR
+    NEW met1 ( 1148850 72250 ) M1M2_PR
+    NEW met1 ( 1148850 1679090 ) M1M2_PR
+    NEW met1 ( 1263620 1689290 ) M1M2_PR
+    NEW li1 ( 1263390 1689290 ) L1M1_PR_MR
+    NEW li1 ( 1263390 1679770 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[14] ( PIN la_data_in[14] ) ( mprj la_data_in[14] ) 
+  + ROUTED met2 ( 877450 2380 0 ) ( 877450 34500 )
+    NEW met2 ( 877450 34500 ) ( 882970 34500 )
+    NEW met2 ( 882970 34500 ) ( 882970 1667870 )
+    NEW met2 ( 1265230 1667870 ) ( 1265230 1684700 )
+    NEW met2 ( 1265230 1684700 ) ( 1265690 1684700 )
+    NEW met2 ( 1265690 1684700 ) ( 1265690 1690140 )
+    NEW met2 ( 1265460 1690140 0 ) ( 1265690 1690140 )
+    NEW met1 ( 882970 1667870 ) ( 1265230 1667870 )
+    NEW met1 ( 882970 1667870 ) M1M2_PR
+    NEW met1 ( 1265230 1667870 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[15] ( PIN la_data_in[15] ) ( mprj la_data_in[15] ) 
+  + ROUTED met2 ( 1267530 1639650 ) ( 1267530 1690140 0 )
+    NEW met1 ( 896770 1639650 ) ( 1267530 1639650 )
+    NEW met2 ( 895390 2380 0 ) ( 895390 13800 )
+    NEW met2 ( 895390 13800 ) ( 896770 13800 )
+    NEW met2 ( 896770 13800 ) ( 896770 1639650 )
+    NEW met1 ( 896770 1639650 ) M1M2_PR
+    NEW met1 ( 1267530 1639650 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[16] ( PIN la_data_in[16] ) ( mprj la_data_in[16] ) 
+  + ROUTED met2 ( 1264310 1660390 ) ( 1264310 1676370 )
+    NEW met1 ( 1264310 1676370 ) ( 1269370 1676370 )
+    NEW met2 ( 1269370 1676370 ) ( 1269370 1690140 0 )
+    NEW met1 ( 917470 1660390 ) ( 1264310 1660390 )
+    NEW met1 ( 912870 19890 ) ( 917470 19890 )
+    NEW met2 ( 912870 2380 0 ) ( 912870 19890 )
+    NEW met2 ( 917470 19890 ) ( 917470 1660390 )
+    NEW met1 ( 917470 1660390 ) M1M2_PR
+    NEW met1 ( 1264310 1660390 ) M1M2_PR
+    NEW met1 ( 1264310 1676370 ) M1M2_PR
+    NEW met1 ( 1269370 1676370 ) M1M2_PR
+    NEW met1 ( 912870 19890 ) M1M2_PR
+    NEW met1 ( 917470 19890 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[17] ( PIN la_data_in[17] ) ( mprj la_data_in[17] ) 
+  + ROUTED met1 ( 930810 93330 ) ( 1100550 93330 )
+    NEW met2 ( 1100550 93330 ) ( 1100550 1682830 )
+    NEW met2 ( 930810 2380 0 ) ( 930810 93330 )
+    NEW met2 ( 1271210 1682490 ) ( 1271210 1690140 0 )
+    NEW li1 ( 1243150 1682830 ) ( 1244070 1682830 )
+    NEW li1 ( 1244070 1682490 ) ( 1244070 1682830 )
+    NEW met1 ( 1100550 1682830 ) ( 1243150 1682830 )
+    NEW met1 ( 1244070 1682490 ) ( 1271210 1682490 )
+    NEW met1 ( 930810 93330 ) M1M2_PR
+    NEW met1 ( 1100550 93330 ) M1M2_PR
+    NEW met1 ( 1100550 1682830 ) M1M2_PR
+    NEW met1 ( 1271210 1682490 ) M1M2_PR
+    NEW li1 ( 1243150 1682830 ) L1M1_PR_MR
+    NEW li1 ( 1244070 1682490 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[18] ( PIN la_data_in[18] ) ( mprj la_data_in[18] ) 
+  + ROUTED met2 ( 948750 2380 0 ) ( 948750 18190 )
+    NEW met1 ( 948750 18190 ) ( 951970 18190 )
+    NEW met2 ( 951970 18190 ) ( 951970 1654610 )
+    NEW met2 ( 1256030 1654610 ) ( 1256030 1682150 )
+    NEW met1 ( 951970 1654610 ) ( 1256030 1654610 )
+    NEW met2 ( 1273050 1682150 ) ( 1273050 1690140 0 )
+    NEW met1 ( 1256030 1682150 ) ( 1273050 1682150 )
+    NEW met1 ( 948750 18190 ) M1M2_PR
+    NEW met1 ( 951970 18190 ) M1M2_PR
+    NEW met1 ( 951970 1654610 ) M1M2_PR
+    NEW met1 ( 1256030 1654610 ) M1M2_PR
+    NEW met1 ( 1256030 1682150 ) M1M2_PR
+    NEW met1 ( 1273050 1682150 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[19] ( PIN la_data_in[19] ) ( mprj la_data_in[19] ) 
+  + ROUTED met2 ( 966230 2380 0 ) ( 966230 20910 )
+    NEW met1 ( 966230 20910 ) ( 972670 20910 )
+    NEW met2 ( 972670 20910 ) ( 972670 1674670 )
+    NEW met1 ( 1262470 1673990 ) ( 1262470 1674670 )
+    NEW met1 ( 972670 1674670 ) ( 1262470 1674670 )
+    NEW li1 ( 1274890 1673990 ) ( 1274890 1677730 )
+    NEW met2 ( 1274890 1677730 ) ( 1274890 1688780 )
+    NEW met2 ( 1274660 1688780 ) ( 1274890 1688780 )
+    NEW met2 ( 1274660 1688780 ) ( 1274660 1690140 0 )
+    NEW met1 ( 1262470 1673990 ) ( 1274890 1673990 )
+    NEW met1 ( 966230 20910 ) M1M2_PR
+    NEW met1 ( 972670 20910 ) M1M2_PR
+    NEW met1 ( 972670 1674670 ) M1M2_PR
+    NEW li1 ( 1274890 1673990 ) L1M1_PR_MR
+    NEW li1 ( 1274890 1677730 ) L1M1_PR_MR
+    NEW met1 ( 1274890 1677730 ) M1M2_PR
+    NEW met1 ( 1274890 1677730 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[1] ( PIN la_data_in[1] ) ( mprj la_data_in[1] ) 
+  + ROUTED met2 ( 646990 2380 0 ) ( 646990 24990 )
+    NEW met1 ( 1236250 1630810 ) ( 1241770 1630810 )
+    NEW met2 ( 1236250 24990 ) ( 1236250 1630810 )
+    NEW met2 ( 1241770 1690140 ) ( 1242000 1690140 0 )
+    NEW met2 ( 1241770 1630810 ) ( 1241770 1690140 )
+    NEW met1 ( 646990 24990 ) ( 1236250 24990 )
+    NEW met1 ( 646990 24990 ) M1M2_PR
+    NEW met1 ( 1236250 24990 ) M1M2_PR
+    NEW met1 ( 1236250 1630810 ) M1M2_PR
+    NEW met1 ( 1241770 1630810 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[20] ( PIN la_data_in[20] ) ( mprj la_data_in[20] ) 
+  + ROUTED met2 ( 984170 2380 0 ) ( 984170 34500 )
+    NEW met2 ( 984170 34500 ) ( 986470 34500 )
+    NEW met2 ( 986470 34500 ) ( 986470 1668210 )
+    NEW met2 ( 1263850 1668210 ) ( 1263850 1682830 )
+    NEW met1 ( 986470 1668210 ) ( 1263850 1668210 )
+    NEW met2 ( 1276730 1682830 ) ( 1276730 1690140 )
+    NEW met2 ( 1276500 1690140 0 ) ( 1276730 1690140 )
+    NEW met1 ( 1263850 1682830 ) ( 1276730 1682830 )
+    NEW met1 ( 986470 1668210 ) M1M2_PR
+    NEW met1 ( 1263850 1668210 ) M1M2_PR
+    NEW met1 ( 1263850 1682830 ) M1M2_PR
+    NEW met1 ( 1276730 1682830 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[21] ( PIN la_data_in[21] ) ( mprj la_data_in[21] ) 
+  + ROUTED met2 ( 1001650 2380 0 ) ( 1001650 13090 )
+    NEW met2 ( 1001650 13090 ) ( 1002570 13090 )
+    NEW met2 ( 1002570 13090 ) ( 1002570 18190 )
+    NEW met1 ( 1002570 18190 ) ( 1007170 18190 )
+    NEW met2 ( 1007170 18190 ) ( 1007170 1661070 )
+    NEW met2 ( 1278570 1661070 ) ( 1278570 1690140 0 )
+    NEW met1 ( 1007170 1661070 ) ( 1278570 1661070 )
+    NEW met1 ( 1002570 18190 ) M1M2_PR
+    NEW met1 ( 1007170 18190 ) M1M2_PR
+    NEW met1 ( 1007170 1661070 ) M1M2_PR
+    NEW met1 ( 1278570 1661070 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[22] ( PIN la_data_in[22] ) ( mprj la_data_in[22] ) 
+  + ROUTED met1 ( 1156210 1677730 ) ( 1186110 1677730 )
+    NEW li1 ( 1186110 1677730 ) ( 1186110 1683170 )
+    NEW met2 ( 1156210 107270 ) ( 1156210 1677730 )
+    NEW met1 ( 1020970 107270 ) ( 1156210 107270 )
+    NEW met2 ( 1019590 2380 0 ) ( 1019590 34500 )
+    NEW met2 ( 1019590 34500 ) ( 1020970 34500 )
+    NEW met2 ( 1020970 34500 ) ( 1020970 107270 )
+    NEW met2 ( 1280410 1681810 ) ( 1280410 1690140 0 )
+    NEW li1 ( 1233950 1681810 ) ( 1233950 1683170 )
+    NEW met1 ( 1186110 1683170 ) ( 1233950 1683170 )
+    NEW met1 ( 1233950 1681810 ) ( 1280410 1681810 )
+    NEW met1 ( 1156210 107270 ) M1M2_PR
+    NEW met1 ( 1156210 1677730 ) M1M2_PR
+    NEW li1 ( 1186110 1677730 ) L1M1_PR_MR
+    NEW li1 ( 1186110 1683170 ) L1M1_PR_MR
+    NEW met1 ( 1020970 107270 ) M1M2_PR
+    NEW met1 ( 1280410 1681810 ) M1M2_PR
+    NEW li1 ( 1233950 1683170 ) L1M1_PR_MR
+    NEW li1 ( 1233950 1681810 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[23] ( PIN la_data_in[23] ) ( mprj la_data_in[23] ) 
+  + ROUTED met2 ( 1170010 120530 ) ( 1170010 1677050 )
+    NEW met2 ( 1037070 2380 0 ) ( 1037070 18190 )
+    NEW met1 ( 1037070 18190 ) ( 1041670 18190 )
+    NEW met1 ( 1041670 120530 ) ( 1170010 120530 )
+    NEW met2 ( 1041670 18190 ) ( 1041670 120530 )
+    NEW met1 ( 1170010 1677050 ) ( 1221300 1677050 )
+    NEW met1 ( 1221300 1677050 ) ( 1221300 1677730 )
+    NEW li1 ( 1273970 1677730 ) ( 1273970 1678750 )
+    NEW met1 ( 1273970 1678750 ) ( 1282250 1678750 )
+    NEW met2 ( 1282250 1678750 ) ( 1282250 1690140 0 )
+    NEW met1 ( 1221300 1677730 ) ( 1273970 1677730 )
+    NEW met1 ( 1170010 120530 ) M1M2_PR
+    NEW met1 ( 1170010 1677050 ) M1M2_PR
+    NEW met1 ( 1037070 18190 ) M1M2_PR
+    NEW met1 ( 1041670 18190 ) M1M2_PR
+    NEW met1 ( 1041670 120530 ) M1M2_PR
+    NEW li1 ( 1273970 1677730 ) L1M1_PR_MR
+    NEW li1 ( 1273970 1678750 ) L1M1_PR_MR
+    NEW met1 ( 1282250 1678750 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[24] ( PIN la_data_in[24] ) ( mprj la_data_in[24] ) 
+  + ROUTED met2 ( 1055010 2380 0 ) ( 1055010 7820 )
+    NEW met2 ( 1055010 7820 ) ( 1055470 7820 )
+    NEW met2 ( 1055470 7820 ) ( 1055470 1647130 )
+    NEW met1 ( 1221300 1647470 ) ( 1281790 1647470 )
+    NEW met1 ( 1221300 1647130 ) ( 1221300 1647470 )
+    NEW met1 ( 1055470 1647130 ) ( 1221300 1647130 )
+    NEW met1 ( 1281790 1676710 ) ( 1284090 1676710 )
+    NEW met2 ( 1284090 1676710 ) ( 1284090 1690140 0 )
+    NEW met2 ( 1281790 1647470 ) ( 1281790 1676710 )
+    NEW met1 ( 1055470 1647130 ) M1M2_PR
+    NEW met1 ( 1281790 1647470 ) M1M2_PR
+    NEW met1 ( 1281790 1676710 ) M1M2_PR
+    NEW met1 ( 1284090 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[25] ( PIN la_data_in[25] ) ( mprj la_data_in[25] ) 
+  + ROUTED met2 ( 1072490 2380 0 ) ( 1072490 34500 )
+    NEW met2 ( 1072490 34500 ) ( 1076170 34500 )
+    NEW met2 ( 1076170 34500 ) ( 1076170 1675010 )
+    NEW met2 ( 1283630 1675010 ) ( 1283630 1689630 )
+    NEW met1 ( 1283630 1689630 ) ( 1285700 1689630 )
+    NEW met2 ( 1285700 1689630 ) ( 1285700 1690140 0 )
+    NEW met1 ( 1076170 1675010 ) ( 1283630 1675010 )
+    NEW met1 ( 1076170 1675010 ) M1M2_PR
+    NEW met1 ( 1283630 1675010 ) M1M2_PR
+    NEW met1 ( 1283630 1689630 ) M1M2_PR
+    NEW met1 ( 1285700 1689630 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[26] ( PIN la_data_in[26] ) ( mprj la_data_in[26] ) 
+  + ROUTED met2 ( 1090430 2380 0 ) ( 1090430 9860 )
+    NEW met2 ( 1090430 9860 ) ( 1092270 9860 )
+    NEW met2 ( 1092270 9860 ) ( 1092270 22270 )
+    NEW met1 ( 1092270 22270 ) ( 1096870 22270 )
+    NEW met2 ( 1096870 22270 ) ( 1096870 1668890 )
+    NEW met2 ( 1278110 1668890 ) ( 1278110 1679430 )
+    NEW met1 ( 1278110 1679430 ) ( 1287310 1679430 )
+    NEW met2 ( 1287310 1679430 ) ( 1287310 1688100 )
+    NEW met2 ( 1287310 1688100 ) ( 1287540 1688100 )
+    NEW met2 ( 1287540 1688100 ) ( 1287540 1690140 0 )
+    NEW met1 ( 1096870 1668890 ) ( 1278110 1668890 )
+    NEW met1 ( 1092270 22270 ) M1M2_PR
+    NEW met1 ( 1096870 22270 ) M1M2_PR
+    NEW met1 ( 1096870 1668890 ) M1M2_PR
+    NEW met1 ( 1278110 1668890 ) M1M2_PR
+    NEW met1 ( 1278110 1679430 ) M1M2_PR
+    NEW met1 ( 1287310 1679430 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[27] ( PIN la_data_in[27] ) ( mprj la_data_in[27] ) 
+  + ROUTED met2 ( 1107910 2380 0 ) ( 1107910 34500 )
+    NEW met2 ( 1107910 34500 ) ( 1110670 34500 )
+    NEW met2 ( 1110670 34500 ) ( 1110670 1654950 )
+    NEW met1 ( 1110670 1654950 ) ( 1289610 1654950 )
+    NEW met2 ( 1289610 1654950 ) ( 1289610 1690140 0 )
+    NEW met1 ( 1289610 1654950 ) M1M2_PR
+    NEW met1 ( 1110670 1654950 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[28] ( PIN la_data_in[28] ) ( mprj la_data_in[28] ) 
+  + ROUTED met2 ( 1125850 2380 0 ) ( 1125850 34500 )
+    NEW met2 ( 1125850 34500 ) ( 1131370 34500 )
+    NEW met2 ( 1131370 34500 ) ( 1131370 1669230 )
+    NEW met1 ( 1131370 1669230 ) ( 1221300 1669230 )
+    NEW met1 ( 1221300 1669230 ) ( 1221300 1669570 )
+    NEW met2 ( 1291450 1669570 ) ( 1291450 1690140 0 )
+    NEW met1 ( 1221300 1669570 ) ( 1291450 1669570 )
+    NEW met1 ( 1131370 1669230 ) M1M2_PR
+    NEW met1 ( 1291450 1669570 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[29] ( PIN la_data_in[29] ) ( mprj la_data_in[29] ) 
+  + ROUTED met2 ( 1143790 2380 0 ) ( 1143790 34500 )
+    NEW met2 ( 1143790 34500 ) ( 1145170 34500 )
+    NEW met2 ( 1145170 34500 ) ( 1145170 1655290 )
+    NEW met1 ( 1145170 1655290 ) ( 1293290 1655290 )
+    NEW met2 ( 1293290 1655290 ) ( 1293290 1690140 0 )
+    NEW met1 ( 1145170 1655290 ) M1M2_PR
+    NEW met1 ( 1293290 1655290 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[2] ( PIN la_data_in[2] ) ( mprj la_data_in[2] ) 
+  + ROUTED met2 ( 664930 2380 0 ) ( 664930 25330 )
+    NEW met1 ( 1193700 25330 ) ( 1193700 26350 )
+    NEW met1 ( 1193700 26350 ) ( 1245450 26350 )
+    NEW met1 ( 1243610 1633190 ) ( 1245450 1633190 )
+    NEW met2 ( 1245450 26350 ) ( 1245450 1633190 )
+    NEW met2 ( 1243380 1690140 0 ) ( 1243610 1690140 )
+    NEW met2 ( 1243610 1633190 ) ( 1243610 1690140 )
+    NEW met1 ( 664930 25330 ) ( 1193700 25330 )
+    NEW met1 ( 664930 25330 ) M1M2_PR
+    NEW met1 ( 1245450 26350 ) M1M2_PR
+    NEW met1 ( 1243610 1633190 ) M1M2_PR
+    NEW met1 ( 1245450 1633190 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[30] ( PIN la_data_in[30] ) ( mprj la_data_in[30] ) 
+  + ROUTED met2 ( 1161270 2380 0 ) ( 1161270 17510 )
+    NEW met1 ( 1161270 17510 ) ( 1164950 17510 )
+    NEW met2 ( 1164950 17510 ) ( 1164950 1676030 )
+    NEW met2 ( 1295130 1676030 ) ( 1295130 1690140 0 )
+    NEW met1 ( 1164950 1676030 ) ( 1295130 1676030 )
+    NEW met1 ( 1161270 17510 ) M1M2_PR
+    NEW met1 ( 1164950 17510 ) M1M2_PR
+    NEW met1 ( 1164950 1676030 ) M1M2_PR
+    NEW met1 ( 1295130 1676030 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[31] ( PIN la_data_in[31] ) ( mprj la_data_in[31] ) 
+  + ROUTED met2 ( 1179210 2380 0 ) ( 1179210 12580 )
+    NEW met2 ( 1178750 12580 ) ( 1179210 12580 )
+    NEW met2 ( 1178750 12580 ) ( 1178750 86190 )
+    NEW met1 ( 1178750 86190 ) ( 1292830 86190 )
+    NEW met1 ( 1292830 1650530 ) ( 1296510 1650530 )
+    NEW met2 ( 1292830 86190 ) ( 1292830 1650530 )
+    NEW met2 ( 1296510 1688780 ) ( 1296740 1688780 )
+    NEW met2 ( 1296740 1688780 ) ( 1296740 1690140 0 )
+    NEW met2 ( 1296510 1650530 ) ( 1296510 1688780 )
+    NEW met1 ( 1178750 86190 ) M1M2_PR
+    NEW met1 ( 1292830 86190 ) M1M2_PR
+    NEW met1 ( 1292830 1650530 ) M1M2_PR
+    NEW met1 ( 1296510 1650530 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[32] ( PIN la_data_in[32] ) ( mprj la_data_in[32] ) 
+  + ROUTED met2 ( 1196690 2380 0 ) ( 1196690 25670 )
+    NEW met1 ( 1196690 25670 ) ( 1266610 25670 )
+    NEW met1 ( 1262930 1630470 ) ( 1266610 1630470 )
+    NEW met2 ( 1266610 25670 ) ( 1266610 1630470 )
+    NEW met2 ( 1262930 1630470 ) ( 1262930 1681130 )
+    NEW met2 ( 1298350 1681130 ) ( 1298350 1688780 )
+    NEW met2 ( 1298350 1688780 ) ( 1298580 1688780 )
+    NEW met2 ( 1298580 1688780 ) ( 1298580 1690140 0 )
+    NEW met1 ( 1262930 1681130 ) ( 1298350 1681130 )
+    NEW met1 ( 1266610 25670 ) M1M2_PR
+    NEW met1 ( 1196690 25670 ) M1M2_PR
+    NEW met1 ( 1262930 1630470 ) M1M2_PR
+    NEW met1 ( 1266610 1630470 ) M1M2_PR
+    NEW met1 ( 1262930 1681130 ) M1M2_PR
+    NEW met1 ( 1298350 1681130 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[33] ( PIN la_data_in[33] ) ( mprj la_data_in[33] ) 
+  + ROUTED met2 ( 1214630 2380 0 ) ( 1214630 23970 )
+    NEW met1 ( 1214630 23970 ) ( 1293750 23970 )
+    NEW met1 ( 1293750 1651890 ) ( 1296970 1651890 )
+    NEW met2 ( 1293750 23970 ) ( 1293750 1651890 )
+    NEW met1 ( 1296970 1676710 ) ( 1300650 1676710 )
+    NEW met2 ( 1300650 1676710 ) ( 1300650 1690140 0 )
+    NEW met2 ( 1296970 1651890 ) ( 1296970 1676710 )
+    NEW met1 ( 1214630 23970 ) M1M2_PR
+    NEW met1 ( 1293750 23970 ) M1M2_PR
+    NEW met1 ( 1293750 1651890 ) M1M2_PR
+    NEW met1 ( 1296970 1651890 ) M1M2_PR
+    NEW met1 ( 1296970 1676710 ) M1M2_PR
+    NEW met1 ( 1300650 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[34] ( PIN la_data_in[34] ) ( mprj la_data_in[34] ) 
+  + ROUTED met2 ( 1232110 2380 0 ) ( 1232110 17510 )
+    NEW met1 ( 1232110 17510 ) ( 1234870 17510 )
+    NEW met2 ( 1234870 17510 ) ( 1234870 52190 )
+    NEW met1 ( 1234870 52190 ) ( 1280410 52190 )
+    NEW met1 ( 1280410 1677050 ) ( 1302490 1677050 )
+    NEW met2 ( 1302490 1677050 ) ( 1302490 1690140 0 )
+    NEW met2 ( 1280410 52190 ) ( 1280410 1677050 )
+    NEW met1 ( 1280410 52190 ) M1M2_PR
+    NEW met1 ( 1232110 17510 ) M1M2_PR
+    NEW met1 ( 1234870 17510 ) M1M2_PR
+    NEW met1 ( 1234870 52190 ) M1M2_PR
+    NEW met1 ( 1280410 1677050 ) M1M2_PR
+    NEW met1 ( 1302490 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[35] ( PIN la_data_in[35] ) ( mprj la_data_in[35] ) 
+  + ROUTED met2 ( 1250050 2380 0 ) ( 1250050 20910 )
+    NEW met1 ( 1250050 20910 ) ( 1266150 20910 )
+    NEW met2 ( 1266150 20910 ) ( 1266150 1680450 )
+    NEW met2 ( 1304330 1680450 ) ( 1304330 1690140 0 )
+    NEW met1 ( 1266150 1680450 ) ( 1304330 1680450 )
+    NEW met1 ( 1250050 20910 ) M1M2_PR
+    NEW met1 ( 1266150 20910 ) M1M2_PR
+    NEW met1 ( 1266150 1680450 ) M1M2_PR
+    NEW met1 ( 1304330 1680450 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[36] ( PIN la_data_in[36] ) ( mprj la_data_in[36] ) 
+  + ROUTED met2 ( 1267530 2380 0 ) ( 1267530 27710 )
+    NEW met1 ( 1267530 27710 ) ( 1301110 27710 )
+    NEW met2 ( 1301110 27710 ) ( 1301110 1656000 )
+    NEW met2 ( 1301110 1656000 ) ( 1301570 1656000 )
+    NEW met2 ( 1301570 1656000 ) ( 1301570 1676710 )
+    NEW met1 ( 1301570 1676710 ) ( 1305710 1676710 )
+    NEW met2 ( 1305710 1676710 ) ( 1305710 1690140 0 )
+    NEW met1 ( 1267530 27710 ) M1M2_PR
+    NEW met1 ( 1301110 27710 ) M1M2_PR
+    NEW met1 ( 1301570 1676710 ) M1M2_PR
+    NEW met1 ( 1305710 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[37] ( PIN la_data_in[37] ) ( mprj la_data_in[37] ) 
+  + ROUTED met2 ( 1285470 2380 0 ) ( 1285470 19890 )
+    NEW met1 ( 1285470 19890 ) ( 1300650 19890 )
+    NEW met2 ( 1300650 19890 ) ( 1300650 1656000 )
+    NEW met2 ( 1300190 1656000 ) ( 1300650 1656000 )
+    NEW met2 ( 1300190 1656000 ) ( 1300190 1677390 )
+    NEW met1 ( 1300190 1677390 ) ( 1307550 1677390 )
+    NEW met2 ( 1307550 1677390 ) ( 1307550 1688780 )
+    NEW met2 ( 1307550 1688780 ) ( 1307780 1688780 )
+    NEW met2 ( 1307780 1688780 ) ( 1307780 1690140 0 )
+    NEW met1 ( 1285470 19890 ) M1M2_PR
+    NEW met1 ( 1300650 19890 ) M1M2_PR
+    NEW met1 ( 1300190 1677390 ) M1M2_PR
+    NEW met1 ( 1307550 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[38] ( PIN la_data_in[38] ) ( mprj la_data_in[38] ) 
+  + ROUTED met2 ( 1303410 2380 0 ) ( 1303410 34500 )
+    NEW met2 ( 1303410 34500 ) ( 1303870 34500 )
+    NEW met1 ( 1303870 1677050 ) ( 1308930 1677050 )
+    NEW met2 ( 1308930 1677050 ) ( 1308930 1688950 )
+    NEW met1 ( 1308930 1688950 ) ( 1308930 1689290 )
+    NEW met1 ( 1308930 1689290 ) ( 1309620 1689290 )
+    NEW met2 ( 1309620 1689290 ) ( 1309620 1690140 0 )
+    NEW met2 ( 1303870 34500 ) ( 1303870 1677050 )
+    NEW met1 ( 1303870 1677050 ) M1M2_PR
+    NEW met1 ( 1308930 1677050 ) M1M2_PR
+    NEW met1 ( 1308930 1688950 ) M1M2_PR
+    NEW met1 ( 1309620 1689290 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[39] ( PIN la_data_in[39] ) ( mprj la_data_in[39] ) 
+  + ROUTED met2 ( 1320890 2380 0 ) ( 1320890 17510 )
+    NEW met1 ( 1316290 17510 ) ( 1320890 17510 )
+    NEW met2 ( 1315830 1652060 ) ( 1316290 1652060 )
+    NEW met2 ( 1316290 17510 ) ( 1316290 1652060 )
+    NEW met1 ( 1314450 1676370 ) ( 1315830 1676370 )
+    NEW met1 ( 1314450 1676370 ) ( 1314450 1676710 )
+    NEW met1 ( 1311690 1676710 ) ( 1314450 1676710 )
+    NEW met2 ( 1311690 1676710 ) ( 1311690 1690140 0 )
+    NEW met2 ( 1315830 1652060 ) ( 1315830 1676370 )
+    NEW met1 ( 1320890 17510 ) M1M2_PR
+    NEW met1 ( 1316290 17510 ) M1M2_PR
+    NEW met1 ( 1315830 1676370 ) M1M2_PR
+    NEW met1 ( 1311690 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[3] ( PIN la_data_in[3] ) ( mprj la_data_in[3] ) 
+  + ROUTED met2 ( 682410 2380 0 ) ( 682410 34500 )
+    NEW met2 ( 682410 34500 ) ( 682870 34500 )
+    NEW met2 ( 682870 34500 ) ( 682870 1639310 )
+    NEW met2 ( 1245450 1639310 ) ( 1245450 1690140 0 )
+    NEW met1 ( 682870 1639310 ) ( 1245450 1639310 )
+    NEW met1 ( 682870 1639310 ) M1M2_PR
+    NEW met1 ( 1245450 1639310 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[40] ( PIN la_data_in[40] ) ( mprj la_data_in[40] ) 
+  + ROUTED met2 ( 1338830 2380 0 ) ( 1338830 17340 )
+    NEW met2 ( 1338830 17340 ) ( 1339290 17340 )
+    NEW met2 ( 1339290 17340 ) ( 1339290 54910 )
+    NEW met1 ( 1314450 54910 ) ( 1339290 54910 )
+    NEW met2 ( 1313990 1628400 ) ( 1314450 1628400 )
+    NEW met2 ( 1314450 54910 ) ( 1314450 1628400 )
+    NEW met2 ( 1313990 1628400 ) ( 1313990 1656000 )
+    NEW met2 ( 1313530 1656000 ) ( 1313990 1656000 )
+    NEW met2 ( 1313530 1656000 ) ( 1313530 1690140 0 )
+    NEW met1 ( 1339290 54910 ) M1M2_PR
+    NEW met1 ( 1314450 54910 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[41] ( PIN la_data_in[41] ) ( mprj la_data_in[41] ) 
+  + ROUTED met2 ( 1356310 2380 0 ) ( 1356310 21250 )
+    NEW met1 ( 1313070 21250 ) ( 1356310 21250 )
+    NEW met1 ( 1313070 59330 ) ( 1315370 59330 )
+    NEW met2 ( 1313070 21250 ) ( 1313070 59330 )
+    NEW met2 ( 1315370 59330 ) ( 1315370 1690140 0 )
+    NEW met1 ( 1356310 21250 ) M1M2_PR
+    NEW met1 ( 1313070 21250 ) M1M2_PR
+    NEW met1 ( 1313070 59330 ) M1M2_PR
+    NEW met1 ( 1315370 59330 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[42] ( PIN la_data_in[42] ) ( mprj la_data_in[42] ) 
+  + ROUTED met2 ( 1314910 58820 ) ( 1315370 58820 )
+    NEW met2 ( 1315370 22270 ) ( 1315370 58820 )
+    NEW met1 ( 1314910 1676710 ) ( 1316750 1676710 )
+    NEW met2 ( 1316750 1676710 ) ( 1316750 1690140 0 )
+    NEW met2 ( 1314910 58820 ) ( 1314910 1676710 )
+    NEW met1 ( 1315370 22270 ) ( 1374250 22270 )
+    NEW met2 ( 1374250 2380 0 ) ( 1374250 22270 )
+    NEW met1 ( 1315370 22270 ) M1M2_PR
+    NEW met1 ( 1314910 1676710 ) M1M2_PR
+    NEW met1 ( 1316750 1676710 ) M1M2_PR
+    NEW met1 ( 1374250 22270 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[43] ( PIN la_data_in[43] ) ( mprj la_data_in[43] ) 
+  + ROUTED met1 ( 1321810 22950 ) ( 1391730 22950 )
+    NEW met2 ( 1391730 2380 0 ) ( 1391730 22950 )
+    NEW met2 ( 1318820 1689460 ) ( 1318820 1690140 0 )
+    NEW met2 ( 1318820 1689460 ) ( 1319050 1689460 )
+    NEW met2 ( 1319050 1652910 ) ( 1319050 1689460 )
+    NEW met1 ( 1319050 1652910 ) ( 1321810 1652910 )
+    NEW met2 ( 1321810 22950 ) ( 1321810 1652910 )
+    NEW met1 ( 1321810 22950 ) M1M2_PR
+    NEW met1 ( 1391730 22950 ) M1M2_PR
+    NEW met1 ( 1319050 1652910 ) M1M2_PR
+    NEW met1 ( 1321810 1652910 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[44] ( PIN la_data_in[44] ) ( mprj la_data_in[44] ) 
+  + ROUTED met2 ( 1323190 1652570 ) ( 1323650 1652570 )
+    NEW met2 ( 1323190 26690 ) ( 1323190 1652570 )
+    NEW met1 ( 1320890 1673310 ) ( 1323650 1673310 )
+    NEW met2 ( 1320890 1673310 ) ( 1320890 1688780 )
+    NEW met2 ( 1320660 1688780 ) ( 1320890 1688780 )
+    NEW met2 ( 1320660 1688780 ) ( 1320660 1690140 0 )
+    NEW met2 ( 1323650 1652570 ) ( 1323650 1673310 )
+    NEW met1 ( 1323190 26690 ) ( 1409670 26690 )
+    NEW met2 ( 1409670 2380 0 ) ( 1409670 26690 )
+    NEW met1 ( 1323190 26690 ) M1M2_PR
+    NEW met1 ( 1323650 1673310 ) M1M2_PR
+    NEW met1 ( 1320890 1673310 ) M1M2_PR
+    NEW met1 ( 1409670 26690 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[45] ( PIN la_data_in[45] ) ( mprj la_data_in[45] ) 
+  + ROUTED met2 ( 1322730 26010 ) ( 1322730 1690140 0 )
+    NEW met2 ( 1427150 2380 0 ) ( 1427150 26010 )
+    NEW met1 ( 1322730 26010 ) ( 1427150 26010 )
+    NEW met1 ( 1322730 26010 ) M1M2_PR
+    NEW met1 ( 1427150 26010 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[46] ( PIN la_data_in[46] ) ( mprj la_data_in[46] ) 
+  + ROUTED li1 ( 1362750 23290 ) ( 1362750 25330 )
+    NEW met1 ( 1322270 23290 ) ( 1362750 23290 )
+    NEW li1 ( 1322270 1650530 ) ( 1322270 1654270 )
+    NEW met1 ( 1322270 1654270 ) ( 1324110 1654270 )
+    NEW met2 ( 1322270 23290 ) ( 1322270 1650530 )
+    NEW met2 ( 1324110 1690140 ) ( 1324570 1690140 0 )
+    NEW met2 ( 1324110 1654270 ) ( 1324110 1690140 )
+    NEW met2 ( 1445090 2380 0 ) ( 1445090 25330 )
+    NEW met1 ( 1362750 25330 ) ( 1445090 25330 )
+    NEW li1 ( 1362750 23290 ) L1M1_PR_MR
+    NEW li1 ( 1362750 25330 ) L1M1_PR_MR
+    NEW met1 ( 1322270 23290 ) M1M2_PR
+    NEW li1 ( 1322270 1650530 ) L1M1_PR_MR
+    NEW met1 ( 1322270 1650530 ) M1M2_PR
+    NEW li1 ( 1322270 1654270 ) L1M1_PR_MR
+    NEW met1 ( 1324110 1654270 ) M1M2_PR
+    NEW met1 ( 1445090 25330 ) M1M2_PR
+    NEW met1 ( 1322270 1650530 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[47] ( PIN la_data_in[47] ) ( mprj la_data_in[47] ) 
+  + ROUTED met2 ( 1463030 2380 0 ) ( 1463030 23970 )
+    NEW met2 ( 1326410 1628400 ) ( 1327790 1628400 )
+    NEW met2 ( 1327790 23970 ) ( 1327790 1628400 )
+    NEW met2 ( 1326410 1628400 ) ( 1326410 1690140 0 )
+    NEW met1 ( 1327790 23970 ) ( 1463030 23970 )
+    NEW met1 ( 1463030 23970 ) M1M2_PR
+    NEW met1 ( 1327790 23970 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[48] ( PIN la_data_in[48] ) ( mprj la_data_in[48] ) 
+  + ROUTED met2 ( 1476830 82800 ) ( 1480510 82800 )
+    NEW met2 ( 1480510 2380 0 ) ( 1480510 82800 )
+    NEW met2 ( 1476830 82800 ) ( 1476830 1652910 )
+    NEW met1 ( 1423470 1652910 ) ( 1476830 1652910 )
+    NEW met2 ( 1327790 1681810 ) ( 1327790 1690140 0 )
+    NEW li1 ( 1423470 1676710 ) ( 1423470 1681810 )
+    NEW met2 ( 1423470 1652910 ) ( 1423470 1676710 )
+    NEW met1 ( 1327790 1681810 ) ( 1423470 1681810 )
+    NEW met1 ( 1476830 1652910 ) M1M2_PR
+    NEW met1 ( 1423470 1652910 ) M1M2_PR
+    NEW met1 ( 1327790 1681810 ) M1M2_PR
+    NEW li1 ( 1423470 1681810 ) L1M1_PR_MR
+    NEW li1 ( 1423470 1676710 ) L1M1_PR_MR
+    NEW met1 ( 1423470 1676710 ) M1M2_PR
+    NEW met1 ( 1423470 1676710 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[49] ( PIN la_data_in[49] ) ( mprj la_data_in[49] ) 
+  + ROUTED met2 ( 1498450 2380 0 ) ( 1498450 17340 )
+    NEW met2 ( 1497530 17340 ) ( 1498450 17340 )
+    NEW met2 ( 1330550 1680790 ) ( 1330550 1688270 )
+    NEW met1 ( 1329860 1688270 ) ( 1330550 1688270 )
+    NEW met1 ( 1329860 1688270 ) ( 1329860 1689290 )
+    NEW met2 ( 1329860 1689290 ) ( 1329860 1690140 0 )
+    NEW met2 ( 1434510 1675010 ) ( 1434510 1677390 )
+    NEW li1 ( 1400010 1677390 ) ( 1400010 1680790 )
+    NEW met1 ( 1330550 1680790 ) ( 1400010 1680790 )
+    NEW met1 ( 1400010 1677390 ) ( 1434510 1677390 )
+    NEW met1 ( 1434510 1675010 ) ( 1497530 1675010 )
+    NEW met2 ( 1497530 17340 ) ( 1497530 1675010 )
+    NEW met1 ( 1330550 1680790 ) M1M2_PR
+    NEW met1 ( 1330550 1688270 ) M1M2_PR
+    NEW met1 ( 1329860 1689290 ) M1M2_PR
+    NEW met1 ( 1434510 1677390 ) M1M2_PR
+    NEW met1 ( 1434510 1675010 ) M1M2_PR
+    NEW li1 ( 1400010 1680790 ) L1M1_PR_MR
+    NEW li1 ( 1400010 1677390 ) L1M1_PR_MR
+    NEW met1 ( 1497530 1675010 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[4] ( PIN la_data_in[4] ) ( mprj la_data_in[4] ) 
+  + ROUTED met2 ( 700350 2380 0 ) ( 700350 18190 )
+    NEW met1 ( 700350 18190 ) ( 703570 18190 )
+    NEW met2 ( 703570 18190 ) ( 703570 1625370 )
+    NEW met2 ( 1247290 1625370 ) ( 1247290 1690140 0 )
+    NEW met1 ( 703570 1625370 ) ( 1247290 1625370 )
+    NEW met1 ( 700350 18190 ) M1M2_PR
+    NEW met1 ( 703570 18190 ) M1M2_PR
+    NEW met1 ( 703570 1625370 ) M1M2_PR
+    NEW met1 ( 1247290 1625370 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[50] ( PIN la_data_in[50] ) ( mprj la_data_in[50] ) 
+  + ROUTED met2 ( 1511330 82800 ) ( 1515930 82800 )
+    NEW met2 ( 1515930 2380 0 ) ( 1515930 82800 )
+    NEW met2 ( 1511330 82800 ) ( 1511330 1669230 )
+    NEW met2 ( 1331930 1681470 ) ( 1331930 1688780 )
+    NEW met2 ( 1331700 1688780 ) ( 1331930 1688780 )
+    NEW met2 ( 1331700 1688780 ) ( 1331700 1690140 0 )
+    NEW met1 ( 1416110 1676370 ) ( 1416110 1677050 )
+    NEW met1 ( 1416110 1676370 ) ( 1419790 1676370 )
+    NEW met1 ( 1419790 1676370 ) ( 1419790 1676710 )
+    NEW met1 ( 1419790 1676710 ) ( 1421170 1676710 )
+    NEW met1 ( 1421170 1676710 ) ( 1421170 1677050 )
+    NEW met1 ( 1421170 1677050 ) ( 1433590 1677050 )
+    NEW met2 ( 1433590 1669230 ) ( 1433590 1677050 )
+    NEW li1 ( 1413810 1676370 ) ( 1413810 1681470 )
+    NEW met1 ( 1413810 1676370 ) ( 1414270 1676370 )
+    NEW met1 ( 1414270 1676370 ) ( 1414270 1677050 )
+    NEW met1 ( 1331930 1681470 ) ( 1413810 1681470 )
+    NEW met1 ( 1414270 1677050 ) ( 1416110 1677050 )
+    NEW met1 ( 1433590 1669230 ) ( 1511330 1669230 )
+    NEW met1 ( 1511330 1669230 ) M1M2_PR
+    NEW met1 ( 1331930 1681470 ) M1M2_PR
+    NEW met1 ( 1433590 1677050 ) M1M2_PR
+    NEW met1 ( 1433590 1669230 ) M1M2_PR
+    NEW li1 ( 1413810 1681470 ) L1M1_PR_MR
+    NEW li1 ( 1413810 1676370 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[51] ( PIN la_data_in[51] ) ( mprj la_data_in[51] ) 
+  + ROUTED met2 ( 1532030 82800 ) ( 1533870 82800 )
+    NEW met2 ( 1533870 2380 0 ) ( 1533870 82800 )
+    NEW met2 ( 1532030 82800 ) ( 1532030 1674670 )
+    NEW li1 ( 1333770 1674670 ) ( 1333770 1678750 )
+    NEW met2 ( 1333770 1678750 ) ( 1333770 1690140 0 )
+    NEW met1 ( 1333770 1674670 ) ( 1532030 1674670 )
+    NEW met1 ( 1532030 1674670 ) M1M2_PR
+    NEW li1 ( 1333770 1674670 ) L1M1_PR_MR
+    NEW li1 ( 1333770 1678750 ) L1M1_PR_MR
+    NEW met1 ( 1333770 1678750 ) M1M2_PR
+    NEW met1 ( 1333770 1678750 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[52] ( PIN la_data_in[52] ) ( mprj la_data_in[52] ) 
+  + ROUTED met2 ( 1545830 82800 ) ( 1551350 82800 )
+    NEW met2 ( 1551350 2380 0 ) ( 1551350 82800 )
+    NEW met2 ( 1545830 82800 ) ( 1545830 1668890 )
+    NEW met2 ( 1336990 1668890 ) ( 1336990 1678750 )
+    NEW met1 ( 1335610 1678750 ) ( 1336990 1678750 )
+    NEW met2 ( 1335610 1678750 ) ( 1335610 1690140 0 )
+    NEW met1 ( 1336990 1668890 ) ( 1545830 1668890 )
+    NEW met1 ( 1545830 1668890 ) M1M2_PR
+    NEW met1 ( 1336990 1668890 ) M1M2_PR
+    NEW met1 ( 1336990 1678750 ) M1M2_PR
+    NEW met1 ( 1335610 1678750 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[53] ( PIN la_data_in[53] ) ( mprj la_data_in[53] ) 
+  + ROUTED met2 ( 1566530 82800 ) ( 1569290 82800 )
+    NEW met2 ( 1569290 2380 0 ) ( 1569290 82800 )
+    NEW met2 ( 1566530 82800 ) ( 1566530 1661070 )
+    NEW met2 ( 1334690 1661070 ) ( 1334690 1679430 )
+    NEW met1 ( 1334690 1679430 ) ( 1337450 1679430 )
+    NEW met2 ( 1337450 1679430 ) ( 1337450 1690140 0 )
+    NEW met1 ( 1334690 1661070 ) ( 1566530 1661070 )
+    NEW met1 ( 1566530 1661070 ) M1M2_PR
+    NEW met1 ( 1334690 1661070 ) M1M2_PR
+    NEW met1 ( 1334690 1679430 ) M1M2_PR
+    NEW met1 ( 1337450 1679430 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[54] ( PIN la_data_in[54] ) ( mprj la_data_in[54] ) 
+  + ROUTED met2 ( 1586770 2380 0 ) ( 1586770 21250 )
+    NEW met1 ( 1580330 21250 ) ( 1586770 21250 )
+    NEW met2 ( 1580330 21250 ) ( 1580330 1655290 )
+    NEW met1 ( 1462800 1655290 ) ( 1580330 1655290 )
+    NEW met1 ( 1436350 1655630 ) ( 1462800 1655630 )
+    NEW met1 ( 1462800 1655290 ) ( 1462800 1655630 )
+    NEW met1 ( 1359530 1678750 ) ( 1359530 1679090 )
+    NEW met1 ( 1339290 1679090 ) ( 1359530 1679090 )
+    NEW met2 ( 1339290 1679090 ) ( 1339290 1690140 )
+    NEW met2 ( 1338830 1690140 0 ) ( 1339290 1690140 )
+    NEW li1 ( 1416570 1680450 ) ( 1416570 1681470 )
+    NEW met1 ( 1416570 1681470 ) ( 1436350 1681470 )
+    NEW met2 ( 1436350 1655630 ) ( 1436350 1681470 )
+    NEW met1 ( 1390350 1678750 ) ( 1390350 1680450 )
+    NEW met1 ( 1359530 1678750 ) ( 1390350 1678750 )
+    NEW met1 ( 1390350 1680450 ) ( 1416570 1680450 )
+    NEW met1 ( 1586770 21250 ) M1M2_PR
+    NEW met1 ( 1580330 21250 ) M1M2_PR
+    NEW met1 ( 1580330 1655290 ) M1M2_PR
+    NEW met1 ( 1436350 1655630 ) M1M2_PR
+    NEW met1 ( 1339290 1679090 ) M1M2_PR
+    NEW li1 ( 1416570 1680450 ) L1M1_PR_MR
+    NEW li1 ( 1416570 1681470 ) L1M1_PR_MR
+    NEW met1 ( 1436350 1681470 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[55] ( PIN la_data_in[55] ) ( mprj la_data_in[55] ) 
+  + ROUTED met2 ( 1340210 33830 ) ( 1340210 1580100 )
+    NEW met2 ( 1340210 1580100 ) ( 1340670 1580100 )
+    NEW met2 ( 1604710 2380 0 ) ( 1604710 33830 )
+    NEW met1 ( 1340670 1688610 ) ( 1340900 1688610 )
+    NEW met1 ( 1340900 1688610 ) ( 1340900 1689630 )
+    NEW met2 ( 1340900 1689630 ) ( 1340900 1690140 0 )
+    NEW met2 ( 1340670 1580100 ) ( 1340670 1688610 )
+    NEW met1 ( 1340210 33830 ) ( 1604710 33830 )
+    NEW met1 ( 1340210 33830 ) M1M2_PR
+    NEW met1 ( 1604710 33830 ) M1M2_PR
+    NEW met1 ( 1340670 1688610 ) M1M2_PR
+    NEW met1 ( 1340900 1689630 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[56] ( PIN la_data_in[56] ) ( mprj la_data_in[56] ) 
+  + ROUTED met2 ( 1622190 2380 0 ) ( 1622190 27710 )
+    NEW met1 ( 1341590 1688270 ) ( 1342740 1688270 )
+    NEW met1 ( 1342740 1688270 ) ( 1342740 1689290 )
+    NEW met2 ( 1342740 1689290 ) ( 1342740 1690140 0 )
+    NEW met2 ( 1341590 27710 ) ( 1341590 1688270 )
+    NEW met1 ( 1341590 27710 ) ( 1622190 27710 )
+    NEW met1 ( 1341590 27710 ) M1M2_PR
+    NEW met1 ( 1622190 27710 ) M1M2_PR
+    NEW met1 ( 1341590 1688270 ) M1M2_PR
+    NEW met1 ( 1342740 1689290 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[57] ( PIN la_data_in[57] ) ( mprj la_data_in[57] ) 
+  + ROUTED met2 ( 1640130 2380 0 ) ( 1640130 28050 )
+    NEW met2 ( 1344350 28050 ) ( 1344350 1580100 )
+    NEW met2 ( 1344350 1580100 ) ( 1344810 1580100 )
+    NEW met2 ( 1344810 1580100 ) ( 1344810 1690140 0 )
+    NEW met1 ( 1344350 28050 ) ( 1640130 28050 )
+    NEW met1 ( 1344350 28050 ) M1M2_PR
+    NEW met1 ( 1640130 28050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[58] ( PIN la_data_in[58] ) ( mprj la_data_in[58] ) 
+  + ROUTED met2 ( 1658070 2380 0 ) ( 1658070 28390 )
+    NEW met1 ( 1346650 1676710 ) ( 1348490 1676710 )
+    NEW met2 ( 1346650 1676710 ) ( 1346650 1690140 0 )
+    NEW met2 ( 1348490 28390 ) ( 1348490 1676710 )
+    NEW met1 ( 1348490 28390 ) ( 1658070 28390 )
+    NEW met1 ( 1348490 28390 ) M1M2_PR
+    NEW met1 ( 1658070 28390 ) M1M2_PR
+    NEW met1 ( 1348490 1676710 ) M1M2_PR
+    NEW met1 ( 1346650 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[59] ( PIN la_data_in[59] ) ( mprj la_data_in[59] ) 
+  + ROUTED met2 ( 1675550 2380 0 ) ( 1675550 28730 )
+    NEW met2 ( 1348030 28730 ) ( 1348030 1690140 0 )
+    NEW met1 ( 1348030 28730 ) ( 1675550 28730 )
+    NEW met1 ( 1348030 28730 ) M1M2_PR
+    NEW met1 ( 1675550 28730 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[5] ( PIN la_data_in[5] ) ( mprj la_data_in[5] ) 
+  + ROUTED met2 ( 717830 2380 0 ) ( 717830 17850 )
+    NEW met1 ( 717830 17850 ) ( 723350 17850 )
+    NEW met2 ( 723350 82800 ) ( 724270 82800 )
+    NEW met2 ( 723350 17850 ) ( 723350 82800 )
+    NEW met2 ( 724270 82800 ) ( 724270 1646790 )
+    NEW met2 ( 1249130 1646790 ) ( 1249130 1690140 0 )
+    NEW met1 ( 724270 1646790 ) ( 1249130 1646790 )
+    NEW met1 ( 717830 17850 ) M1M2_PR
+    NEW met1 ( 723350 17850 ) M1M2_PR
+    NEW met1 ( 724270 1646790 ) M1M2_PR
+    NEW met1 ( 1249130 1646790 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[60] ( PIN la_data_in[60] ) ( mprj la_data_in[60] ) 
+  + ROUTED met2 ( 1693490 2380 0 ) ( 1693490 29070 )
+    NEW met1 ( 1347570 1677050 ) ( 1349870 1677050 )
+    NEW met2 ( 1349870 1677050 ) ( 1349870 1690140 0 )
+    NEW met2 ( 1347570 29070 ) ( 1347570 1677050 )
+    NEW met1 ( 1347570 29070 ) ( 1693490 29070 )
+    NEW met1 ( 1347570 29070 ) M1M2_PR
+    NEW met1 ( 1693490 29070 ) M1M2_PR
+    NEW met1 ( 1347570 1677050 ) M1M2_PR
+    NEW met1 ( 1349870 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[61] ( PIN la_data_in[61] ) ( mprj la_data_in[61] ) 
+  + ROUTED met2 ( 1350790 29410 ) ( 1350790 1580100 )
+    NEW met2 ( 1350790 1580100 ) ( 1351710 1580100 )
+    NEW met2 ( 1710970 2380 0 ) ( 1710970 29410 )
+    NEW met2 ( 1351710 1688780 ) ( 1351940 1688780 )
+    NEW met2 ( 1351940 1688780 ) ( 1351940 1690140 0 )
+    NEW met2 ( 1351710 1580100 ) ( 1351710 1688780 )
+    NEW met1 ( 1350790 29410 ) ( 1710970 29410 )
+    NEW met1 ( 1350790 29410 ) M1M2_PR
+    NEW met1 ( 1710970 29410 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[62] ( PIN la_data_in[62] ) ( mprj la_data_in[62] ) 
+  + ROUTED met2 ( 1357690 1650700 ) ( 1358150 1650700 )
+    NEW met2 ( 1728910 2380 0 ) ( 1728910 30430 )
+    NEW met2 ( 1358150 34510 ) ( 1358150 1650700 )
+    NEW met1 ( 1357690 1676710 ) ( 1358150 1676710 )
+    NEW met1 ( 1358150 1676710 ) ( 1358150 1677730 )
+    NEW met1 ( 1353550 1677730 ) ( 1358150 1677730 )
+    NEW met2 ( 1353550 1677730 ) ( 1353550 1688780 )
+    NEW met2 ( 1353550 1688780 ) ( 1353780 1688780 )
+    NEW met2 ( 1353780 1688780 ) ( 1353780 1690140 0 )
+    NEW met2 ( 1357690 1650700 ) ( 1357690 1676710 )
+    NEW met2 ( 1415190 30260 ) ( 1415190 30430 )
+    NEW met1 ( 1415190 30430 ) ( 1728910 30430 )
+    NEW li1 ( 1366430 30770 ) ( 1366430 34510 )
+    NEW met1 ( 1366430 30770 ) ( 1413810 30770 )
+    NEW met2 ( 1413810 30260 ) ( 1413810 30770 )
+    NEW met1 ( 1358150 34510 ) ( 1366430 34510 )
+    NEW met3 ( 1413810 30260 ) ( 1415190 30260 )
+    NEW met1 ( 1728910 30430 ) M1M2_PR
+    NEW met1 ( 1358150 34510 ) M1M2_PR
+    NEW met1 ( 1357690 1676710 ) M1M2_PR
+    NEW met1 ( 1353550 1677730 ) M1M2_PR
+    NEW met2 ( 1415190 30260 ) via2_FR
+    NEW met1 ( 1415190 30430 ) M1M2_PR
+    NEW li1 ( 1366430 34510 ) L1M1_PR_MR
+    NEW li1 ( 1366430 30770 ) L1M1_PR_MR
+    NEW met1 ( 1413810 30770 ) M1M2_PR
+    NEW met2 ( 1413810 30260 ) via2_FR
++ USE SIGNAL ;
+- la_data_in[63] ( PIN la_data_in[63] ) ( mprj la_data_in[63] ) 
+  + ROUTED met2 ( 1746390 2380 0 ) ( 1746390 33490 )
+    NEW met1 ( 1355850 1676710 ) ( 1357230 1676710 )
+    NEW met2 ( 1355850 1676710 ) ( 1355850 1690140 0 )
+    NEW met2 ( 1357230 33490 ) ( 1357230 1676710 )
+    NEW met1 ( 1357230 33490 ) ( 1746390 33490 )
+    NEW met1 ( 1357230 33490 ) M1M2_PR
+    NEW met1 ( 1746390 33490 ) M1M2_PR
+    NEW met1 ( 1357230 1676710 ) M1M2_PR
+    NEW met1 ( 1355850 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[64] ( PIN la_data_in[64] ) ( mprj la_data_in[64] ) 
+  + ROUTED met2 ( 1354010 1652740 ) ( 1354470 1652740 )
+    NEW met2 ( 1764330 2380 0 ) ( 1764330 33150 )
+    NEW met2 ( 1354470 33150 ) ( 1354470 1652740 )
+    NEW met1 ( 1354010 1677390 ) ( 1357690 1677390 )
+    NEW met2 ( 1357690 1677390 ) ( 1357690 1690140 0 )
+    NEW met2 ( 1354010 1652740 ) ( 1354010 1677390 )
+    NEW met1 ( 1354470 33150 ) ( 1764330 33150 )
+    NEW met1 ( 1354470 33150 ) M1M2_PR
+    NEW met1 ( 1764330 33150 ) M1M2_PR
+    NEW met1 ( 1354010 1677390 ) M1M2_PR
+    NEW met1 ( 1357690 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[65] ( PIN la_data_in[65] ) ( mprj la_data_in[65] ) 
+  + ROUTED li1 ( 1357690 1650190 ) ( 1357690 1651550 )
+    NEW met1 ( 1357690 1651550 ) ( 1358610 1651550 )
+    NEW met2 ( 1357690 32130 ) ( 1357690 1650190 )
+    NEW met2 ( 1781810 2380 0 ) ( 1781810 32130 )
+    NEW met2 ( 1358610 1679260 ) ( 1359070 1679260 )
+    NEW met2 ( 1359070 1679260 ) ( 1359070 1690140 0 )
+    NEW met2 ( 1358610 1651550 ) ( 1358610 1679260 )
+    NEW met1 ( 1357690 32130 ) ( 1781810 32130 )
+    NEW met1 ( 1357690 32130 ) M1M2_PR
+    NEW li1 ( 1357690 1650190 ) L1M1_PR_MR
+    NEW met1 ( 1357690 1650190 ) M1M2_PR
+    NEW li1 ( 1357690 1651550 ) L1M1_PR_MR
+    NEW met1 ( 1358610 1651550 ) M1M2_PR
+    NEW met1 ( 1781810 32130 ) M1M2_PR
+    NEW met1 ( 1357690 1650190 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[66] ( PIN la_data_in[66] ) ( mprj la_data_in[66] ) 
+  + ROUTED met2 ( 1799750 2380 0 ) ( 1799750 31790 )
+    NEW met1 ( 1360910 1679430 ) ( 1365050 1679430 )
+    NEW met2 ( 1360910 1679430 ) ( 1360910 1690140 0 )
+    NEW met2 ( 1365050 31790 ) ( 1365050 1679430 )
+    NEW met1 ( 1365050 31790 ) ( 1799750 31790 )
+    NEW met1 ( 1365050 31790 ) M1M2_PR
+    NEW met1 ( 1799750 31790 ) M1M2_PR
+    NEW met1 ( 1365050 1679430 ) M1M2_PR
+    NEW met1 ( 1360910 1679430 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] ) 
+  + ROUTED met2 ( 1362750 82800 ) ( 1363670 82800 )
+    NEW met2 ( 1363670 31450 ) ( 1363670 82800 )
+    NEW met2 ( 1817690 2380 0 ) ( 1817690 31450 )
+    NEW met2 ( 1362750 1688780 ) ( 1362980 1688780 )
+    NEW met2 ( 1362980 1688780 ) ( 1362980 1690140 0 )
+    NEW met2 ( 1362750 82800 ) ( 1362750 1688780 )
+    NEW met1 ( 1363670 31450 ) ( 1817690 31450 )
+    NEW met1 ( 1363670 31450 ) M1M2_PR
+    NEW met1 ( 1817690 31450 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] ) 
+  + ROUTED met2 ( 1835170 2380 0 ) ( 1835170 31110 )
+    NEW met2 ( 1363210 31110 ) ( 1363210 34500 )
+    NEW met2 ( 1362290 58820 ) ( 1362750 58820 )
+    NEW met2 ( 1362750 34500 ) ( 1362750 58820 )
+    NEW met2 ( 1362750 34500 ) ( 1363210 34500 )
+    NEW met2 ( 1361830 1673820 ) ( 1362290 1673820 )
+    NEW met2 ( 1361830 1673820 ) ( 1361830 1677390 )
+    NEW met1 ( 1361830 1677390 ) ( 1364590 1677390 )
+    NEW met2 ( 1364590 1677390 ) ( 1364590 1688780 )
+    NEW met2 ( 1364590 1688780 ) ( 1364820 1688780 )
+    NEW met2 ( 1364820 1688780 ) ( 1364820 1690140 0 )
+    NEW met2 ( 1362290 58820 ) ( 1362290 1673820 )
+    NEW met1 ( 1363210 31110 ) ( 1835170 31110 )
+    NEW met1 ( 1363210 31110 ) M1M2_PR
+    NEW met1 ( 1835170 31110 ) M1M2_PR
+    NEW met1 ( 1361830 1677390 ) M1M2_PR
+    NEW met1 ( 1364590 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] ) 
+  + ROUTED met2 ( 1853110 2380 0 ) ( 1853110 30770 )
+    NEW met2 ( 1366890 1631660 ) ( 1367810 1631660 )
+    NEW met1 ( 1367810 34510 ) ( 1414270 34510 )
+    NEW li1 ( 1414270 30770 ) ( 1414270 34510 )
+    NEW met2 ( 1367810 34510 ) ( 1367810 1631660 )
+    NEW met1 ( 1414270 30770 ) ( 1853110 30770 )
+    NEW met2 ( 1366890 1631660 ) ( 1366890 1690140 0 )
+    NEW met1 ( 1853110 30770 ) M1M2_PR
+    NEW met1 ( 1367810 34510 ) M1M2_PR
+    NEW li1 ( 1414270 34510 ) L1M1_PR_MR
+    NEW li1 ( 1414270 30770 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] ) 
+  + ROUTED met2 ( 735770 2380 0 ) ( 735770 17850 )
+    NEW met1 ( 735770 17850 ) ( 738070 17850 )
+    NEW met2 ( 738070 17850 ) ( 738070 1611770 )
+    NEW li1 ( 1250970 1611770 ) ( 1250970 1632850 )
+    NEW met1 ( 738070 1611770 ) ( 1250970 1611770 )
+    NEW met2 ( 1250970 1632850 ) ( 1250970 1690140 0 )
+    NEW met1 ( 735770 17850 ) M1M2_PR
+    NEW met1 ( 738070 17850 ) M1M2_PR
+    NEW met1 ( 738070 1611770 ) M1M2_PR
+    NEW li1 ( 1250970 1611770 ) L1M1_PR_MR
+    NEW li1 ( 1250970 1632850 ) L1M1_PR_MR
+    NEW met1 ( 1250970 1632850 ) M1M2_PR
+    NEW met1 ( 1250970 1632850 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] ) 
+  + ROUTED met2 ( 1870590 2380 0 ) ( 1870590 17340 )
+    NEW met2 ( 1870130 17340 ) ( 1870590 17340 )
+    NEW met2 ( 1870130 17340 ) ( 1870130 1619250 )
+    NEW met1 ( 1430830 1619250 ) ( 1870130 1619250 )
+    NEW met2 ( 1431290 1671100 ) ( 1431290 1680790 )
+    NEW met2 ( 1430830 1671100 ) ( 1431290 1671100 )
+    NEW met2 ( 1430830 1619250 ) ( 1430830 1671100 )
+    NEW li1 ( 1412890 1680790 ) ( 1412890 1682830 )
+    NEW met1 ( 1368730 1682830 ) ( 1412890 1682830 )
+    NEW met2 ( 1368730 1682830 ) ( 1368730 1690140 0 )
+    NEW met1 ( 1412890 1680790 ) ( 1431290 1680790 )
+    NEW met1 ( 1870130 1619250 ) M1M2_PR
+    NEW met1 ( 1430830 1619250 ) M1M2_PR
+    NEW met1 ( 1431290 1680790 ) M1M2_PR
+    NEW li1 ( 1412890 1680790 ) L1M1_PR_MR
+    NEW li1 ( 1412890 1682830 ) L1M1_PR_MR
+    NEW met1 ( 1368730 1682830 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] ) 
+  + ROUTED met2 ( 1883930 82800 ) ( 1888530 82800 )
+    NEW met2 ( 1888530 2380 0 ) ( 1888530 82800 )
+    NEW met2 ( 1883930 82800 ) ( 1883930 1646790 )
+    NEW met1 ( 1372870 1646790 ) ( 1883930 1646790 )
+    NEW met1 ( 1370110 1677050 ) ( 1372870 1677050 )
+    NEW met2 ( 1370110 1677050 ) ( 1370110 1690140 0 )
+    NEW met2 ( 1372870 1646790 ) ( 1372870 1677050 )
+    NEW met1 ( 1883930 1646790 ) M1M2_PR
+    NEW met1 ( 1372870 1646790 ) M1M2_PR
+    NEW met1 ( 1372870 1677050 ) M1M2_PR
+    NEW met1 ( 1370110 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] ) 
+  + ROUTED met2 ( 1904630 82800 ) ( 1906010 82800 )
+    NEW met2 ( 1906010 2380 0 ) ( 1906010 82800 )
+    NEW met2 ( 1904630 82800 ) ( 1904630 1660390 )
+    NEW met2 ( 1371950 1660390 ) ( 1371950 1670590 )
+    NEW li1 ( 1371950 1670590 ) ( 1371950 1677730 )
+    NEW met1 ( 1371950 1677730 ) ( 1372870 1677730 )
+    NEW met2 ( 1372870 1677730 ) ( 1372870 1684020 )
+    NEW met2 ( 1371950 1684020 ) ( 1372870 1684020 )
+    NEW met2 ( 1371950 1684020 ) ( 1371950 1690140 0 )
+    NEW met1 ( 1371950 1660390 ) ( 1904630 1660390 )
+    NEW met1 ( 1904630 1660390 ) M1M2_PR
+    NEW met1 ( 1371950 1660390 ) M1M2_PR
+    NEW li1 ( 1371950 1670590 ) L1M1_PR_MR
+    NEW met1 ( 1371950 1670590 ) M1M2_PR
+    NEW li1 ( 1371950 1677730 ) L1M1_PR_MR
+    NEW met1 ( 1372870 1677730 ) M1M2_PR
+    NEW met1 ( 1371950 1670590 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] ) 
+  + ROUTED met2 ( 1918430 82800 ) ( 1923950 82800 )
+    NEW met2 ( 1923950 2380 0 ) ( 1923950 82800 )
+    NEW met2 ( 1918430 82800 ) ( 1918430 1605650 )
+    NEW met1 ( 1423010 1605650 ) ( 1918430 1605650 )
+    NEW li1 ( 1417950 1677730 ) ( 1417950 1679090 )
+    NEW met1 ( 1417950 1679090 ) ( 1423470 1679090 )
+    NEW met2 ( 1423470 1678580 ) ( 1423470 1679090 )
+    NEW met2 ( 1423010 1678580 ) ( 1423470 1678580 )
+    NEW met2 ( 1423010 1605650 ) ( 1423010 1678580 )
+    NEW li1 ( 1414270 1677730 ) ( 1414270 1682490 )
+    NEW met1 ( 1374250 1682490 ) ( 1414270 1682490 )
+    NEW met2 ( 1374250 1682490 ) ( 1374250 1688780 )
+    NEW met2 ( 1374020 1688780 ) ( 1374250 1688780 )
+    NEW met2 ( 1374020 1688780 ) ( 1374020 1690140 0 )
+    NEW met1 ( 1414270 1677730 ) ( 1417950 1677730 )
+    NEW met1 ( 1918430 1605650 ) M1M2_PR
+    NEW met1 ( 1423010 1605650 ) M1M2_PR
+    NEW li1 ( 1417950 1677730 ) L1M1_PR_MR
+    NEW li1 ( 1417950 1679090 ) L1M1_PR_MR
+    NEW met1 ( 1423470 1679090 ) M1M2_PR
+    NEW li1 ( 1414270 1677730 ) L1M1_PR_MR
+    NEW li1 ( 1414270 1682490 ) L1M1_PR_MR
+    NEW met1 ( 1374250 1682490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] ) 
+  + ROUTED met2 ( 1939130 82800 ) ( 1941430 82800 )
+    NEW met2 ( 1941430 2380 0 ) ( 1941430 82800 )
+    NEW met2 ( 1939130 82800 ) ( 1939130 1598170 )
+    NEW met1 ( 1436810 1598170 ) ( 1939130 1598170 )
+    NEW li1 ( 1432210 1681130 ) ( 1432210 1683510 )
+    NEW met1 ( 1432210 1681130 ) ( 1436810 1681130 )
+    NEW met2 ( 1436810 1598170 ) ( 1436810 1681130 )
+    NEW met2 ( 1375860 1689630 ) ( 1375860 1690140 0 )
+    NEW met1 ( 1375860 1689630 ) ( 1376090 1689630 )
+    NEW li1 ( 1376090 1683510 ) ( 1376090 1689630 )
+    NEW met1 ( 1376090 1683510 ) ( 1432210 1683510 )
+    NEW met1 ( 1939130 1598170 ) M1M2_PR
+    NEW met1 ( 1436810 1598170 ) M1M2_PR
+    NEW li1 ( 1432210 1683510 ) L1M1_PR_MR
+    NEW li1 ( 1432210 1681130 ) L1M1_PR_MR
+    NEW met1 ( 1436810 1681130 ) M1M2_PR
+    NEW met1 ( 1375860 1689630 ) M1M2_PR
+    NEW li1 ( 1376090 1689630 ) L1M1_PR_MR
+    NEW li1 ( 1376090 1683510 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] ) 
+  + ROUTED met2 ( 1959370 2380 0 ) ( 1959370 21250 )
+    NEW met1 ( 1952930 21250 ) ( 1959370 21250 )
+    NEW met2 ( 1952930 21250 ) ( 1952930 1654270 )
+    NEW met1 ( 1379770 1654270 ) ( 1952930 1654270 )
+    NEW met1 ( 1377930 1677730 ) ( 1379770 1677730 )
+    NEW met2 ( 1377930 1677730 ) ( 1377930 1690140 0 )
+    NEW met2 ( 1379770 1654270 ) ( 1379770 1677730 )
+    NEW met1 ( 1959370 21250 ) M1M2_PR
+    NEW met1 ( 1952930 21250 ) M1M2_PR
+    NEW met1 ( 1952930 1654270 ) M1M2_PR
+    NEW met1 ( 1379770 1654270 ) M1M2_PR
+    NEW met1 ( 1379770 1677730 ) M1M2_PR
+    NEW met1 ( 1377930 1677730 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] ) 
+  + ROUTED met2 ( 1973630 82800 ) ( 1976850 82800 )
+    NEW met2 ( 1976850 2380 0 ) ( 1976850 82800 )
+    NEW met2 ( 1973630 82800 ) ( 1973630 1639650 )
+    NEW met1 ( 1375630 1639650 ) ( 1973630 1639650 )
+    NEW met1 ( 1375630 1679090 ) ( 1379770 1679090 )
+    NEW met2 ( 1379770 1679090 ) ( 1379770 1690140 0 )
+    NEW met2 ( 1375630 1639650 ) ( 1375630 1679090 )
+    NEW met1 ( 1973630 1639650 ) M1M2_PR
+    NEW met1 ( 1375630 1639650 ) M1M2_PR
+    NEW met1 ( 1375630 1679090 ) M1M2_PR
+    NEW met1 ( 1379770 1679090 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[77] ( PIN la_data_in[77] ) ( mprj la_data_in[77] ) 
+  + ROUTED met2 ( 1994790 2380 0 ) ( 1994790 17340 )
+    NEW met2 ( 1994330 17340 ) ( 1994790 17340 )
+    NEW met2 ( 1994330 17340 ) ( 1994330 1633190 )
+    NEW met1 ( 1381150 1633190 ) ( 1994330 1633190 )
+    NEW met2 ( 1381150 1633190 ) ( 1381150 1690140 0 )
+    NEW met1 ( 1994330 1633190 ) M1M2_PR
+    NEW met1 ( 1381150 1633190 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[78] ( PIN la_data_in[78] ) ( mprj la_data_in[78] ) 
+  + ROUTED met2 ( 2008130 82800 ) ( 2012730 82800 )
+    NEW met2 ( 2012730 2380 0 ) ( 2012730 82800 )
+    NEW met2 ( 2008130 82800 ) ( 2008130 1625030 )
+    NEW met1 ( 1386670 1625030 ) ( 2008130 1625030 )
+    NEW met1 ( 1382990 1677390 ) ( 1386670 1677390 )
+    NEW met2 ( 1382990 1677390 ) ( 1382990 1690140 0 )
+    NEW met2 ( 1386670 1625030 ) ( 1386670 1677390 )
+    NEW met1 ( 2008130 1625030 ) M1M2_PR
+    NEW met1 ( 1386670 1625030 ) M1M2_PR
+    NEW met1 ( 1386670 1677390 ) M1M2_PR
+    NEW met1 ( 1382990 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[79] ( PIN la_data_in[79] ) ( mprj la_data_in[79] ) 
+  + ROUTED met2 ( 2030210 2380 0 ) ( 2030210 38590 )
+    NEW met2 ( 1381610 1607700 ) ( 1382070 1607700 )
+    NEW met2 ( 1382070 38590 ) ( 1382070 1607700 )
+    NEW met1 ( 1382070 38590 ) ( 2030210 38590 )
+    NEW met1 ( 1381610 1677730 ) ( 1384830 1677730 )
+    NEW met2 ( 1384830 1677730 ) ( 1384830 1688780 )
+    NEW met2 ( 1384830 1688780 ) ( 1385060 1688780 )
+    NEW met2 ( 1385060 1688780 ) ( 1385060 1690140 0 )
+    NEW met2 ( 1381610 1607700 ) ( 1381610 1677730 )
+    NEW met1 ( 2030210 38590 ) M1M2_PR
+    NEW met1 ( 1382070 38590 ) M1M2_PR
+    NEW met1 ( 1381610 1677730 ) M1M2_PR
+    NEW met1 ( 1384830 1677730 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[7] ( PIN la_data_in[7] ) ( mprj la_data_in[7] ) 
+  + ROUTED met2 ( 753250 2380 0 ) ( 753250 17850 )
+    NEW met1 ( 753250 17850 ) ( 758310 17850 )
+    NEW met2 ( 758310 17850 ) ( 758310 34500 )
+    NEW met2 ( 758310 34500 ) ( 758770 34500 )
+    NEW met2 ( 758770 34500 ) ( 758770 1633190 )
+    NEW met1 ( 1250050 1673310 ) ( 1252350 1673310 )
+    NEW met2 ( 1252350 1673310 ) ( 1252350 1688780 )
+    NEW met2 ( 1252350 1688780 ) ( 1252580 1688780 )
+    NEW met2 ( 1252580 1688780 ) ( 1252580 1690140 0 )
+    NEW met2 ( 1250050 1634210 ) ( 1250050 1673310 )
+    NEW li1 ( 1194850 1633190 ) ( 1194850 1634210 )
+    NEW li1 ( 1194850 1634210 ) ( 1195770 1634210 )
+    NEW met1 ( 758770 1633190 ) ( 1194850 1633190 )
+    NEW met1 ( 1195770 1634210 ) ( 1250050 1634210 )
+    NEW met1 ( 753250 17850 ) M1M2_PR
+    NEW met1 ( 758310 17850 ) M1M2_PR
+    NEW met1 ( 758770 1633190 ) M1M2_PR
+    NEW met1 ( 1250050 1634210 ) M1M2_PR
+    NEW met1 ( 1250050 1673310 ) M1M2_PR
+    NEW met1 ( 1252350 1673310 ) M1M2_PR
+    NEW li1 ( 1194850 1633190 ) L1M1_PR_MR
+    NEW li1 ( 1195770 1634210 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[80] ( PIN la_data_in[80] ) ( mprj la_data_in[80] ) 
+  + ROUTED met2 ( 2042630 82800 ) ( 2048150 82800 )
+    NEW met2 ( 2048150 2380 0 ) ( 2048150 82800 )
+    NEW met2 ( 2042630 82800 ) ( 2042630 1591710 )
+    NEW met1 ( 1431290 1591710 ) ( 2042630 1591710 )
+    NEW met2 ( 1431290 1591710 ) ( 1431290 1656000 )
+    NEW met2 ( 1431750 1656000 ) ( 1431750 1681130 )
+    NEW met2 ( 1431290 1656000 ) ( 1431750 1656000 )
+    NEW met2 ( 1393110 1681130 ) ( 1393110 1682150 )
+    NEW met1 ( 1387130 1682150 ) ( 1393110 1682150 )
+    NEW met2 ( 1387130 1682150 ) ( 1387130 1688100 )
+    NEW met2 ( 1386900 1688100 ) ( 1387130 1688100 )
+    NEW met2 ( 1386900 1688100 ) ( 1386900 1690140 0 )
+    NEW met1 ( 1393110 1681130 ) ( 1431750 1681130 )
+    NEW met1 ( 2042630 1591710 ) M1M2_PR
+    NEW met1 ( 1431290 1591710 ) M1M2_PR
+    NEW met1 ( 1431750 1681130 ) M1M2_PR
+    NEW met1 ( 1393110 1681130 ) M1M2_PR
+    NEW met1 ( 1393110 1682150 ) M1M2_PR
+    NEW met1 ( 1387130 1682150 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[81] ( PIN la_data_in[81] ) ( mprj la_data_in[81] ) 
+  + ROUTED met2 ( 2063330 82800 ) ( 2065630 82800 )
+    NEW met2 ( 2065630 2380 0 ) ( 2065630 82800 )
+    NEW met2 ( 2063330 82800 ) ( 2063330 1618910 )
+    NEW met1 ( 1388510 1618910 ) ( 2063330 1618910 )
+    NEW met2 ( 1388970 1666340 ) ( 1388970 1690140 0 )
+    NEW met2 ( 1388510 1666340 ) ( 1388970 1666340 )
+    NEW met2 ( 1388510 1618910 ) ( 1388510 1666340 )
+    NEW met1 ( 2063330 1618910 ) M1M2_PR
+    NEW met1 ( 1388510 1618910 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[82] ( PIN la_data_in[82] ) ( mprj la_data_in[82] ) 
+  + ROUTED met2 ( 2083570 2380 0 ) ( 2083570 14450 )
+    NEW met1 ( 2077590 14450 ) ( 2083570 14450 )
+    NEW met2 ( 2077590 14450 ) ( 2077590 1583890 )
+    NEW met1 ( 1389430 1583890 ) ( 2077590 1583890 )
+    NEW met2 ( 1389430 1633700 ) ( 1390350 1633700 )
+    NEW met2 ( 1389430 1583890 ) ( 1389430 1633700 )
+    NEW met2 ( 1390350 1633700 ) ( 1390350 1690140 0 )
+    NEW met1 ( 2083570 14450 ) M1M2_PR
+    NEW met1 ( 2077590 14450 ) M1M2_PR
+    NEW met1 ( 1389430 1583890 ) M1M2_PR
+    NEW met1 ( 2077590 1583890 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[83] ( PIN la_data_in[83] ) ( mprj la_data_in[83] ) 
+  + ROUTED met2 ( 2097830 82800 ) ( 2101050 82800 )
+    NEW met2 ( 2101050 2380 0 ) ( 2101050 82800 )
+    NEW met2 ( 2097830 82800 ) ( 2097830 1577090 )
+    NEW met1 ( 1389890 1577090 ) ( 2097830 1577090 )
+    NEW met1 ( 1389890 1632850 ) ( 1392190 1632850 )
+    NEW met2 ( 1389890 1577090 ) ( 1389890 1632850 )
+    NEW met2 ( 1392190 1632850 ) ( 1392190 1690140 0 )
+    NEW met1 ( 1389890 1577090 ) M1M2_PR
+    NEW met1 ( 2097830 1577090 ) M1M2_PR
+    NEW met1 ( 1389890 1632850 ) M1M2_PR
+    NEW met1 ( 1392190 1632850 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[84] ( PIN la_data_in[84] ) ( mprj la_data_in[84] ) 
+  + ROUTED met2 ( 2118990 2380 0 ) ( 2118990 1570290 )
+    NEW met1 ( 1421630 1631150 ) ( 1423930 1631150 )
+    NEW met2 ( 1423930 1570290 ) ( 1423930 1631150 )
+    NEW met1 ( 1423930 1570290 ) ( 2118990 1570290 )
+    NEW met2 ( 1421630 1631150 ) ( 1421630 1679430 )
+    NEW met2 ( 1394030 1679430 ) ( 1394030 1690140 0 )
+    NEW met1 ( 1394030 1679430 ) ( 1421630 1679430 )
+    NEW met1 ( 2118990 1570290 ) M1M2_PR
+    NEW met1 ( 1421630 1631150 ) M1M2_PR
+    NEW met1 ( 1423930 1631150 ) M1M2_PR
+    NEW met1 ( 1423930 1570290 ) M1M2_PR
+    NEW met1 ( 1421630 1679430 ) M1M2_PR
+    NEW met1 ( 1394030 1679430 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[85] ( PIN la_data_in[85] ) ( mprj la_data_in[85] ) 
+  + ROUTED met2 ( 2132330 82800 ) ( 2136470 82800 )
+    NEW met2 ( 2136470 2380 0 ) ( 2136470 82800 )
+    NEW met2 ( 2132330 82800 ) ( 2132330 1673990 )
+    NEW met2 ( 1396100 1689630 ) ( 1396100 1690140 0 )
+    NEW met1 ( 1396100 1689630 ) ( 1398630 1689630 )
+    NEW li1 ( 1398630 1673990 ) ( 1398630 1689630 )
+    NEW met1 ( 1398630 1673990 ) ( 2132330 1673990 )
+    NEW met1 ( 2132330 1673990 ) M1M2_PR
+    NEW met1 ( 1396100 1689630 ) M1M2_PR
+    NEW li1 ( 1398630 1689630 ) L1M1_PR_MR
+    NEW li1 ( 1398630 1673990 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_in[86] ( PIN la_data_in[86] ) ( mprj la_data_in[86] ) 
+  + ROUTED met2 ( 2153030 82800 ) ( 2154410 82800 )
+    NEW met2 ( 2154410 2380 0 ) ( 2154410 82800 )
+    NEW met2 ( 2153030 82800 ) ( 2153030 1660050 )
+    NEW met2 ( 1397710 1660050 ) ( 1397710 1688780 )
+    NEW met2 ( 1397710 1688780 ) ( 1397940 1688780 )
+    NEW met2 ( 1397940 1688780 ) ( 1397940 1690140 0 )
+    NEW met1 ( 1397710 1660050 ) ( 2153030 1660050 )
+    NEW met1 ( 2153030 1660050 ) M1M2_PR
+    NEW met1 ( 1397710 1660050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[87] ( PIN la_data_in[87] ) ( mprj la_data_in[87] ) 
+  + ROUTED met2 ( 2166830 82800 ) ( 2172350 82800 )
+    NEW met2 ( 2172350 2380 0 ) ( 2172350 82800 )
+    NEW met2 ( 2166830 82800 ) ( 2166830 1646450 )
+    NEW met1 ( 1400010 1646450 ) ( 2166830 1646450 )
+    NEW met2 ( 1400010 1646450 ) ( 1400010 1690140 0 )
+    NEW met1 ( 2166830 1646450 ) M1M2_PR
+    NEW met1 ( 1400010 1646450 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[88] ( PIN la_data_in[88] ) ( mprj la_data_in[88] ) 
+  + ROUTED met2 ( 2187530 82800 ) ( 2189830 82800 )
+    NEW met2 ( 2189830 2380 0 ) ( 2189830 82800 )
+    NEW met1 ( 1431750 1522010 ) ( 2187530 1522010 )
+    NEW met2 ( 2187530 82800 ) ( 2187530 1522010 )
+    NEW met1 ( 1430370 1631490 ) ( 1431750 1631490 )
+    NEW met2 ( 1431750 1522010 ) ( 1431750 1631490 )
+    NEW li1 ( 1417030 1679770 ) ( 1417030 1680450 )
+    NEW met1 ( 1417030 1680450 ) ( 1430830 1680450 )
+    NEW met2 ( 1430830 1671780 ) ( 1430830 1680450 )
+    NEW met2 ( 1430370 1671780 ) ( 1430830 1671780 )
+    NEW met2 ( 1430370 1631490 ) ( 1430370 1671780 )
+    NEW met2 ( 1401390 1679770 ) ( 1401390 1690140 0 )
+    NEW met1 ( 1401390 1679770 ) ( 1417030 1679770 )
+    NEW met1 ( 1431750 1522010 ) M1M2_PR
+    NEW met1 ( 2187530 1522010 ) M1M2_PR
+    NEW met1 ( 1430370 1631490 ) M1M2_PR
+    NEW met1 ( 1431750 1631490 ) M1M2_PR
+    NEW li1 ( 1417030 1679770 ) L1M1_PR_MR
+    NEW li1 ( 1417030 1680450 ) L1M1_PR_MR
+    NEW met1 ( 1430830 1680450 ) M1M2_PR
+    NEW met1 ( 1401390 1679770 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[89] ( PIN la_data_in[89] ) ( mprj la_data_in[89] ) 
+  + ROUTED met2 ( 2207770 2380 0 ) ( 2207770 16830 )
+    NEW met1 ( 2201790 16830 ) ( 2207770 16830 )
+    NEW met2 ( 2201790 16830 ) ( 2201790 1604290 )
+    NEW met1 ( 1407370 1604290 ) ( 2201790 1604290 )
+    NEW met1 ( 1403690 1673650 ) ( 1407370 1673650 )
+    NEW met2 ( 1403690 1673650 ) ( 1403690 1690140 )
+    NEW met2 ( 1403230 1690140 0 ) ( 1403690 1690140 )
+    NEW met2 ( 1407370 1604290 ) ( 1407370 1673650 )
+    NEW met1 ( 2207770 16830 ) M1M2_PR
+    NEW met1 ( 2201790 16830 ) M1M2_PR
+    NEW met1 ( 1407370 1604290 ) M1M2_PR
+    NEW met1 ( 2201790 1604290 ) M1M2_PR
+    NEW met1 ( 1407370 1673650 ) M1M2_PR
+    NEW met1 ( 1403690 1673650 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[8] ( PIN la_data_in[8] ) ( mprj la_data_in[8] ) 
+  + ROUTED met2 ( 771190 2380 0 ) ( 771190 34500 )
+    NEW met2 ( 771190 34500 ) ( 772570 34500 )
+    NEW met2 ( 772570 34500 ) ( 772570 1597830 )
+    NEW met1 ( 772570 1597830 ) ( 1254650 1597830 )
+    NEW met2 ( 1254420 1690140 0 ) ( 1254650 1690140 )
+    NEW met2 ( 1254650 1597830 ) ( 1254650 1690140 )
+    NEW met1 ( 772570 1597830 ) M1M2_PR
+    NEW met1 ( 1254650 1597830 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[90] ( PIN la_data_in[90] ) ( mprj la_data_in[90] ) 
+  + ROUTED met2 ( 2222030 82800 ) ( 2225250 82800 )
+    NEW met2 ( 2225250 2380 0 ) ( 2225250 82800 )
+    NEW met2 ( 2222030 82800 ) ( 2222030 1611770 )
+    NEW met1 ( 1406910 1611770 ) ( 2222030 1611770 )
+    NEW met1 ( 1405070 1677050 ) ( 1406910 1677050 )
+    NEW met2 ( 1405070 1677050 ) ( 1405070 1690140 0 )
+    NEW met2 ( 1406910 1611770 ) ( 1406910 1677050 )
+    NEW met1 ( 2222030 1611770 ) M1M2_PR
+    NEW met1 ( 1406910 1611770 ) M1M2_PR
+    NEW met1 ( 1406910 1677050 ) M1M2_PR
+    NEW met1 ( 1405070 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[91] ( PIN la_data_in[91] ) ( mprj la_data_in[91] ) 
+  + ROUTED met2 ( 2243190 2380 0 ) ( 2243190 7820 )
+    NEW met2 ( 2242730 7820 ) ( 2243190 7820 )
+    NEW met2 ( 2242730 7820 ) ( 2242730 1562810 )
+    NEW met1 ( 1403690 1562810 ) ( 2242730 1562810 )
+    NEW met1 ( 1401850 1631150 ) ( 1403690 1631150 )
+    NEW met2 ( 1403690 1562810 ) ( 1403690 1631150 )
+    NEW met1 ( 1401850 1678750 ) ( 1406910 1678750 )
+    NEW met2 ( 1406910 1678750 ) ( 1406910 1689460 )
+    NEW met2 ( 1406910 1689460 ) ( 1407140 1689460 )
+    NEW met2 ( 1407140 1689460 ) ( 1407140 1690140 0 )
+    NEW met2 ( 1401850 1631150 ) ( 1401850 1678750 )
+    NEW met1 ( 2242730 1562810 ) M1M2_PR
+    NEW met1 ( 1403690 1562810 ) M1M2_PR
+    NEW met1 ( 1401850 1631150 ) M1M2_PR
+    NEW met1 ( 1403690 1631150 ) M1M2_PR
+    NEW met1 ( 1401850 1678750 ) M1M2_PR
+    NEW met1 ( 1406910 1678750 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[92] ( PIN la_data_in[92] ) ( mprj la_data_in[92] ) 
+  + ROUTED met2 ( 2260670 2380 0 ) ( 2260670 12580 )
+    NEW met2 ( 2258830 12580 ) ( 2260670 12580 )
+    NEW met2 ( 2256530 82800 ) ( 2258830 82800 )
+    NEW met2 ( 2258830 12580 ) ( 2258830 82800 )
+    NEW met1 ( 1438650 1507730 ) ( 2256530 1507730 )
+    NEW met2 ( 2256530 82800 ) ( 2256530 1507730 )
+    NEW met2 ( 1408980 1688950 ) ( 1408980 1690140 0 )
+    NEW met1 ( 1408980 1688270 ) ( 1408980 1688950 )
+    NEW met1 ( 1408980 1688270 ) ( 1438650 1688270 )
+    NEW met2 ( 1438650 1507730 ) ( 1438650 1688270 )
+    NEW met1 ( 1438650 1507730 ) M1M2_PR
+    NEW met1 ( 2256530 1507730 ) M1M2_PR
+    NEW met1 ( 1408980 1688950 ) M1M2_PR
+    NEW met1 ( 1438650 1688270 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[93] ( PIN la_data_in[93] ) ( mprj la_data_in[93] ) 
+  + ROUTED met2 ( 2277230 82800 ) ( 2278610 82800 )
+    NEW met2 ( 2278610 2380 0 ) ( 2278610 82800 )
+    NEW met2 ( 2277230 82800 ) ( 2277230 1597490 )
+    NEW met1 ( 1410590 1597490 ) ( 2277230 1597490 )
+    NEW met2 ( 1411050 1677220 ) ( 1411050 1690140 0 )
+    NEW met2 ( 1410590 1677220 ) ( 1411050 1677220 )
+    NEW met2 ( 1410590 1597490 ) ( 1410590 1677220 )
+    NEW met1 ( 1410590 1597490 ) M1M2_PR
+    NEW met1 ( 2277230 1597490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[94] ( PIN la_data_in[94] ) ( mprj la_data_in[94] ) 
+  + ROUTED met2 ( 2291030 82800 ) ( 2296090 82800 )
+    NEW met2 ( 2296090 2380 0 ) ( 2296090 82800 )
+    NEW met2 ( 2291030 82800 ) ( 2291030 1653250 )
+    NEW li1 ( 1438650 1653250 ) ( 1438650 1655290 )
+    NEW met1 ( 1438650 1653250 ) ( 2291030 1653250 )
+    NEW met1 ( 1409210 1655290 ) ( 1438650 1655290 )
+    NEW met1 ( 1409210 1680790 ) ( 1412430 1680790 )
+    NEW met2 ( 1412430 1680790 ) ( 1412430 1690140 0 )
+    NEW met2 ( 1409210 1655290 ) ( 1409210 1680790 )
+    NEW met1 ( 2291030 1653250 ) M1M2_PR
+    NEW li1 ( 1438650 1655290 ) L1M1_PR_MR
+    NEW li1 ( 1438650 1653250 ) L1M1_PR_MR
+    NEW met1 ( 1409210 1655290 ) M1M2_PR
+    NEW met1 ( 1409210 1680790 ) M1M2_PR
+    NEW met1 ( 1412430 1680790 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[95] ( PIN la_data_in[95] ) ( mprj la_data_in[95] ) 
+  + ROUTED met2 ( 2311730 82800 ) ( 2314030 82800 )
+    NEW met2 ( 2314030 2380 0 ) ( 2314030 82800 )
+    NEW met2 ( 2311730 82800 ) ( 2311730 1500930 )
+    NEW met1 ( 1411510 1500930 ) ( 2311730 1500930 )
+    NEW met1 ( 1411510 1632510 ) ( 1412430 1632510 )
+    NEW met2 ( 1411510 1500930 ) ( 1411510 1632510 )
+    NEW met1 ( 1412430 1680110 ) ( 1414270 1680110 )
+    NEW met2 ( 1414270 1680110 ) ( 1414270 1690140 0 )
+    NEW met2 ( 1412430 1632510 ) ( 1412430 1680110 )
+    NEW met1 ( 2311730 1500930 ) M1M2_PR
+    NEW met1 ( 1411510 1500930 ) M1M2_PR
+    NEW met1 ( 1411510 1632510 ) M1M2_PR
+    NEW met1 ( 1412430 1632510 ) M1M2_PR
+    NEW met1 ( 1412430 1680110 ) M1M2_PR
+    NEW met1 ( 1414270 1680110 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[96] ( PIN la_data_in[96] ) ( mprj la_data_in[96] ) 
+  + ROUTED met2 ( 2325990 82800 ) ( 2331510 82800 )
+    NEW met2 ( 2331510 2380 0 ) ( 2331510 82800 )
+    NEW met2 ( 2325990 82800 ) ( 2325990 1667870 )
+    NEW li1 ( 1439570 1667870 ) ( 1439570 1669570 )
+    NEW met1 ( 1417950 1669570 ) ( 1439570 1669570 )
+    NEW met2 ( 1417950 1669570 ) ( 1417950 1682490 )
+    NEW met1 ( 1416110 1682490 ) ( 1417950 1682490 )
+    NEW met2 ( 1416110 1682490 ) ( 1416110 1690140 0 )
+    NEW met1 ( 1439570 1667870 ) ( 2325990 1667870 )
+    NEW met1 ( 2325990 1667870 ) M1M2_PR
+    NEW li1 ( 1439570 1667870 ) L1M1_PR_MR
+    NEW li1 ( 1439570 1669570 ) L1M1_PR_MR
+    NEW met1 ( 1417950 1669570 ) M1M2_PR
+    NEW met1 ( 1417950 1682490 ) M1M2_PR
+    NEW met1 ( 1416110 1682490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[97] ( PIN la_data_in[97] ) ( mprj la_data_in[97] ) 
+  + ROUTED met2 ( 2349450 2380 0 ) ( 2349450 9860 )
+    NEW met2 ( 2348530 9860 ) ( 2349450 9860 )
+    NEW met2 ( 2346230 82800 ) ( 2348530 82800 )
+    NEW met2 ( 2348530 9860 ) ( 2348530 82800 )
+    NEW met2 ( 2346230 82800 ) ( 2346230 1639310 )
+    NEW met1 ( 1421170 1639310 ) ( 2346230 1639310 )
+    NEW met1 ( 1418410 1677730 ) ( 1421170 1677730 )
+    NEW met2 ( 1418410 1677730 ) ( 1418410 1688780 )
+    NEW met2 ( 1418180 1688780 ) ( 1418410 1688780 )
+    NEW met2 ( 1418180 1688780 ) ( 1418180 1690140 0 )
+    NEW met2 ( 1421170 1639310 ) ( 1421170 1677730 )
+    NEW met1 ( 2346230 1639310 ) M1M2_PR
+    NEW met1 ( 1421170 1639310 ) M1M2_PR
+    NEW met1 ( 1421170 1677730 ) M1M2_PR
+    NEW met1 ( 1418410 1677730 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[98] ( PIN la_data_in[98] ) ( mprj la_data_in[98] ) 
+  + ROUTED met2 ( 2367390 2380 0 ) ( 2367390 7820 )
+    NEW met2 ( 2366930 7820 ) ( 2367390 7820 )
+    NEW met1 ( 1418410 1556350 ) ( 2366930 1556350 )
+    NEW met2 ( 2366930 7820 ) ( 2366930 1556350 )
+    NEW met2 ( 1418410 1556350 ) ( 1418410 1642200 )
+    NEW met2 ( 1420020 1689290 ) ( 1420020 1690140 0 )
+    NEW met2 ( 1419790 1689290 ) ( 1420020 1689290 )
+    NEW met2 ( 1419790 1676030 ) ( 1419790 1689290 )
+    NEW met2 ( 1418870 1676030 ) ( 1419790 1676030 )
+    NEW met2 ( 1418870 1642200 ) ( 1418870 1676030 )
+    NEW met2 ( 1418410 1642200 ) ( 1418870 1642200 )
+    NEW met1 ( 1418410 1556350 ) M1M2_PR
+    NEW met1 ( 2366930 1556350 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[99] ( PIN la_data_in[99] ) ( mprj la_data_in[99] ) 
+  + ROUTED met2 ( 2380730 82800 ) ( 2384870 82800 )
+    NEW met2 ( 2384870 2380 0 ) ( 2384870 82800 )
+    NEW met1 ( 1425310 1487330 ) ( 2380730 1487330 )
+    NEW met2 ( 2380730 82800 ) ( 2380730 1487330 )
+    NEW met1 ( 1422090 1677730 ) ( 1425310 1677730 )
+    NEW met2 ( 1422090 1677730 ) ( 1422090 1690140 0 )
+    NEW met2 ( 1425310 1487330 ) ( 1425310 1677730 )
+    NEW met1 ( 1425310 1487330 ) M1M2_PR
+    NEW met1 ( 2380730 1487330 ) M1M2_PR
+    NEW met1 ( 1425310 1677730 ) M1M2_PR
+    NEW met1 ( 1422090 1677730 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_in[9] ( PIN la_data_in[9] ) ( mprj la_data_in[9] ) 
+  + ROUTED met2 ( 789130 2380 0 ) ( 789130 17850 )
+    NEW met1 ( 789130 17850 ) ( 793270 17850 )
+    NEW met2 ( 793270 17850 ) ( 793270 1625710 )
+    NEW met2 ( 1256950 1625710 ) ( 1256950 1656000 )
+    NEW met2 ( 1256490 1656000 ) ( 1256950 1656000 )
+    NEW met2 ( 1256490 1656000 ) ( 1256490 1690140 0 )
+    NEW met1 ( 793270 1625710 ) ( 1256950 1625710 )
+    NEW met1 ( 789130 17850 ) M1M2_PR
+    NEW met1 ( 793270 17850 ) M1M2_PR
+    NEW met1 ( 793270 1625710 ) M1M2_PR
+    NEW met1 ( 1256950 1625710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[0] ( PIN la_data_out[0] ) ( mprj la_data_out[0] ) 
+  + ROUTED met2 ( 635030 2380 0 ) ( 635030 17850 )
+    NEW met1 ( 635030 17850 ) ( 638710 17850 )
+    NEW met2 ( 638710 17850 ) ( 638710 1653250 )
+    NEW met2 ( 1240390 1652910 ) ( 1240390 1690140 0 )
+    NEW met1 ( 1221300 1652910 ) ( 1240390 1652910 )
+    NEW met1 ( 1221300 1652910 ) ( 1221300 1653250 )
+    NEW met1 ( 638710 1653250 ) ( 1221300 1653250 )
+    NEW met1 ( 635030 17850 ) M1M2_PR
+    NEW met1 ( 638710 17850 ) M1M2_PR
+    NEW met1 ( 638710 1653250 ) M1M2_PR
+    NEW met1 ( 1240390 1652910 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[100] ( PIN la_data_out[100] ) ( mprj la_data_out[100] ) 
+  + ROUTED met2 ( 2408790 2380 0 ) ( 2408790 7820 )
+    NEW met2 ( 2408330 7820 ) ( 2408790 7820 )
+    NEW met2 ( 2408330 7820 ) ( 2408330 1473390 )
+    NEW met1 ( 1425770 1473390 ) ( 2408330 1473390 )
+    NEW met3 ( 1424390 1632340 ) ( 1424620 1632340 )
+    NEW met3 ( 1424620 1630980 ) ( 1424620 1632340 )
+    NEW met3 ( 1424620 1630980 ) ( 1425770 1630980 )
+    NEW met2 ( 1425770 1473390 ) ( 1425770 1630980 )
+    NEW met2 ( 1424390 1632340 ) ( 1424390 1690140 0 )
+    NEW met1 ( 2408330 1473390 ) M1M2_PR
+    NEW met1 ( 1425770 1473390 ) M1M2_PR
+    NEW met2 ( 1424390 1632340 ) via2_FR
+    NEW met2 ( 1425770 1630980 ) via2_FR
++ USE SIGNAL ;
+- la_data_out[101] ( PIN la_data_out[101] ) ( mprj la_data_out[101] ) 
+  + ROUTED met2 ( 2422130 82800 ) ( 2426270 82800 )
+    NEW met2 ( 2426270 2380 0 ) ( 2426270 82800 )
+    NEW met2 ( 2422130 82800 ) ( 2422130 1459450 )
+    NEW met1 ( 1426230 1459450 ) ( 2422130 1459450 )
+    NEW met2 ( 1425770 1690140 0 ) ( 1426230 1690140 )
+    NEW met2 ( 1426230 1459450 ) ( 1426230 1690140 )
+    NEW met1 ( 2422130 1459450 ) M1M2_PR
+    NEW met1 ( 1426230 1459450 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[102] ( PIN la_data_out[102] ) ( mprj la_data_out[102] ) 
+  + ROUTED met2 ( 2442830 82800 ) ( 2444210 82800 )
+    NEW met2 ( 2444210 2380 0 ) ( 2444210 82800 )
+    NEW met2 ( 2442830 82800 ) ( 2442830 1549210 )
+    NEW met1 ( 1424390 1549210 ) ( 2442830 1549210 )
+    NEW met2 ( 1423930 1631660 ) ( 1424390 1631660 )
+    NEW met2 ( 1424390 1549210 ) ( 1424390 1631660 )
+    NEW met1 ( 1423930 1679090 ) ( 1427610 1679090 )
+    NEW met2 ( 1427610 1679090 ) ( 1427610 1690140 0 )
+    NEW met2 ( 1423930 1631660 ) ( 1423930 1679090 )
+    NEW met1 ( 2442830 1549210 ) M1M2_PR
+    NEW met1 ( 1424390 1549210 ) M1M2_PR
+    NEW met1 ( 1423930 1679090 ) M1M2_PR
+    NEW met1 ( 1427610 1679090 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[103] ( PIN la_data_out[103] ) ( mprj la_data_out[103] ) 
+  + ROUTED met1 ( 1432210 1445510 ) ( 2456630 1445510 )
+    NEW met2 ( 2456630 82800 ) ( 2461690 82800 )
+    NEW met2 ( 2461690 2380 0 ) ( 2461690 82800 )
+    NEW met2 ( 2456630 82800 ) ( 2456630 1445510 )
+    NEW met2 ( 1429680 1689630 ) ( 1429680 1690140 0 )
+    NEW met1 ( 1429680 1689630 ) ( 1429910 1689630 )
+    NEW li1 ( 1429910 1676710 ) ( 1429910 1689630 )
+    NEW met1 ( 1429910 1676710 ) ( 1432210 1676710 )
+    NEW met2 ( 1432210 1445510 ) ( 1432210 1676710 )
+    NEW met1 ( 1432210 1445510 ) M1M2_PR
+    NEW met1 ( 2456630 1445510 ) M1M2_PR
+    NEW met1 ( 1429680 1689630 ) M1M2_PR
+    NEW li1 ( 1429910 1689630 ) L1M1_PR_MR
+    NEW li1 ( 1429910 1676710 ) L1M1_PR_MR
+    NEW met1 ( 1432210 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[104] ( PIN la_data_out[104] ) ( mprj la_data_out[104] ) 
+  + ROUTED met2 ( 2477330 82800 ) ( 2479630 82800 )
+    NEW met2 ( 2479630 2380 0 ) ( 2479630 82800 )
+    NEW met1 ( 1432670 1431570 ) ( 2477330 1431570 )
+    NEW met2 ( 2477330 82800 ) ( 2477330 1431570 )
+    NEW met1 ( 1431520 1688950 ) ( 1432670 1688950 )
+    NEW met2 ( 1431520 1688950 ) ( 1431520 1690140 0 )
+    NEW met2 ( 1432670 1431570 ) ( 1432670 1688950 )
+    NEW met1 ( 1432670 1431570 ) M1M2_PR
+    NEW met1 ( 2477330 1431570 ) M1M2_PR
+    NEW met1 ( 1432670 1688950 ) M1M2_PR
+    NEW met1 ( 1431520 1688950 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[105] ( PIN la_data_out[105] ) ( mprj la_data_out[105] ) 
+  + ROUTED met2 ( 2497110 2380 0 ) ( 2497110 17510 )
+    NEW met1 ( 2491130 17510 ) ( 2497110 17510 )
+    NEW met1 ( 1433130 1418310 ) ( 2491130 1418310 )
+    NEW met2 ( 2491130 17510 ) ( 2491130 1418310 )
+    NEW met2 ( 1433130 1688780 ) ( 1433590 1688780 )
+    NEW met2 ( 1433590 1688780 ) ( 1433590 1690140 0 )
+    NEW met2 ( 1433130 1418310 ) ( 1433130 1688780 )
+    NEW met1 ( 2497110 17510 ) M1M2_PR
+    NEW met1 ( 2491130 17510 ) M1M2_PR
+    NEW met1 ( 1433130 1418310 ) M1M2_PR
+    NEW met1 ( 2491130 1418310 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[106] ( PIN la_data_out[106] ) ( mprj la_data_out[106] ) 
+  + ROUTED met2 ( 2511830 82800 ) ( 2515050 82800 )
+    NEW met2 ( 2515050 2380 0 ) ( 2515050 82800 )
+    NEW met2 ( 2511830 82800 ) ( 2511830 1590690 )
+    NEW met1 ( 1438190 1590690 ) ( 2511830 1590690 )
+    NEW met1 ( 1435430 1676710 ) ( 1438190 1676710 )
+    NEW met2 ( 1435430 1676710 ) ( 1435430 1690140 0 )
+    NEW met2 ( 1438190 1590690 ) ( 1438190 1676710 )
+    NEW met1 ( 2511830 1590690 ) M1M2_PR
+    NEW met1 ( 1438190 1590690 ) M1M2_PR
+    NEW met1 ( 1438190 1676710 ) M1M2_PR
+    NEW met1 ( 1435430 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[107] ( PIN la_data_out[107] ) ( mprj la_data_out[107] ) 
+  + ROUTED met2 ( 2532530 2380 0 ) ( 2532530 1521330 )
+    NEW met1 ( 1439110 1521330 ) ( 2532530 1521330 )
+    NEW met1 ( 1437270 1631490 ) ( 1439110 1631490 )
+    NEW met2 ( 1439110 1521330 ) ( 1439110 1631490 )
+    NEW met2 ( 1436810 1690140 0 ) ( 1437270 1690140 )
+    NEW met2 ( 1437270 1631490 ) ( 1437270 1690140 )
+    NEW met1 ( 1439110 1521330 ) M1M2_PR
+    NEW met1 ( 2532530 1521330 ) M1M2_PR
+    NEW met1 ( 1437270 1631490 ) M1M2_PR
+    NEW met1 ( 1439110 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[108] ( PIN la_data_out[108] ) ( mprj la_data_out[108] ) 
+  + ROUTED met2 ( 2546330 82800 ) ( 2550470 82800 )
+    NEW met2 ( 2550470 2380 0 ) ( 2550470 82800 )
+    NEW met1 ( 1439570 1397570 ) ( 2546330 1397570 )
+    NEW met2 ( 2546330 82800 ) ( 2546330 1397570 )
+    NEW met2 ( 1439570 1397570 ) ( 1439570 1656000 )
+    NEW met2 ( 1439110 1656000 ) ( 1439570 1656000 )
+    NEW met2 ( 1439110 1656000 ) ( 1439110 1690140 )
+    NEW met2 ( 1438650 1690140 0 ) ( 1439110 1690140 )
+    NEW met1 ( 1439570 1397570 ) M1M2_PR
+    NEW met1 ( 2546330 1397570 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[109] ( PIN la_data_out[109] ) ( mprj la_data_out[109] ) 
+  + ROUTED met1 ( 1440030 1383630 ) ( 2567030 1383630 )
+    NEW met2 ( 2567030 82800 ) ( 2567950 82800 )
+    NEW met2 ( 2567950 2380 0 ) ( 2567950 82800 )
+    NEW met2 ( 2567030 82800 ) ( 2567030 1383630 )
+    NEW met2 ( 1440030 1631660 ) ( 1440490 1631660 )
+    NEW met2 ( 1440030 1383630 ) ( 1440030 1631660 )
+    NEW met2 ( 1440490 1688780 ) ( 1440720 1688780 )
+    NEW met2 ( 1440720 1688780 ) ( 1440720 1690140 0 )
+    NEW met2 ( 1440490 1631660 ) ( 1440490 1688780 )
+    NEW met1 ( 1440030 1383630 ) M1M2_PR
+    NEW met1 ( 2567030 1383630 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[10] ( PIN la_data_out[10] ) ( mprj la_data_out[10] ) 
+  + ROUTED met2 ( 812590 2380 0 ) ( 812590 34500 )
+    NEW met2 ( 812590 34500 ) ( 813970 34500 )
+    NEW met2 ( 813970 34500 ) ( 813970 1591710 )
+    NEW met1 ( 813970 1591710 ) ( 1261090 1591710 )
+    NEW met2 ( 1261090 1591710 ) ( 1261090 1607700 )
+    NEW met1 ( 1258790 1632510 ) ( 1262010 1632510 )
+    NEW met2 ( 1262010 1607700 ) ( 1262010 1632510 )
+    NEW met2 ( 1261090 1607700 ) ( 1262010 1607700 )
+    NEW met2 ( 1258790 1632510 ) ( 1258790 1690140 0 )
+    NEW met1 ( 1261090 1591710 ) M1M2_PR
+    NEW met1 ( 813970 1591710 ) M1M2_PR
+    NEW met1 ( 1258790 1632510 ) M1M2_PR
+    NEW met1 ( 1262010 1632510 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[110] ( PIN la_data_out[110] ) ( mprj la_data_out[110] ) 
+  + ROUTED met1 ( 1442790 1542750 ) ( 2580830 1542750 )
+    NEW met2 ( 2580830 82800 ) ( 2585890 82800 )
+    NEW met2 ( 2585890 2380 0 ) ( 2585890 82800 )
+    NEW met2 ( 2580830 82800 ) ( 2580830 1542750 )
+    NEW met2 ( 1442560 1688780 ) ( 1442790 1688780 )
+    NEW met2 ( 1442560 1688780 ) ( 1442560 1690140 0 )
+    NEW met2 ( 1442790 1542750 ) ( 1442790 1688780 )
+    NEW met1 ( 1442790 1542750 ) M1M2_PR
+    NEW met1 ( 2580830 1542750 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[111] ( PIN la_data_out[111] ) ( mprj la_data_out[111] ) 
+  + ROUTED met2 ( 2601530 82800 ) ( 2603830 82800 )
+    NEW met2 ( 2603830 2380 0 ) ( 2603830 82800 )
+    NEW met2 ( 2601530 82800 ) ( 2601530 1535610 )
+    NEW met1 ( 1446010 1535610 ) ( 2601530 1535610 )
+    NEW met1 ( 1444630 1631490 ) ( 1446010 1631490 )
+    NEW met2 ( 1446010 1535610 ) ( 1446010 1631490 )
+    NEW met2 ( 1444630 1631490 ) ( 1444630 1690140 0 )
+    NEW met1 ( 1446010 1535610 ) M1M2_PR
+    NEW met1 ( 2601530 1535610 ) M1M2_PR
+    NEW met1 ( 1444630 1631490 ) M1M2_PR
+    NEW met1 ( 1446010 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] ) 
+  + ROUTED met2 ( 2621310 2380 0 ) ( 2621310 12750 )
+    NEW met1 ( 2615330 12750 ) ( 2621310 12750 )
+    NEW met2 ( 2615330 12750 ) ( 2615330 1507390 )
+    NEW met1 ( 1446470 1507390 ) ( 2615330 1507390 )
+    NEW met2 ( 1446470 1507390 ) ( 1446470 1690140 0 )
+    NEW met1 ( 2621310 12750 ) M1M2_PR
+    NEW met1 ( 2615330 12750 ) M1M2_PR
+    NEW met1 ( 1446470 1507390 ) M1M2_PR
+    NEW met1 ( 2615330 1507390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] ) 
+  + ROUTED met2 ( 2636030 82800 ) ( 2639250 82800 )
+    NEW met2 ( 2639250 2380 0 ) ( 2639250 82800 )
+    NEW met2 ( 2636030 82800 ) ( 2636030 1369690 )
+    NEW met1 ( 1446930 1369690 ) ( 2636030 1369690 )
+    NEW met2 ( 1446930 1673140 ) ( 1447850 1673140 )
+    NEW met2 ( 1447850 1673140 ) ( 1447850 1690140 0 )
+    NEW met2 ( 1446930 1369690 ) ( 1446930 1673140 )
+    NEW met1 ( 1446930 1369690 ) M1M2_PR
+    NEW met1 ( 2636030 1369690 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] ) 
+  + ROUTED met2 ( 2656730 2380 0 ) ( 2656730 1617890 )
+    NEW met1 ( 1453370 1617890 ) ( 2656730 1617890 )
+    NEW met1 ( 1449690 1676710 ) ( 1453370 1676710 )
+    NEW met2 ( 1449690 1676710 ) ( 1449690 1690140 0 )
+    NEW met2 ( 1453370 1617890 ) ( 1453370 1676710 )
+    NEW met1 ( 2656730 1617890 ) M1M2_PR
+    NEW met1 ( 1453370 1617890 ) M1M2_PR
+    NEW met1 ( 1453370 1676710 ) M1M2_PR
+    NEW met1 ( 1449690 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] ) 
+  + ROUTED met2 ( 2670530 82800 ) ( 2674670 82800 )
+    NEW met2 ( 2674670 2380 0 ) ( 2674670 82800 )
+    NEW met1 ( 1507650 175950 ) ( 2670530 175950 )
+    NEW met2 ( 2670530 82800 ) ( 2670530 175950 )
+    NEW met2 ( 1451990 1679430 ) ( 1451990 1688780 )
+    NEW met2 ( 1451760 1688780 ) ( 1451990 1688780 )
+    NEW met2 ( 1451760 1688780 ) ( 1451760 1690140 0 )
+    NEW li1 ( 1463950 1676030 ) ( 1463950 1679430 )
+    NEW met1 ( 1463950 1676030 ) ( 1480510 1676030 )
+    NEW met1 ( 1480510 1676030 ) ( 1480510 1677050 )
+    NEW met1 ( 1480510 1677050 ) ( 1507650 1677050 )
+    NEW met1 ( 1451990 1679430 ) ( 1463950 1679430 )
+    NEW met2 ( 1507650 175950 ) ( 1507650 1677050 )
+    NEW met1 ( 1507650 175950 ) M1M2_PR
+    NEW met1 ( 2670530 175950 ) M1M2_PR
+    NEW met1 ( 1451990 1679430 ) M1M2_PR
+    NEW li1 ( 1463950 1679430 ) L1M1_PR_MR
+    NEW li1 ( 1463950 1676030 ) L1M1_PR_MR
+    NEW met1 ( 1507650 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] ) 
+  + ROUTED met2 ( 2691230 82800 ) ( 2692150 82800 )
+    NEW met2 ( 2692150 2380 0 ) ( 2692150 82800 )
+    NEW met2 ( 2691230 82800 ) ( 2691230 1583550 )
+    NEW met1 ( 1453830 1583550 ) ( 2691230 1583550 )
+    NEW met2 ( 1453600 1689460 ) ( 1453830 1689460 )
+    NEW met2 ( 1453600 1689460 ) ( 1453600 1690140 0 )
+    NEW met2 ( 1453830 1583550 ) ( 1453830 1689460 )
+    NEW met1 ( 2691230 1583550 ) M1M2_PR
+    NEW met1 ( 1453830 1583550 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] ) 
+  + ROUTED met2 ( 2710090 2380 0 ) ( 2710090 9860 )
+    NEW met2 ( 2708710 9860 ) ( 2710090 9860 )
+    NEW met2 ( 2705030 82800 ) ( 2708710 82800 )
+    NEW met2 ( 2708710 9860 ) ( 2708710 82800 )
+    NEW met2 ( 2705030 82800 ) ( 2705030 1500590 )
+    NEW met1 ( 1454290 1500590 ) ( 2705030 1500590 )
+    NEW met2 ( 1454290 1632340 ) ( 1455210 1632340 )
+    NEW met2 ( 1454290 1500590 ) ( 1454290 1632340 )
+    NEW met2 ( 1455210 1690140 ) ( 1455670 1690140 0 )
+    NEW met2 ( 1455210 1632340 ) ( 1455210 1690140 )
+    NEW met1 ( 1454290 1500590 ) M1M2_PR
+    NEW met1 ( 2705030 1500590 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] ) 
+  + ROUTED met2 ( 2725730 82800 ) ( 2727570 82800 )
+    NEW met2 ( 2727570 2380 0 ) ( 2727570 82800 )
+    NEW met2 ( 2725730 82800 ) ( 2725730 1528130 )
+    NEW met1 ( 1459810 1528130 ) ( 2725730 1528130 )
+    NEW met1 ( 1457510 1631490 ) ( 1459810 1631490 )
+    NEW met2 ( 1459810 1528130 ) ( 1459810 1631490 )
+    NEW met2 ( 1457510 1631490 ) ( 1457510 1690140 0 )
+    NEW met1 ( 1459810 1528130 ) M1M2_PR
+    NEW met1 ( 2725730 1528130 ) M1M2_PR
+    NEW met1 ( 1457510 1631490 ) M1M2_PR
+    NEW met1 ( 1459810 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] ) 
+  + ROUTED met2 ( 2739990 82800 ) ( 2745510 82800 )
+    NEW met2 ( 2745510 2380 0 ) ( 2745510 82800 )
+    NEW met2 ( 2739990 82800 ) ( 2739990 1576410 )
+    NEW met1 ( 1459350 1576410 ) ( 2739990 1576410 )
+    NEW met2 ( 1458890 1690140 0 ) ( 1459350 1690140 )
+    NEW met2 ( 1459350 1576410 ) ( 1459350 1690140 )
+    NEW met1 ( 2739990 1576410 ) M1M2_PR
+    NEW met1 ( 1459350 1576410 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] ) 
+  + ROUTED met2 ( 830530 2380 0 ) ( 830530 34500 )
+    NEW met2 ( 830530 34500 ) ( 834670 34500 )
+    NEW met2 ( 834670 34500 ) ( 834670 1619250 )
+    NEW met1 ( 1236250 1618910 ) ( 1236250 1619250 )
+    NEW met1 ( 1236250 1618910 ) ( 1256490 1618910 )
+    NEW met2 ( 1256490 1618910 ) ( 1256490 1632850 )
+    NEW met1 ( 1256490 1632850 ) ( 1260630 1632850 )
+    NEW met2 ( 1260630 1632850 ) ( 1260630 1690140 0 )
+    NEW met1 ( 834670 1619250 ) ( 1236250 1619250 )
+    NEW met1 ( 834670 1619250 ) M1M2_PR
+    NEW met1 ( 1256490 1618910 ) M1M2_PR
+    NEW met1 ( 1256490 1632850 ) M1M2_PR
+    NEW met1 ( 1260630 1632850 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] ) 
+  + ROUTED met1 ( 1461190 1355750 ) ( 2760230 1355750 )
+    NEW met2 ( 2760230 82800 ) ( 2763450 82800 )
+    NEW met2 ( 2763450 2380 0 ) ( 2763450 82800 )
+    NEW met2 ( 2760230 82800 ) ( 2760230 1355750 )
+    NEW met2 ( 1461190 1355750 ) ( 1461190 1656000 )
+    NEW met2 ( 1460730 1656000 ) ( 1461190 1656000 )
+    NEW met2 ( 1460730 1656000 ) ( 1460730 1690140 0 )
+    NEW met1 ( 1461190 1355750 ) M1M2_PR
+    NEW met1 ( 2760230 1355750 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] ) 
+  + ROUTED met1 ( 1460270 1486990 ) ( 2780930 1486990 )
+    NEW met2 ( 2780930 2380 0 ) ( 2780930 1486990 )
+    NEW met3 ( 1460270 1677900 ) ( 1460500 1677900 )
+    NEW met3 ( 1460500 1677900 ) ( 1460500 1678580 )
+    NEW met3 ( 1460500 1678580 ) ( 1461650 1678580 )
+    NEW met2 ( 1461650 1678580 ) ( 1461650 1689290 )
+    NEW met2 ( 1460270 1486990 ) ( 1460270 1677900 )
+    NEW met1 ( 1461650 1689290 ) ( 1462800 1689290 )
+    NEW met2 ( 1462800 1689290 ) ( 1462800 1690140 0 )
+    NEW met1 ( 1460270 1486990 ) M1M2_PR
+    NEW met1 ( 2780930 1486990 ) M1M2_PR
+    NEW met2 ( 1460270 1677900 ) via2_FR
+    NEW met2 ( 1461650 1678580 ) via2_FR
+    NEW met1 ( 1461650 1689290 ) M1M2_PR
+    NEW met1 ( 1462800 1689290 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] ) 
+  + ROUTED met2 ( 1638750 189550 ) ( 1638750 1681810 )
+    NEW met2 ( 2794730 82800 ) ( 2798870 82800 )
+    NEW met2 ( 2798870 2380 0 ) ( 2798870 82800 )
+    NEW met2 ( 2794730 82800 ) ( 2794730 189550 )
+    NEW met1 ( 1638750 189550 ) ( 2794730 189550 )
+    NEW li1 ( 1487870 1681810 ) ( 1487870 1682830 )
+    NEW met1 ( 1464410 1682830 ) ( 1487870 1682830 )
+    NEW met2 ( 1464410 1682830 ) ( 1464410 1688780 )
+    NEW met2 ( 1464410 1688780 ) ( 1464640 1688780 )
+    NEW met2 ( 1464640 1688780 ) ( 1464640 1690140 0 )
+    NEW met1 ( 1487870 1681810 ) ( 1638750 1681810 )
+    NEW met1 ( 1638750 189550 ) M1M2_PR
+    NEW met1 ( 2794730 189550 ) M1M2_PR
+    NEW met1 ( 1638750 1681810 ) M1M2_PR
+    NEW li1 ( 1487870 1681810 ) L1M1_PR_MR
+    NEW li1 ( 1487870 1682830 ) L1M1_PR_MR
+    NEW met1 ( 1464410 1682830 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] ) 
+  + ROUTED met2 ( 1466710 1652740 ) ( 1467170 1652740 )
+    NEW met2 ( 2816350 2380 0 ) ( 2816350 17510 )
+    NEW met1 ( 2804850 17510 ) ( 2816350 17510 )
+    NEW met2 ( 1467170 1569950 ) ( 1467170 1652740 )
+    NEW met2 ( 2804850 17510 ) ( 2804850 1569950 )
+    NEW met1 ( 1467170 1569950 ) ( 2804850 1569950 )
+    NEW met2 ( 1466710 1652740 ) ( 1466710 1690140 0 )
+    NEW met1 ( 1467170 1569950 ) M1M2_PR
+    NEW met1 ( 2816350 17510 ) M1M2_PR
+    NEW met1 ( 2804850 17510 ) M1M2_PR
+    NEW met1 ( 2804850 1569950 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] ) 
+  + ROUTED met2 ( 1467630 1652740 ) ( 1468090 1652740 )
+    NEW met2 ( 2834290 2380 0 ) ( 2834290 17340 )
+    NEW met2 ( 2831990 17340 ) ( 2834290 17340 )
+    NEW met2 ( 1467630 1514530 ) ( 1467630 1652740 )
+    NEW met2 ( 2829230 82800 ) ( 2831990 82800 )
+    NEW met2 ( 2831990 17340 ) ( 2831990 82800 )
+    NEW met2 ( 2829230 82800 ) ( 2829230 1514530 )
+    NEW met1 ( 1467630 1514530 ) ( 2829230 1514530 )
+    NEW met2 ( 1468090 1652740 ) ( 1468090 1690140 0 )
+    NEW met1 ( 1467630 1514530 ) M1M2_PR
+    NEW met1 ( 2829230 1514530 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] ) 
+  + ROUTED met1 ( 1470390 1652230 ) ( 1473150 1652230 )
+    NEW met2 ( 1473150 1473050 ) ( 1473150 1652230 )
+    NEW met2 ( 2851770 2380 0 ) ( 2851770 15130 )
+    NEW met1 ( 2846250 15130 ) ( 2851770 15130 )
+    NEW met1 ( 1473150 1473050 ) ( 2846250 1473050 )
+    NEW met2 ( 2846250 15130 ) ( 2846250 1473050 )
+    NEW met2 ( 1469930 1690140 0 ) ( 1470390 1690140 )
+    NEW met2 ( 1470390 1652230 ) ( 1470390 1690140 )
+    NEW met1 ( 1473150 1473050 ) M1M2_PR
+    NEW met1 ( 1470390 1652230 ) M1M2_PR
+    NEW met1 ( 1473150 1652230 ) M1M2_PR
+    NEW met1 ( 2851770 15130 ) M1M2_PR
+    NEW met1 ( 2846250 15130 ) M1M2_PR
+    NEW met1 ( 2846250 1473050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] ) 
+  + ROUTED met2 ( 1473150 1652740 ) ( 1473610 1652740 )
+    NEW met2 ( 1473610 1459110 ) ( 1473610 1652740 )
+    NEW met2 ( 2869710 2380 0 ) ( 2869710 17510 )
+    NEW met1 ( 2860050 17510 ) ( 2869710 17510 )
+    NEW met1 ( 1473610 1459110 ) ( 2860050 1459110 )
+    NEW met2 ( 2860050 17510 ) ( 2860050 1459110 )
+    NEW met1 ( 1471770 1676710 ) ( 1473150 1676710 )
+    NEW met2 ( 1471770 1676710 ) ( 1471770 1690140 0 )
+    NEW met2 ( 1473150 1652740 ) ( 1473150 1676710 )
+    NEW met1 ( 1473610 1459110 ) M1M2_PR
+    NEW met1 ( 2869710 17510 ) M1M2_PR
+    NEW met1 ( 2860050 17510 ) M1M2_PR
+    NEW met1 ( 2860050 1459110 ) M1M2_PR
+    NEW met1 ( 1473150 1676710 ) M1M2_PR
+    NEW met1 ( 1471770 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] ) 
+  + ROUTED met2 ( 2887190 2380 0 ) ( 2887190 20570 )
+    NEW met1 ( 2881210 20570 ) ( 2887190 20570 )
+    NEW met1 ( 1474530 1341810 ) ( 2881210 1341810 )
+    NEW met2 ( 2881210 20570 ) ( 2881210 1341810 )
+    NEW met1 ( 1473610 1676710 ) ( 1474530 1676710 )
+    NEW met2 ( 1473610 1676710 ) ( 1473610 1689290 )
+    NEW met2 ( 1473610 1689290 ) ( 1473840 1689290 )
+    NEW met2 ( 1473840 1689290 ) ( 1473840 1690140 0 )
+    NEW met2 ( 1474530 1341810 ) ( 1474530 1676710 )
+    NEW met1 ( 1474530 1341810 ) M1M2_PR
+    NEW met1 ( 2887190 20570 ) M1M2_PR
+    NEW met1 ( 2881210 20570 ) M1M2_PR
+    NEW met1 ( 2881210 1341810 ) M1M2_PR
+    NEW met1 ( 1474530 1676710 ) M1M2_PR
+    NEW met1 ( 1473610 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] ) 
+  + ROUTED met1 ( 848010 1577090 ) ( 1258330 1577090 )
+    NEW met2 ( 848010 2380 0 ) ( 848010 1577090 )
+    NEW met1 ( 1258330 1630130 ) ( 1262470 1630130 )
+    NEW met2 ( 1258330 1577090 ) ( 1258330 1630130 )
+    NEW met2 ( 1262470 1630130 ) ( 1262470 1690140 0 )
+    NEW met1 ( 1258330 1577090 ) M1M2_PR
+    NEW met1 ( 848010 1577090 ) M1M2_PR
+    NEW met1 ( 1258330 1630130 ) M1M2_PR
+    NEW met1 ( 1262470 1630130 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] ) 
+  + ROUTED met2 ( 865950 2380 0 ) ( 865950 32130 )
+    NEW met1 ( 1264310 1683850 ) ( 1265690 1683850 )
+    NEW met2 ( 1264310 1683850 ) ( 1264310 1690140 )
+    NEW met2 ( 1264310 1690140 ) ( 1264540 1690140 0 )
+    NEW met2 ( 1265690 32130 ) ( 1265690 1683850 )
+    NEW met1 ( 865950 32130 ) ( 1265690 32130 )
+    NEW met1 ( 865950 32130 ) M1M2_PR
+    NEW met1 ( 1265690 32130 ) M1M2_PR
+    NEW met1 ( 1265690 1683850 ) M1M2_PR
+    NEW met1 ( 1264310 1683850 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] ) 
+  + ROUTED met1 ( 1263850 1631150 ) ( 1266610 1631150 )
+    NEW met2 ( 1263850 33150 ) ( 1263850 1631150 )
+    NEW met2 ( 1266380 1688780 ) ( 1266610 1688780 )
+    NEW met2 ( 1266380 1688780 ) ( 1266380 1690140 0 )
+    NEW met2 ( 1266610 1631150 ) ( 1266610 1688780 )
+    NEW met2 ( 883430 2380 0 ) ( 883430 33150 )
+    NEW met1 ( 883430 33150 ) ( 1263850 33150 )
+    NEW met1 ( 1263850 33150 ) M1M2_PR
+    NEW met1 ( 1263850 1631150 ) M1M2_PR
+    NEW met1 ( 1266610 1631150 ) M1M2_PR
+    NEW met1 ( 883430 33150 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] ) 
+  + ROUTED met2 ( 1267990 33490 ) ( 1267990 1690140 0 )
+    NEW met2 ( 901370 2380 0 ) ( 901370 33490 )
+    NEW met1 ( 901370 33490 ) ( 1267990 33490 )
+    NEW met1 ( 1267990 33490 ) M1M2_PR
+    NEW met1 ( 901370 33490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] ) 
+  + ROUTED met1 ( 924370 1640670 ) ( 1274430 1640670 )
+    NEW met1 ( 918850 19890 ) ( 924370 19890 )
+    NEW met2 ( 918850 2380 0 ) ( 918850 19890 )
+    NEW met2 ( 924370 19890 ) ( 924370 1640670 )
+    NEW met1 ( 1269830 1676370 ) ( 1274430 1676370 )
+    NEW met2 ( 1269830 1676370 ) ( 1269830 1690140 0 )
+    NEW met2 ( 1274430 1640670 ) ( 1274430 1676370 )
+    NEW met1 ( 1274430 1640670 ) M1M2_PR
+    NEW met1 ( 924370 1640670 ) M1M2_PR
+    NEW met1 ( 918850 19890 ) M1M2_PR
+    NEW met1 ( 924370 19890 ) M1M2_PR
+    NEW met1 ( 1274430 1676370 ) M1M2_PR
+    NEW met1 ( 1269830 1676370 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] ) 
+  + ROUTED met2 ( 936790 2380 0 ) ( 936790 34500 )
+    NEW met2 ( 936790 34500 ) ( 938170 34500 )
+    NEW met2 ( 938170 34500 ) ( 938170 1612110 )
+    NEW met1 ( 938170 1612110 ) ( 1275810 1612110 )
+    NEW met1 ( 1271670 1677390 ) ( 1275810 1677390 )
+    NEW met2 ( 1271670 1677390 ) ( 1271670 1690140 0 )
+    NEW met2 ( 1275810 1612110 ) ( 1275810 1677390 )
+    NEW met1 ( 1275810 1612110 ) M1M2_PR
+    NEW met1 ( 938170 1612110 ) M1M2_PR
+    NEW met1 ( 1275810 1677390 ) M1M2_PR
+    NEW met1 ( 1271670 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] ) 
+  + ROUTED met2 ( 954270 2380 0 ) ( 954270 34500 )
+    NEW met2 ( 954270 34500 ) ( 958870 34500 )
+    NEW met2 ( 958870 34500 ) ( 958870 1660730 )
+    NEW met2 ( 1276270 1660730 ) ( 1276270 1688270 )
+    NEW met1 ( 1276270 1688270 ) ( 1276500 1688270 )
+    NEW met1 ( 1276500 1688270 ) ( 1276500 1689290 )
+    NEW met1 ( 1273510 1689290 ) ( 1276500 1689290 )
+    NEW met1 ( 1273510 1689290 ) ( 1273510 1689630 )
+    NEW met2 ( 1273510 1689630 ) ( 1273510 1690140 0 )
+    NEW met1 ( 958870 1660730 ) ( 1276270 1660730 )
+    NEW met1 ( 958870 1660730 ) M1M2_PR
+    NEW met1 ( 1276270 1660730 ) M1M2_PR
+    NEW met1 ( 1276270 1688270 ) M1M2_PR
+    NEW met1 ( 1273510 1689630 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] ) 
+  + ROUTED met2 ( 972210 2380 0 ) ( 972210 1605650 )
+    NEW met1 ( 972210 1605650 ) ( 1275350 1605650 )
+    NEW met2 ( 1275350 1690140 ) ( 1275580 1690140 0 )
+    NEW met2 ( 1275350 1605650 ) ( 1275350 1690140 )
+    NEW met1 ( 972210 1605650 ) M1M2_PR
+    NEW met1 ( 1275350 1605650 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] ) 
+  + ROUTED met2 ( 652970 2380 0 ) ( 652970 31790 )
+    NEW met1 ( 1242690 1632510 ) ( 1245910 1632510 )
+    NEW met2 ( 1245910 31790 ) ( 1245910 1632510 )
+    NEW met2 ( 1242460 1688780 ) ( 1242690 1688780 )
+    NEW met2 ( 1242460 1688780 ) ( 1242460 1690140 0 )
+    NEW met2 ( 1242690 1632510 ) ( 1242690 1688780 )
+    NEW met1 ( 652970 31790 ) ( 1245910 31790 )
+    NEW met1 ( 1245910 31790 ) M1M2_PR
+    NEW met1 ( 652970 31790 ) M1M2_PR
+    NEW met1 ( 1242690 1632510 ) M1M2_PR
+    NEW met1 ( 1245910 1632510 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] ) 
+  + ROUTED met2 ( 1276270 1633530 ) ( 1276270 1653250 )
+    NEW met1 ( 1273050 1653250 ) ( 1276270 1653250 )
+    NEW met2 ( 989690 2380 0 ) ( 989690 34500 )
+    NEW met2 ( 989690 34500 ) ( 993370 34500 )
+    NEW met2 ( 993370 34500 ) ( 993370 1633530 )
+    NEW met1 ( 993370 1633530 ) ( 1173000 1633530 )
+    NEW met1 ( 1173000 1633530 ) ( 1173000 1634210 )
+    NEW met1 ( 1173000 1634210 ) ( 1195310 1634210 )
+    NEW met1 ( 1195310 1633530 ) ( 1195310 1634210 )
+    NEW met1 ( 1195310 1633530 ) ( 1276270 1633530 )
+    NEW met1 ( 1273050 1676710 ) ( 1277190 1676710 )
+    NEW met2 ( 1277190 1676710 ) ( 1277190 1689460 )
+    NEW met2 ( 1277190 1689460 ) ( 1277420 1689460 )
+    NEW met2 ( 1277420 1689460 ) ( 1277420 1690140 0 )
+    NEW met2 ( 1273050 1653250 ) ( 1273050 1676710 )
+    NEW met1 ( 993370 1633530 ) M1M2_PR
+    NEW met1 ( 1276270 1633530 ) M1M2_PR
+    NEW met1 ( 1276270 1653250 ) M1M2_PR
+    NEW met1 ( 1273050 1653250 ) M1M2_PR
+    NEW met1 ( 1273050 1676710 ) M1M2_PR
+    NEW met1 ( 1277190 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] ) 
+  + ROUTED met1 ( 1279030 1653250 ) ( 1282250 1653250 )
+    NEW met2 ( 1007630 2380 0 ) ( 1007630 21250 )
+    NEW met1 ( 1007630 21250 ) ( 1014070 21250 )
+    NEW met2 ( 1014070 21250 ) ( 1014070 1598170 )
+    NEW met1 ( 1014070 1598170 ) ( 1282250 1598170 )
+    NEW met2 ( 1282250 1598170 ) ( 1282250 1653250 )
+    NEW met2 ( 1279030 1653250 ) ( 1279030 1690140 0 )
+    NEW met1 ( 1279030 1653250 ) M1M2_PR
+    NEW met1 ( 1282250 1653250 ) M1M2_PR
+    NEW met1 ( 1007630 21250 ) M1M2_PR
+    NEW met1 ( 1014070 21250 ) M1M2_PR
+    NEW met1 ( 1014070 1598170 ) M1M2_PR
+    NEW met1 ( 1282250 1598170 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] ) 
+  + ROUTED met1 ( 1277190 1636930 ) ( 1280870 1636930 )
+    NEW met2 ( 1277190 1626050 ) ( 1277190 1636930 )
+    NEW met2 ( 1025570 2380 0 ) ( 1025570 18190 )
+    NEW met1 ( 1025570 18190 ) ( 1027870 18190 )
+    NEW met2 ( 1027870 18190 ) ( 1027870 1626050 )
+    NEW met1 ( 1027870 1626050 ) ( 1277190 1626050 )
+    NEW met2 ( 1280870 1636930 ) ( 1280870 1690140 0 )
+    NEW met1 ( 1277190 1636930 ) M1M2_PR
+    NEW met1 ( 1280870 1636930 ) M1M2_PR
+    NEW met1 ( 1277190 1626050 ) M1M2_PR
+    NEW met1 ( 1025570 18190 ) M1M2_PR
+    NEW met1 ( 1027870 18190 ) M1M2_PR
+    NEW met1 ( 1027870 1626050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] ) 
+  + ROUTED met1 ( 1278110 1652570 ) ( 1282710 1652570 )
+    NEW met2 ( 1043050 2380 0 ) ( 1043050 18190 )
+    NEW met1 ( 1043050 18190 ) ( 1048570 18190 )
+    NEW met2 ( 1048570 18190 ) ( 1048570 1563490 )
+    NEW met1 ( 1048570 1563490 ) ( 1278110 1563490 )
+    NEW met2 ( 1278110 1563490 ) ( 1278110 1652570 )
+    NEW met2 ( 1282710 1652570 ) ( 1282710 1690140 0 )
+    NEW met1 ( 1278110 1652570 ) M1M2_PR
+    NEW met1 ( 1282710 1652570 ) M1M2_PR
+    NEW met1 ( 1043050 18190 ) M1M2_PR
+    NEW met1 ( 1048570 18190 ) M1M2_PR
+    NEW met1 ( 1048570 1563490 ) M1M2_PR
+    NEW met1 ( 1278110 1563490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] ) 
+  + ROUTED met2 ( 1060990 2380 0 ) ( 1060990 34500 )
+    NEW met2 ( 1060990 34500 ) ( 1062370 34500 )
+    NEW met2 ( 1062370 34500 ) ( 1062370 1647470 )
+    NEW met1 ( 1062370 1647470 ) ( 1173000 1647470 )
+    NEW met1 ( 1173000 1647470 ) ( 1173000 1647810 )
+    NEW met1 ( 1173000 1647810 ) ( 1284550 1647810 )
+    NEW met2 ( 1284550 1647810 ) ( 1284550 1690140 0 )
+    NEW met1 ( 1062370 1647470 ) M1M2_PR
+    NEW met1 ( 1284550 1647810 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] ) 
+  + ROUTED met2 ( 1078470 2380 0 ) ( 1078470 34500 )
+    NEW met2 ( 1078470 34500 ) ( 1083070 34500 )
+    NEW met2 ( 1083070 34500 ) ( 1083070 1668550 )
+    NEW met2 ( 1285470 1668550 ) ( 1285470 1688270 )
+    NEW met1 ( 1285470 1688270 ) ( 1285470 1689290 )
+    NEW met1 ( 1285470 1689290 ) ( 1286390 1689290 )
+    NEW met2 ( 1286390 1689290 ) ( 1286390 1690140 )
+    NEW met2 ( 1286390 1690140 ) ( 1286620 1690140 0 )
+    NEW met1 ( 1083070 1668550 ) ( 1285470 1668550 )
+    NEW met1 ( 1083070 1668550 ) M1M2_PR
+    NEW met1 ( 1285470 1668550 ) M1M2_PR
+    NEW met1 ( 1285470 1688270 ) M1M2_PR
+    NEW met1 ( 1286390 1689290 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] ) 
+  + ROUTED met2 ( 1096410 2380 0 ) ( 1096410 1619590 )
+    NEW met1 ( 1096410 1619590 ) ( 1285930 1619590 )
+    NEW met1 ( 1285930 1688950 ) ( 1288000 1688950 )
+    NEW met2 ( 1288000 1688950 ) ( 1288000 1690140 0 )
+    NEW met2 ( 1285930 1619590 ) ( 1285930 1688950 )
+    NEW met1 ( 1096410 1619590 ) M1M2_PR
+    NEW met1 ( 1285930 1619590 ) M1M2_PR
+    NEW met1 ( 1285930 1688950 ) M1M2_PR
+    NEW met1 ( 1288000 1688950 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] ) 
+  + ROUTED met2 ( 1113890 2380 0 ) ( 1113890 34500 )
+    NEW met2 ( 1113890 34500 ) ( 1117570 34500 )
+    NEW met2 ( 1117570 34500 ) ( 1117570 1592050 )
+    NEW met1 ( 1117570 1592050 ) ( 1290070 1592050 )
+    NEW met2 ( 1290070 1592050 ) ( 1290070 1690140 0 )
+    NEW met1 ( 1117570 1592050 ) M1M2_PR
+    NEW met1 ( 1290070 1592050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] ) 
+  + ROUTED met2 ( 1131830 2380 0 ) ( 1131830 20910 )
+    NEW met1 ( 1131830 20910 ) ( 1138270 20910 )
+    NEW met2 ( 1138270 20910 ) ( 1138270 1641010 )
+    NEW met1 ( 1138270 1641010 ) ( 1291910 1641010 )
+    NEW met2 ( 1291910 1641010 ) ( 1291910 1690140 0 )
+    NEW met1 ( 1131830 20910 ) M1M2_PR
+    NEW met1 ( 1138270 20910 ) M1M2_PR
+    NEW met1 ( 1138270 1641010 ) M1M2_PR
+    NEW met1 ( 1291910 1641010 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] ) 
+  + ROUTED met2 ( 1149310 2380 0 ) ( 1149310 17510 )
+    NEW met1 ( 1149310 17510 ) ( 1152070 17510 )
+    NEW met2 ( 1152070 17510 ) ( 1152070 1661410 )
+    NEW met2 ( 1293750 1661410 ) ( 1293750 1690140 0 )
+    NEW met1 ( 1152070 1661410 ) ( 1293750 1661410 )
+    NEW met1 ( 1149310 17510 ) M1M2_PR
+    NEW met1 ( 1152070 17510 ) M1M2_PR
+    NEW met1 ( 1152070 1661410 ) M1M2_PR
+    NEW met1 ( 1293750 1661410 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] ) 
+  + ROUTED met2 ( 670910 2380 0 ) ( 670910 18190 )
+    NEW met1 ( 670910 18190 ) ( 675970 18190 )
+    NEW met2 ( 675970 18190 ) ( 675970 1673990 )
+    NEW met2 ( 1244300 1689460 ) ( 1244300 1690140 0 )
+    NEW met2 ( 1244070 1689460 ) ( 1244300 1689460 )
+    NEW met2 ( 1244070 1673990 ) ( 1244070 1689460 )
+    NEW met1 ( 675970 1673990 ) ( 1244070 1673990 )
+    NEW met1 ( 670910 18190 ) M1M2_PR
+    NEW met1 ( 675970 18190 ) M1M2_PR
+    NEW met1 ( 675970 1673990 ) M1M2_PR
+    NEW met1 ( 1244070 1673990 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] ) 
+  + ROUTED met2 ( 1167250 2380 0 ) ( 1167250 36890 )
+    NEW met1 ( 1293290 1651550 ) ( 1295590 1651550 )
+    NEW met1 ( 1167250 36890 ) ( 1293290 36890 )
+    NEW met2 ( 1293290 36890 ) ( 1293290 1651550 )
+    NEW met2 ( 1295590 1651550 ) ( 1295590 1690140 0 )
+    NEW met1 ( 1167250 36890 ) M1M2_PR
+    NEW met1 ( 1293290 1651550 ) M1M2_PR
+    NEW met1 ( 1295590 1651550 ) M1M2_PR
+    NEW met1 ( 1293290 36890 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] ) 
+  + ROUTED met2 ( 1185190 2380 0 ) ( 1185190 36550 )
+    NEW met1 ( 1297890 1652570 ) ( 1299270 1652570 )
+    NEW met1 ( 1185190 36550 ) ( 1299270 36550 )
+    NEW met2 ( 1299270 36550 ) ( 1299270 1652570 )
+    NEW met2 ( 1297660 1688780 ) ( 1297890 1688780 )
+    NEW met2 ( 1297660 1688780 ) ( 1297660 1690140 0 )
+    NEW met2 ( 1297890 1652570 ) ( 1297890 1688780 )
+    NEW met1 ( 1185190 36550 ) M1M2_PR
+    NEW met1 ( 1297890 1652570 ) M1M2_PR
+    NEW met1 ( 1299270 1652570 ) M1M2_PR
+    NEW met1 ( 1299270 36550 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] ) 
+  + ROUTED met2 ( 1202670 2380 0 ) ( 1202670 36210 )
+    NEW met1 ( 1202670 36210 ) ( 1298810 36210 )
+    NEW met2 ( 1298810 36210 ) ( 1298810 1656000 )
+    NEW met2 ( 1298810 1656000 ) ( 1299270 1656000 )
+    NEW met2 ( 1299270 1656000 ) ( 1299270 1690140 )
+    NEW met2 ( 1299040 1690140 0 ) ( 1299270 1690140 )
+    NEW met1 ( 1202670 36210 ) M1M2_PR
+    NEW met1 ( 1298810 36210 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] ) 
+  + ROUTED met2 ( 1220610 2380 0 ) ( 1220610 35870 )
+    NEW met1 ( 1220610 35870 ) ( 1298350 35870 )
+    NEW met1 ( 1298350 1673310 ) ( 1301110 1673310 )
+    NEW met2 ( 1301110 1673310 ) ( 1301110 1690140 0 )
+    NEW met2 ( 1298350 35870 ) ( 1298350 1673310 )
+    NEW met1 ( 1220610 35870 ) M1M2_PR
+    NEW met1 ( 1298350 35870 ) M1M2_PR
+    NEW met1 ( 1298350 1673310 ) M1M2_PR
+    NEW met1 ( 1301110 1673310 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] ) 
+  + ROUTED met2 ( 1238090 2380 0 ) ( 1238090 17170 )
+    NEW met1 ( 1238090 17170 ) ( 1290300 17170 )
+    NEW met1 ( 1290300 16830 ) ( 1290300 17170 )
+    NEW met1 ( 1290300 16830 ) ( 1302030 16830 )
+    NEW met2 ( 1302030 16830 ) ( 1302030 1559400 )
+    NEW met2 ( 1302030 1559400 ) ( 1302950 1559400 )
+    NEW met2 ( 1302950 1559400 ) ( 1302950 1690140 0 )
+    NEW met1 ( 1238090 17170 ) M1M2_PR
+    NEW met1 ( 1302030 16830 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] ) 
+  + ROUTED met2 ( 1256030 2380 0 ) ( 1256030 18190 )
+    NEW met1 ( 1256030 18190 ) ( 1308470 18190 )
+    NEW met1 ( 1304790 1651890 ) ( 1308470 1651890 )
+    NEW met2 ( 1308470 18190 ) ( 1308470 1651890 )
+    NEW met2 ( 1304790 1651890 ) ( 1304790 1690140 0 )
+    NEW met1 ( 1256030 18190 ) M1M2_PR
+    NEW met1 ( 1308470 18190 ) M1M2_PR
+    NEW met1 ( 1304790 1651890 ) M1M2_PR
+    NEW met1 ( 1308470 1651890 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] ) 
+  + ROUTED met2 ( 1273510 2380 0 ) ( 1273510 18530 )
+    NEW met1 ( 1273510 18530 ) ( 1305710 18530 )
+    NEW met2 ( 1305710 1668380 ) ( 1306170 1668380 )
+    NEW met2 ( 1306170 1668380 ) ( 1306170 1690140 )
+    NEW met2 ( 1306170 1690140 ) ( 1306630 1690140 0 )
+    NEW met2 ( 1305710 18530 ) ( 1305710 1668380 )
+    NEW met1 ( 1273510 18530 ) M1M2_PR
+    NEW met1 ( 1305710 18530 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] ) 
+  + ROUTED met2 ( 1291450 2380 0 ) ( 1291450 17170 )
+    NEW met1 ( 1291450 17170 ) ( 1306630 17170 )
+    NEW met1 ( 1306630 1666850 ) ( 1308470 1666850 )
+    NEW met2 ( 1308470 1666850 ) ( 1308470 1690140 )
+    NEW met2 ( 1308470 1690140 ) ( 1308700 1690140 0 )
+    NEW met2 ( 1306630 17170 ) ( 1306630 1666850 )
+    NEW met1 ( 1291450 17170 ) M1M2_PR
+    NEW met1 ( 1306630 17170 ) M1M2_PR
+    NEW met1 ( 1306630 1666850 ) M1M2_PR
+    NEW met1 ( 1308470 1666850 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] ) 
+  + ROUTED met2 ( 1308930 2380 0 ) ( 1308930 17340 )
+    NEW met2 ( 1308010 17340 ) ( 1308930 17340 )
+    NEW met2 ( 1307550 1652570 ) ( 1309390 1652570 )
+    NEW met2 ( 1307550 82800 ) ( 1308010 82800 )
+    NEW met2 ( 1308010 17340 ) ( 1308010 82800 )
+    NEW met2 ( 1307550 82800 ) ( 1307550 1652570 )
+    NEW met2 ( 1309390 1688780 ) ( 1310080 1688780 )
+    NEW met2 ( 1310080 1688780 ) ( 1310080 1690140 0 )
+    NEW met2 ( 1309390 1652570 ) ( 1309390 1688780 )
++ USE SIGNAL ;
+- la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] ) 
+  + ROUTED met2 ( 1326870 2380 0 ) ( 1326870 17170 )
+    NEW met1 ( 1317670 17170 ) ( 1326870 17170 )
+    NEW met1 ( 1312150 1650530 ) ( 1317670 1650530 )
+    NEW met2 ( 1317670 17170 ) ( 1317670 1650530 )
+    NEW met2 ( 1312150 1650530 ) ( 1312150 1690140 0 )
+    NEW met1 ( 1326870 17170 ) M1M2_PR
+    NEW met1 ( 1317670 17170 ) M1M2_PR
+    NEW met1 ( 1312150 1650530 ) M1M2_PR
+    NEW met1 ( 1317670 1650530 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] ) 
+  + ROUTED met2 ( 688390 2380 0 ) ( 688390 38930 )
+    NEW met2 ( 1245910 1690140 0 ) ( 1246370 1690140 )
+    NEW met2 ( 1246370 38930 ) ( 1246370 1690140 )
+    NEW met1 ( 688390 38930 ) ( 1246370 38930 )
+    NEW met1 ( 688390 38930 ) M1M2_PR
+    NEW met1 ( 1246370 38930 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] ) 
+  + ROUTED met2 ( 1344350 2380 0 ) ( 1344350 18190 )
+    NEW met1 ( 1338830 18190 ) ( 1344350 18190 )
+    NEW met2 ( 1338830 18190 ) ( 1338830 1679090 )
+    NEW met2 ( 1313990 1679090 ) ( 1313990 1690140 0 )
+    NEW met1 ( 1313990 1679090 ) ( 1338830 1679090 )
+    NEW met1 ( 1344350 18190 ) M1M2_PR
+    NEW met1 ( 1338830 18190 ) M1M2_PR
+    NEW met1 ( 1338830 1679090 ) M1M2_PR
+    NEW met1 ( 1313990 1679090 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] ) 
+  + ROUTED met2 ( 1362290 2380 0 ) ( 1362290 38590 )
+    NEW met1 ( 1331930 1651890 ) ( 1335150 1651890 )
+    NEW met1 ( 1335150 38590 ) ( 1362290 38590 )
+    NEW met2 ( 1335150 38590 ) ( 1335150 1651890 )
+    NEW met2 ( 1331930 1651890 ) ( 1331930 1677730 )
+    NEW met2 ( 1315830 1677730 ) ( 1315830 1690140 0 )
+    NEW met1 ( 1315830 1677730 ) ( 1331930 1677730 )
+    NEW met1 ( 1362290 38590 ) M1M2_PR
+    NEW met1 ( 1331930 1651890 ) M1M2_PR
+    NEW met1 ( 1335150 1651890 ) M1M2_PR
+    NEW met1 ( 1335150 38590 ) M1M2_PR
+    NEW met1 ( 1331930 1677730 ) M1M2_PR
+    NEW met1 ( 1315830 1677730 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] ) 
+  + ROUTED met1 ( 1315830 1651550 ) ( 1315830 1653250 )
+    NEW met1 ( 1315830 1653250 ) ( 1317210 1653250 )
+    NEW met2 ( 1315830 22610 ) ( 1315830 1651550 )
+    NEW met2 ( 1317210 1690140 ) ( 1317670 1690140 0 )
+    NEW met2 ( 1317210 1653250 ) ( 1317210 1690140 )
+    NEW met1 ( 1315830 22610 ) ( 1380230 22610 )
+    NEW met2 ( 1380230 2380 0 ) ( 1380230 22610 )
+    NEW met1 ( 1315830 22610 ) M1M2_PR
+    NEW met1 ( 1315830 1651550 ) M1M2_PR
+    NEW met1 ( 1317210 1653250 ) M1M2_PR
+    NEW met1 ( 1380230 22610 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] ) 
+  + ROUTED met1 ( 1319970 1651550 ) ( 1324110 1651550 )
+    NEW met2 ( 1324110 23630 ) ( 1324110 1651550 )
+    NEW met2 ( 1319740 1688780 ) ( 1319970 1688780 )
+    NEW met2 ( 1319740 1688780 ) ( 1319740 1690140 0 )
+    NEW met2 ( 1319970 1651550 ) ( 1319970 1688780 )
+    NEW met1 ( 1324110 23630 ) ( 1397710 23630 )
+    NEW met2 ( 1397710 2380 0 ) ( 1397710 23630 )
+    NEW met1 ( 1324110 23630 ) M1M2_PR
+    NEW met1 ( 1319970 1651550 ) M1M2_PR
+    NEW met1 ( 1324110 1651550 ) M1M2_PR
+    NEW met1 ( 1397710 23630 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] ) 
+  + ROUTED met1 ( 1321350 1677390 ) ( 1324570 1677390 )
+    NEW met2 ( 1321350 1677390 ) ( 1321350 1690140 )
+    NEW met2 ( 1321120 1690140 0 ) ( 1321350 1690140 )
+    NEW met2 ( 1324570 26350 ) ( 1324570 1677390 )
+    NEW met2 ( 1415650 2380 0 ) ( 1415650 26350 )
+    NEW met1 ( 1324570 26350 ) ( 1415650 26350 )
+    NEW met1 ( 1324570 26350 ) M1M2_PR
+    NEW met1 ( 1324570 1677390 ) M1M2_PR
+    NEW met1 ( 1321350 1677390 ) M1M2_PR
+    NEW met1 ( 1415650 26350 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] ) 
+  + ROUTED met1 ( 1323190 1653250 ) ( 1324110 1653250 )
+    NEW met2 ( 1324110 1652060 ) ( 1324110 1653250 )
+    NEW met2 ( 1323650 1652060 ) ( 1324110 1652060 )
+    NEW met2 ( 1323650 25670 ) ( 1323650 1652060 )
+    NEW met2 ( 1323190 1653250 ) ( 1323190 1690140 0 )
+    NEW met2 ( 1433130 2380 0 ) ( 1433130 25670 )
+    NEW met1 ( 1323650 25670 ) ( 1433130 25670 )
+    NEW met1 ( 1323650 25670 ) M1M2_PR
+    NEW met1 ( 1323190 1653250 ) M1M2_PR
+    NEW met1 ( 1324110 1653250 ) M1M2_PR
+    NEW met1 ( 1433130 25670 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] ) 
+  + ROUTED met2 ( 1451070 2380 0 ) ( 1451070 7140 )
+    NEW met2 ( 1451070 7140 ) ( 1452450 7140 )
+    NEW met2 ( 1325030 1681130 ) ( 1325030 1690140 0 )
+    NEW met1 ( 1424850 41650 ) ( 1452450 41650 )
+    NEW met2 ( 1452450 7140 ) ( 1452450 41650 )
+    NEW li1 ( 1417490 1679090 ) ( 1417490 1679770 )
+    NEW met1 ( 1417490 1679770 ) ( 1424850 1679770 )
+    NEW li1 ( 1424850 1676030 ) ( 1424850 1679770 )
+    NEW met2 ( 1424850 41650 ) ( 1424850 1676030 )
+    NEW li1 ( 1390810 1679090 ) ( 1390810 1681130 )
+    NEW met1 ( 1325030 1681130 ) ( 1390810 1681130 )
+    NEW met1 ( 1390810 1679090 ) ( 1417490 1679090 )
+    NEW met1 ( 1325030 1681130 ) M1M2_PR
+    NEW met1 ( 1424850 41650 ) M1M2_PR
+    NEW met1 ( 1452450 41650 ) M1M2_PR
+    NEW li1 ( 1417490 1679090 ) L1M1_PR_MR
+    NEW li1 ( 1417490 1679770 ) L1M1_PR_MR
+    NEW li1 ( 1424850 1679770 ) L1M1_PR_MR
+    NEW li1 ( 1424850 1676030 ) L1M1_PR_MR
+    NEW met1 ( 1424850 1676030 ) M1M2_PR
+    NEW li1 ( 1390810 1681130 ) L1M1_PR_MR
+    NEW li1 ( 1390810 1679090 ) L1M1_PR_MR
+    NEW met1 ( 1424850 1676030 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] ) 
+  + ROUTED met2 ( 1468550 2380 0 ) ( 1468550 24990 )
+    NEW met1 ( 1326870 1676710 ) ( 1329170 1676710 )
+    NEW met2 ( 1326870 1676710 ) ( 1326870 1690140 0 )
+    NEW met2 ( 1329170 24990 ) ( 1329170 1676710 )
+    NEW met1 ( 1329170 24990 ) ( 1468550 24990 )
+    NEW met1 ( 1468550 24990 ) M1M2_PR
+    NEW met1 ( 1329170 24990 ) M1M2_PR
+    NEW met1 ( 1329170 1676710 ) M1M2_PR
+    NEW met1 ( 1326870 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] ) 
+  + ROUTED met2 ( 1483730 82800 ) ( 1486490 82800 )
+    NEW met2 ( 1486490 2380 0 ) ( 1486490 82800 )
+    NEW met2 ( 1327790 1666850 ) ( 1327790 1679260 )
+    NEW met2 ( 1327790 1679260 ) ( 1328250 1679260 )
+    NEW met2 ( 1328250 1679260 ) ( 1328250 1690140 )
+    NEW met2 ( 1328250 1690140 ) ( 1328710 1690140 0 )
+    NEW met1 ( 1327790 1666850 ) ( 1483730 1666850 )
+    NEW met2 ( 1483730 82800 ) ( 1483730 1666850 )
+    NEW met1 ( 1327790 1666850 ) M1M2_PR
+    NEW met1 ( 1483730 1666850 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[49] ( PIN la_data_out[49] ) ( mprj la_data_out[49] ) 
+  + ROUTED met2 ( 1329630 1652740 ) ( 1330090 1652740 )
+    NEW met2 ( 1503970 2380 0 ) ( 1503970 20910 )
+    NEW met1 ( 1497990 20910 ) ( 1503970 20910 )
+    NEW met2 ( 1329630 1626050 ) ( 1329630 1652740 )
+    NEW met2 ( 1497990 20910 ) ( 1497990 1626050 )
+    NEW met2 ( 1330090 1688780 ) ( 1330320 1688780 )
+    NEW met2 ( 1330320 1688780 ) ( 1330320 1690140 0 )
+    NEW met2 ( 1330090 1652740 ) ( 1330090 1688780 )
+    NEW met1 ( 1329630 1626050 ) ( 1497990 1626050 )
+    NEW met1 ( 1503970 20910 ) M1M2_PR
+    NEW met1 ( 1497990 20910 ) M1M2_PR
+    NEW met1 ( 1329630 1626050 ) M1M2_PR
+    NEW met1 ( 1497990 1626050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[4] ( PIN la_data_out[4] ) ( mprj la_data_out[4] ) 
+  + ROUTED met2 ( 706330 2380 0 ) ( 706330 39270 )
+    NEW met1 ( 1243610 1620270 ) ( 1247750 1620270 )
+    NEW met2 ( 1243610 39270 ) ( 1243610 1620270 )
+    NEW met2 ( 1247750 1620270 ) ( 1247750 1690140 0 )
+    NEW met1 ( 706330 39270 ) ( 1243610 39270 )
+    NEW met1 ( 706330 39270 ) M1M2_PR
+    NEW met1 ( 1243610 39270 ) M1M2_PR
+    NEW met1 ( 1243610 1620270 ) M1M2_PR
+    NEW met1 ( 1247750 1620270 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[50] ( PIN la_data_out[50] ) ( mprj la_data_out[50] ) 
+  + ROUTED met2 ( 1483730 53210 ) ( 1483730 53380 )
+    NEW met3 ( 1483730 53380 ) ( 1521910 53380 )
+    NEW met2 ( 1521910 2380 0 ) ( 1521910 53380 )
+    NEW met1 ( 1332390 1677730 ) ( 1337450 1677730 )
+    NEW met2 ( 1332390 1677730 ) ( 1332390 1690140 )
+    NEW met2 ( 1332160 1690140 0 ) ( 1332390 1690140 )
+    NEW met2 ( 1337450 53210 ) ( 1337450 1677730 )
+    NEW met1 ( 1337450 53210 ) ( 1483730 53210 )
+    NEW met1 ( 1337450 53210 ) M1M2_PR
+    NEW met1 ( 1483730 53210 ) M1M2_PR
+    NEW met2 ( 1483730 53380 ) via2_FR
+    NEW met2 ( 1521910 53380 ) via2_FR
+    NEW met1 ( 1337450 1677730 ) M1M2_PR
+    NEW met1 ( 1332390 1677730 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[51] ( PIN la_data_out[51] ) ( mprj la_data_out[51] ) 
+  + ROUTED met2 ( 1539850 2380 0 ) ( 1539850 12580 )
+    NEW met2 ( 1539850 12580 ) ( 1542610 12580 )
+    NEW met2 ( 1542610 12580 ) ( 1542610 55590 )
+    NEW met2 ( 1334230 1652570 ) ( 1334690 1652570 )
+    NEW met2 ( 1334690 52190 ) ( 1334690 1652570 )
+    NEW li1 ( 1483730 52190 ) ( 1483730 55590 )
+    NEW met1 ( 1483730 55590 ) ( 1542610 55590 )
+    NEW met2 ( 1334230 1652570 ) ( 1334230 1690140 0 )
+    NEW met1 ( 1334690 52190 ) ( 1483730 52190 )
+    NEW met1 ( 1542610 55590 ) M1M2_PR
+    NEW met1 ( 1334690 52190 ) M1M2_PR
+    NEW li1 ( 1483730 52190 ) L1M1_PR_MR
+    NEW li1 ( 1483730 55590 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[52] ( PIN la_data_out[52] ) ( mprj la_data_out[52] ) 
+  + ROUTED met2 ( 1557330 2380 0 ) ( 1557330 48450 )
+    NEW met2 ( 1333770 1628400 ) ( 1334230 1628400 )
+    NEW met2 ( 1334230 48450 ) ( 1334230 1628400 )
+    NEW met1 ( 1333770 1676710 ) ( 1336070 1676710 )
+    NEW met2 ( 1336070 1676710 ) ( 1336070 1690140 0 )
+    NEW met2 ( 1333770 1628400 ) ( 1333770 1676710 )
+    NEW met1 ( 1334230 48450 ) ( 1557330 48450 )
+    NEW met1 ( 1557330 48450 ) M1M2_PR
+    NEW met1 ( 1334230 48450 ) M1M2_PR
+    NEW met1 ( 1333770 1676710 ) M1M2_PR
+    NEW met1 ( 1336070 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[53] ( PIN la_data_out[53] ) ( mprj la_data_out[53] ) 
+  + ROUTED met2 ( 1575270 2380 0 ) ( 1575270 49470 )
+    NEW met1 ( 1333310 1677390 ) ( 1337910 1677390 )
+    NEW met2 ( 1337910 1677390 ) ( 1337910 1690140 0 )
+    NEW met2 ( 1333310 49470 ) ( 1333310 1677390 )
+    NEW met1 ( 1333310 49470 ) ( 1575270 49470 )
+    NEW met1 ( 1575270 49470 ) M1M2_PR
+    NEW met1 ( 1333310 49470 ) M1M2_PR
+    NEW met1 ( 1333310 1677390 ) M1M2_PR
+    NEW met1 ( 1337910 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[54] ( PIN la_data_out[54] ) ( mprj la_data_out[54] ) 
+  + ROUTED met1 ( 1341130 54910 ) ( 1355850 54910 )
+    NEW met1 ( 1355850 54910 ) ( 1355850 55250 )
+    NEW met2 ( 1592750 2380 0 ) ( 1592750 49810 )
+    NEW met1 ( 1339750 1676710 ) ( 1341130 1676710 )
+    NEW met2 ( 1339750 1676710 ) ( 1339750 1690140 0 )
+    NEW met2 ( 1341130 54910 ) ( 1341130 1676710 )
+    NEW met2 ( 1413350 52700 ) ( 1413350 55250 )
+    NEW met2 ( 1413350 52700 ) ( 1413810 52700 )
+    NEW met2 ( 1413810 49810 ) ( 1413810 52700 )
+    NEW met1 ( 1355850 55250 ) ( 1413350 55250 )
+    NEW met1 ( 1413810 49810 ) ( 1592750 49810 )
+    NEW met1 ( 1341130 54910 ) M1M2_PR
+    NEW met1 ( 1592750 49810 ) M1M2_PR
+    NEW met1 ( 1341130 1676710 ) M1M2_PR
+    NEW met1 ( 1339750 1676710 ) M1M2_PR
+    NEW met1 ( 1413350 55250 ) M1M2_PR
+    NEW met1 ( 1413810 49810 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[55] ( PIN la_data_out[55] ) ( mprj la_data_out[55] ) 
+  + ROUTED met2 ( 1343890 1652740 ) ( 1344350 1652740 )
+    NEW met2 ( 1343890 49810 ) ( 1343890 1652740 )
+    NEW met2 ( 1610690 2380 0 ) ( 1610690 50150 )
+    NEW met1 ( 1341130 1677390 ) ( 1344350 1677390 )
+    NEW met2 ( 1341130 1677390 ) ( 1341130 1688780 )
+    NEW met2 ( 1341130 1688780 ) ( 1341360 1688780 )
+    NEW met2 ( 1341360 1688780 ) ( 1341360 1690140 0 )
+    NEW met2 ( 1344350 1652740 ) ( 1344350 1677390 )
+    NEW met1 ( 1390350 49810 ) ( 1390350 50150 )
+    NEW met1 ( 1343890 49810 ) ( 1390350 49810 )
+    NEW met1 ( 1390350 50150 ) ( 1610690 50150 )
+    NEW met1 ( 1343890 49810 ) M1M2_PR
+    NEW met1 ( 1610690 50150 ) M1M2_PR
+    NEW met1 ( 1344350 1677390 ) M1M2_PR
+    NEW met1 ( 1341130 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[56] ( PIN la_data_out[56] ) ( mprj la_data_out[56] ) 
+  + ROUTED met1 ( 1342510 52530 ) ( 1364130 52530 )
+    NEW met2 ( 1364130 52020 ) ( 1364130 52530 )
+    NEW met2 ( 1342510 52530 ) ( 1342510 1580100 )
+    NEW met2 ( 1342510 1580100 ) ( 1342970 1580100 )
+    NEW met2 ( 1628170 2380 0 ) ( 1628170 21250 )
+    NEW met1 ( 1621730 21250 ) ( 1628170 21250 )
+    NEW met2 ( 1621730 21250 ) ( 1621730 50490 )
+    NEW met2 ( 1342970 1688780 ) ( 1343200 1688780 )
+    NEW met2 ( 1343200 1688780 ) ( 1343200 1690140 0 )
+    NEW met2 ( 1342970 1580100 ) ( 1342970 1688780 )
+    NEW met2 ( 1366890 52020 ) ( 1366890 52530 )
+    NEW met1 ( 1366890 52530 ) ( 1391270 52530 )
+    NEW li1 ( 1391270 50490 ) ( 1391270 52530 )
+    NEW met3 ( 1364130 52020 ) ( 1366890 52020 )
+    NEW met1 ( 1391270 50490 ) ( 1621730 50490 )
+    NEW met1 ( 1342510 52530 ) M1M2_PR
+    NEW met1 ( 1364130 52530 ) M1M2_PR
+    NEW met2 ( 1364130 52020 ) via2_FR
+    NEW met1 ( 1628170 21250 ) M1M2_PR
+    NEW met1 ( 1621730 21250 ) M1M2_PR
+    NEW met1 ( 1621730 50490 ) M1M2_PR
+    NEW met2 ( 1366890 52020 ) via2_FR
+    NEW met1 ( 1366890 52530 ) M1M2_PR
+    NEW li1 ( 1391270 52530 ) L1M1_PR_MR
+    NEW li1 ( 1391270 50490 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[57] ( PIN la_data_out[57] ) ( mprj la_data_out[57] ) 
+  + ROUTED met2 ( 1646110 2380 0 ) ( 1646110 50830 )
+    NEW met1 ( 1343430 1677050 ) ( 1345270 1677050 )
+    NEW met2 ( 1345270 1677050 ) ( 1345270 1690140 0 )
+    NEW met2 ( 1343430 50150 ) ( 1343430 1677050 )
+    NEW met1 ( 1389890 50150 ) ( 1389890 50490 )
+    NEW met1 ( 1389890 50490 ) ( 1390810 50490 )
+    NEW met1 ( 1390810 50490 ) ( 1390810 50830 )
+    NEW met1 ( 1343430 50150 ) ( 1389890 50150 )
+    NEW met1 ( 1390810 50830 ) ( 1646110 50830 )
+    NEW met1 ( 1343430 50150 ) M1M2_PR
+    NEW met1 ( 1646110 50830 ) M1M2_PR
+    NEW met1 ( 1343430 1677050 ) M1M2_PR
+    NEW met1 ( 1345270 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[58] ( PIN la_data_out[58] ) ( mprj la_data_out[58] ) 
+  + ROUTED met2 ( 1663590 2380 0 ) ( 1663590 51170 )
+    NEW met1 ( 1346190 1672290 ) ( 1350330 1672290 )
+    NEW met2 ( 1346190 1672290 ) ( 1346190 1678750 )
+    NEW met1 ( 1346190 1678750 ) ( 1347110 1678750 )
+    NEW met2 ( 1347110 1678750 ) ( 1347110 1690140 0 )
+    NEW met2 ( 1350330 50830 ) ( 1350330 1672290 )
+    NEW met1 ( 1390350 50830 ) ( 1390350 51170 )
+    NEW met1 ( 1350330 50830 ) ( 1390350 50830 )
+    NEW met1 ( 1390350 51170 ) ( 1663590 51170 )
+    NEW met1 ( 1350330 50830 ) M1M2_PR
+    NEW met1 ( 1663590 51170 ) M1M2_PR
+    NEW met1 ( 1350330 1672290 ) M1M2_PR
+    NEW met1 ( 1346190 1672290 ) M1M2_PR
+    NEW met1 ( 1346190 1678750 ) M1M2_PR
+    NEW met1 ( 1347110 1678750 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[59] ( PIN la_data_out[59] ) ( mprj la_data_out[59] ) 
+  + ROUTED met1 ( 1348950 1652570 ) ( 1349870 1652570 )
+    NEW met2 ( 1349870 53550 ) ( 1349870 1652570 )
+    NEW met2 ( 1681530 2380 0 ) ( 1681530 54910 )
+    NEW met2 ( 1348950 1652570 ) ( 1348950 1690140 0 )
+    NEW li1 ( 1413810 53550 ) ( 1414270 53550 )
+    NEW li1 ( 1414270 53550 ) ( 1414270 54910 )
+    NEW met1 ( 1349870 53550 ) ( 1413810 53550 )
+    NEW met1 ( 1414270 54910 ) ( 1681530 54910 )
+    NEW met1 ( 1348950 1652570 ) M1M2_PR
+    NEW met1 ( 1349870 1652570 ) M1M2_PR
+    NEW met1 ( 1349870 53550 ) M1M2_PR
+    NEW met1 ( 1681530 54910 ) M1M2_PR
+    NEW li1 ( 1413810 53550 ) L1M1_PR_MR
+    NEW li1 ( 1414270 54910 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[5] ( PIN la_data_out[5] ) ( mprj la_data_out[5] ) 
+  + ROUTED met2 ( 723810 2380 0 ) ( 723810 39610 )
+    NEW met1 ( 1249590 1630470 ) ( 1252810 1630470 )
+    NEW met2 ( 1252810 39610 ) ( 1252810 1630470 )
+    NEW met2 ( 1249590 1630470 ) ( 1249590 1690140 0 )
+    NEW met1 ( 723810 39610 ) ( 1252810 39610 )
+    NEW met1 ( 1252810 39610 ) M1M2_PR
+    NEW met1 ( 723810 39610 ) M1M2_PR
+    NEW met1 ( 1249590 1630470 ) M1M2_PR
+    NEW met1 ( 1252810 1630470 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[60] ( PIN la_data_out[60] ) ( mprj la_data_out[60] ) 
+  + ROUTED met2 ( 1699470 2380 0 ) ( 1699470 53890 )
+    NEW met2 ( 1349410 1673140 ) ( 1350330 1673140 )
+    NEW met2 ( 1350330 1673140 ) ( 1350330 1690140 )
+    NEW met2 ( 1350330 1690140 ) ( 1350790 1690140 0 )
+    NEW met2 ( 1349410 51170 ) ( 1349410 1673140 )
+    NEW li1 ( 1389890 51170 ) ( 1389890 54230 )
+    NEW li1 ( 1389890 54230 ) ( 1392190 54230 )
+    NEW li1 ( 1392190 53890 ) ( 1392190 54230 )
+    NEW met1 ( 1349410 51170 ) ( 1389890 51170 )
+    NEW met1 ( 1392190 53890 ) ( 1699470 53890 )
+    NEW met1 ( 1349410 51170 ) M1M2_PR
+    NEW met1 ( 1699470 53890 ) M1M2_PR
+    NEW li1 ( 1389890 51170 ) L1M1_PR_MR
+    NEW li1 ( 1392190 53890 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[61] ( PIN la_data_out[61] ) ( mprj la_data_out[61] ) 
+  + ROUTED met1 ( 1348950 1651890 ) ( 1350790 1651890 )
+    NEW met2 ( 1348950 50490 ) ( 1348950 1651890 )
+    NEW met2 ( 1716950 2380 0 ) ( 1716950 53550 )
+    NEW met1 ( 1350790 1676710 ) ( 1352170 1676710 )
+    NEW met2 ( 1352170 1676710 ) ( 1352170 1688100 )
+    NEW met2 ( 1352170 1688100 ) ( 1352400 1688100 )
+    NEW met2 ( 1352400 1688100 ) ( 1352400 1690140 0 )
+    NEW met2 ( 1350790 1651890 ) ( 1350790 1676710 )
+    NEW li1 ( 1366430 48110 ) ( 1366430 50490 )
+    NEW met1 ( 1366430 48110 ) ( 1414270 48110 )
+    NEW met2 ( 1414270 48110 ) ( 1414270 53550 )
+    NEW met1 ( 1348950 50490 ) ( 1366430 50490 )
+    NEW met1 ( 1414270 53550 ) ( 1716950 53550 )
+    NEW met1 ( 1348950 1651890 ) M1M2_PR
+    NEW met1 ( 1350790 1651890 ) M1M2_PR
+    NEW met1 ( 1348950 50490 ) M1M2_PR
+    NEW met1 ( 1716950 53550 ) M1M2_PR
+    NEW met1 ( 1350790 1676710 ) M1M2_PR
+    NEW met1 ( 1352170 1676710 ) M1M2_PR
+    NEW li1 ( 1366430 50490 ) L1M1_PR_MR
+    NEW li1 ( 1366430 48110 ) L1M1_PR_MR
+    NEW met1 ( 1414270 48110 ) M1M2_PR
+    NEW met1 ( 1414270 53550 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[62] ( PIN la_data_out[62] ) ( mprj la_data_out[62] ) 
+  + ROUTED met1 ( 1354470 1651550 ) ( 1354470 1653250 )
+    NEW met1 ( 1354470 1651550 ) ( 1356770 1651550 )
+    NEW met2 ( 1356770 52870 ) ( 1356770 1651550 )
+    NEW li1 ( 1482350 52870 ) ( 1482350 55250 )
+    NEW met2 ( 1734890 2380 0 ) ( 1734890 53210 )
+    NEW li1 ( 1484190 53210 ) ( 1484190 55250 )
+    NEW met1 ( 1482350 55250 ) ( 1484190 55250 )
+    NEW met1 ( 1484190 53210 ) ( 1734890 53210 )
+    NEW met2 ( 1354240 1690140 0 ) ( 1354470 1690140 )
+    NEW met2 ( 1354470 1653250 ) ( 1354470 1690140 )
+    NEW met1 ( 1356770 52870 ) ( 1482350 52870 )
+    NEW met1 ( 1354470 1653250 ) M1M2_PR
+    NEW met1 ( 1356770 1651550 ) M1M2_PR
+    NEW met1 ( 1356770 52870 ) M1M2_PR
+    NEW li1 ( 1482350 52870 ) L1M1_PR_MR
+    NEW li1 ( 1482350 55250 ) L1M1_PR_MR
+    NEW met1 ( 1734890 53210 ) M1M2_PR
+    NEW li1 ( 1484190 55250 ) L1M1_PR_MR
+    NEW li1 ( 1484190 53210 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[63] ( PIN la_data_out[63] ) ( mprj la_data_out[63] ) 
+  + ROUTED met2 ( 1355850 1652740 ) ( 1356310 1652740 )
+    NEW met2 ( 1752370 2380 0 ) ( 1752370 21250 )
+    NEW met1 ( 1745930 21250 ) ( 1752370 21250 )
+    NEW met2 ( 1355850 53890 ) ( 1355850 1652740 )
+    NEW met1 ( 1482810 52530 ) ( 1482810 52870 )
+    NEW met2 ( 1745930 21250 ) ( 1745930 52870 )
+    NEW met1 ( 1482810 52870 ) ( 1745930 52870 )
+    NEW met2 ( 1356310 1652740 ) ( 1356310 1690140 0 )
+    NEW li1 ( 1391730 52530 ) ( 1391730 53890 )
+    NEW met1 ( 1355850 53890 ) ( 1391730 53890 )
+    NEW met1 ( 1391730 52530 ) ( 1482810 52530 )
+    NEW met1 ( 1752370 21250 ) M1M2_PR
+    NEW met1 ( 1745930 21250 ) M1M2_PR
+    NEW met1 ( 1355850 53890 ) M1M2_PR
+    NEW met1 ( 1745930 52870 ) M1M2_PR
+    NEW li1 ( 1391730 53890 ) L1M1_PR_MR
+    NEW li1 ( 1391730 52530 ) L1M1_PR_MR
++ USE SIGNAL ;
+- la_data_out[64] ( PIN la_data_out[64] ) ( mprj la_data_out[64] ) 
+  + ROUTED met2 ( 1356310 1652060 ) ( 1356770 1652060 )
+    NEW met2 ( 1356770 1652060 ) ( 1356770 1653250 )
+    NEW met1 ( 1356770 1653250 ) ( 1358150 1653250 )
+    NEW met2 ( 1356310 54910 ) ( 1356310 1652060 )
+    NEW met2 ( 1483270 52530 ) ( 1483270 52700 )
+    NEW met2 ( 1770310 2380 0 ) ( 1770310 52530 )
+    NEW met1 ( 1483270 52530 ) ( 1770310 52530 )
+    NEW met2 ( 1358150 1653250 ) ( 1358150 1690140 0 )
+    NEW met2 ( 1414730 52700 ) ( 1414730 55250 )
+    NEW met3 ( 1414730 52700 ) ( 1483270 52700 )
+    NEW met1 ( 1413810 54910 ) ( 1413810 55250 )
+    NEW met1 ( 1356310 54910 ) ( 1413810 54910 )
+    NEW met1 ( 1413810 55250 ) ( 1414730 55250 )
+    NEW met1 ( 1356770 1653250 ) M1M2_PR
+    NEW met1 ( 1358150 1653250 ) M1M2_PR
+    NEW met1 ( 1356310 54910 ) M1M2_PR
+    NEW met2 ( 1483270 52700 ) via2_FR
+    NEW met1 ( 1483270 52530 ) M1M2_PR
+    NEW met1 ( 1770310 52530 ) M1M2_PR
+    NEW met1 ( 1414730 55250 ) M1M2_PR
+    NEW met2 ( 1414730 52700 ) via2_FR
++ USE SIGNAL ;
+- la_data_out[65] ( PIN la_data_out[65] ) ( mprj la_data_out[65] ) 
+  + ROUTED li1 ( 1363210 1652230 ) ( 1363210 1654270 )
+    NEW met1 ( 1363210 1652230 ) ( 1364590 1652230 )
+    NEW met2 ( 1364590 52530 ) ( 1364590 1652230 )
+    NEW met2 ( 1484190 52020 ) ( 1484190 52190 )
+    NEW met1 ( 1484190 52190 ) ( 1787790 52190 )
+    NEW met2 ( 1787790 2380 0 ) ( 1787790 52190 )
+    NEW met1 ( 1359990 1679090 ) ( 1363210 1679090 )
+    NEW met2 ( 1359990 1679090 ) ( 1359990 1690140 0 )
+    NEW met2 ( 1363210 1654270 ) ( 1363210 1679090 )
+    NEW met2 ( 1415650 52020 ) ( 1415650 55930 )
+    NEW met3 ( 1415650 52020 ) ( 1484190 52020 )
+    NEW li1 ( 1366430 52530 ) ( 1366890 52530 )
+    NEW li1 ( 1366890 50490 ) ( 1366890 52530 )
+    NEW met1 ( 1366890 50490 ) ( 1389430 50490 )
+    NEW li1 ( 1389430 49810 ) ( 1389430 50490 )
+    NEW li1 ( 1389430 49810 ) ( 1390810 49810 )
+    NEW met1 ( 1390810 49810 ) ( 1413350 49810 )
+    NEW li1 ( 1413350 49810 ) ( 1413350 55930 )
+    NEW met1 ( 1364590 52530 ) ( 1366430 52530 )
+    NEW met1 ( 1413350 55930 ) ( 1415650 55930 )
+    NEW li1 ( 1363210 1654270 ) L1M1_PR_MR
+    NEW met1 ( 1363210 1654270 ) M1M2_PR
+    NEW li1 ( 1363210 1652230 ) L1M1_PR_MR
+    NEW met1 ( 1364590 1652230 ) M1M2_PR
+    NEW met1 ( 1364590 52530 ) M1M2_PR
+    NEW met2 ( 1484190 52020 ) via2_FR
+    NEW met1 ( 1484190 52190 ) M1M2_PR
+    NEW met1 ( 1787790 52190 ) M1M2_PR
+    NEW met1 ( 1363210 1679090 ) M1M2_PR
+    NEW met1 ( 1359990 1679090 ) M1M2_PR
+    NEW met1 ( 1415650 55930 ) M1M2_PR
+    NEW met2 ( 1415650 52020 ) via2_FR
+    NEW li1 ( 1366430 52530 ) L1M1_PR_MR
+    NEW li1 ( 1366890 50490 ) L1M1_PR_MR
+    NEW li1 ( 1389430 50490 ) L1M1_PR_MR
+    NEW li1 ( 1390810 49810 ) L1M1_PR_MR
+    NEW li1 ( 1413350 49810 ) L1M1_PR_MR
+    NEW li1 ( 1413350 55930 ) L1M1_PR_MR
+    NEW met1 ( 1363210 1654270 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_out[66] ( PIN la_data_out[66] ) ( mprj la_data_out[66] ) 
+  + ROUTED met2 ( 1801130 82800 ) ( 1805730 82800 )
+    NEW met2 ( 1805730 2380 0 ) ( 1805730 82800 )
+    NEW met2 ( 1801130 82800 ) ( 1801130 1633530 )
+    NEW met2 ( 1361830 1680110 ) ( 1361830 1690140 0 )
+    NEW met1 ( 1369650 1633530 ) ( 1801130 1633530 )
+    NEW met2 ( 1367810 1676030 ) ( 1367810 1680110 )
+    NEW met1 ( 1367810 1676030 ) ( 1369650 1676030 )
+    NEW met1 ( 1361830 1680110 ) ( 1367810 1680110 )
+    NEW met2 ( 1369650 1633530 ) ( 1369650 1676030 )
+    NEW met1 ( 1801130 1633530 ) M1M2_PR
+    NEW met1 ( 1361830 1680110 ) M1M2_PR
+    NEW met1 ( 1369650 1633530 ) M1M2_PR
+    NEW met1 ( 1367810 1680110 ) M1M2_PR
+    NEW met1 ( 1367810 1676030 ) M1M2_PR
+    NEW met1 ( 1369650 1676030 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[67] ( PIN la_data_out[67] ) ( mprj la_data_out[67] ) 
+  + ROUTED met2 ( 1821830 82800 ) ( 1823210 82800 )
+    NEW met2 ( 1823210 2380 0 ) ( 1823210 82800 )
+    NEW met2 ( 1821830 82800 ) ( 1821830 1612110 )
+    NEW met1 ( 1368730 1612110 ) ( 1821830 1612110 )
+    NEW met2 ( 1363440 1688950 ) ( 1363440 1690140 0 )
+    NEW met1 ( 1363440 1688950 ) ( 1368730 1688950 )
+    NEW li1 ( 1368730 1671270 ) ( 1368730 1688950 )
+    NEW met2 ( 1368730 1612110 ) ( 1368730 1671270 )
+    NEW met1 ( 1821830 1612110 ) M1M2_PR
+    NEW met1 ( 1368730 1612110 ) M1M2_PR
+    NEW met1 ( 1363440 1688950 ) M1M2_PR
+    NEW li1 ( 1368730 1688950 ) L1M1_PR_MR
+    NEW li1 ( 1368730 1671270 ) L1M1_PR_MR
+    NEW met1 ( 1368730 1671270 ) M1M2_PR
+    NEW met1 ( 1368730 1671270 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_out[68] ( PIN la_data_out[68] ) ( mprj la_data_out[68] ) 
+  + ROUTED met2 ( 1835630 82800 ) ( 1841150 82800 )
+    NEW met2 ( 1841150 2380 0 ) ( 1841150 82800 )
+    NEW met2 ( 1835630 82800 ) ( 1835630 1625370 )
+    NEW met2 ( 1365280 1688780 ) ( 1365510 1688780 )
+    NEW met2 ( 1365280 1688780 ) ( 1365280 1690140 0 )
+    NEW met2 ( 1365510 1625370 ) ( 1365510 1688780 )
+    NEW met1 ( 1365510 1625370 ) ( 1835630 1625370 )
+    NEW met1 ( 1365510 1625370 ) M1M2_PR
+    NEW met1 ( 1835630 1625370 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[69] ( PIN la_data_out[69] ) ( mprj la_data_out[69] ) 
+  + ROUTED met2 ( 1856330 82800 ) ( 1858630 82800 )
+    NEW met2 ( 1858630 2380 0 ) ( 1858630 82800 )
+    NEW met2 ( 1856330 82800 ) ( 1856330 1549890 )
+    NEW met1 ( 1370110 1549890 ) ( 1856330 1549890 )
+    NEW met2 ( 1370110 1673140 ) ( 1370570 1673140 )
+    NEW met2 ( 1370570 1673140 ) ( 1370570 1677390 )
+    NEW met1 ( 1367350 1677390 ) ( 1370570 1677390 )
+    NEW met2 ( 1367350 1677390 ) ( 1367350 1690140 0 )
+    NEW met2 ( 1370110 1549890 ) ( 1370110 1673140 )
+    NEW met1 ( 1370110 1549890 ) M1M2_PR
+    NEW met1 ( 1856330 1549890 ) M1M2_PR
+    NEW met1 ( 1370570 1677390 ) M1M2_PR
+    NEW met1 ( 1367350 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[6] ( PIN la_data_out[6] ) ( mprj la_data_out[6] ) 
+  + ROUTED met2 ( 741750 2380 0 ) ( 741750 39950 )
+    NEW li1 ( 1251430 1630130 ) ( 1251430 1632510 )
+    NEW met1 ( 1251430 1630130 ) ( 1252350 1630130 )
+    NEW met2 ( 1252350 39950 ) ( 1252350 1630130 )
+    NEW met2 ( 1251430 1632510 ) ( 1251430 1690140 0 )
+    NEW met1 ( 741750 39950 ) ( 1252350 39950 )
+    NEW met1 ( 1252350 39950 ) M1M2_PR
+    NEW met1 ( 741750 39950 ) M1M2_PR
+    NEW li1 ( 1251430 1632510 ) L1M1_PR_MR
+    NEW met1 ( 1251430 1632510 ) M1M2_PR
+    NEW li1 ( 1251430 1630130 ) L1M1_PR_MR
+    NEW met1 ( 1252350 1630130 ) M1M2_PR
+    NEW met1 ( 1251430 1632510 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_data_out[70] ( PIN la_data_out[70] ) ( mprj la_data_out[70] ) 
+  + ROUTED met2 ( 1876570 2380 0 ) ( 1876570 21250 )
+    NEW met1 ( 1870590 21250 ) ( 1876570 21250 )
+    NEW met2 ( 1870590 21250 ) ( 1870590 1563490 )
+    NEW met1 ( 1369650 1563490 ) ( 1870590 1563490 )
+    NEW met2 ( 1369650 1563490 ) ( 1369650 1607700 )
+    NEW met2 ( 1369190 1607700 ) ( 1369650 1607700 )
+    NEW met2 ( 1369190 1607700 ) ( 1369190 1690140 0 )
+    NEW met1 ( 1369650 1563490 ) M1M2_PR
+    NEW met1 ( 1876570 21250 ) M1M2_PR
+    NEW met1 ( 1870590 21250 ) M1M2_PR
+    NEW met1 ( 1870590 1563490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[71] ( PIN la_data_out[71] ) ( mprj la_data_out[71] ) 
+  + ROUTED met1 ( 1371030 1480530 ) ( 1890830 1480530 )
+    NEW met2 ( 1890830 82800 ) ( 1894510 82800 )
+    NEW met2 ( 1894510 2380 0 ) ( 1894510 82800 )
+    NEW met2 ( 1890830 82800 ) ( 1890830 1480530 )
+    NEW met2 ( 1371030 1480530 ) ( 1371030 1690140 0 )
+    NEW met1 ( 1371030 1480530 ) M1M2_PR
+    NEW met1 ( 1890830 1480530 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[72] ( PIN la_data_out[72] ) ( mprj la_data_out[72] ) 
+  + ROUTED met2 ( 1371950 1328550 ) ( 1371950 1580100 )
+    NEW met2 ( 1371950 1580100 ) ( 1372410 1580100 )
+    NEW met1 ( 1371950 1328550 ) ( 1911990 1328550 )
+    NEW met2 ( 1911990 2380 0 ) ( 1911990 1328550 )
+    NEW met1 ( 1372410 1683510 ) ( 1372410 1684530 )
+    NEW met2 ( 1372410 1684530 ) ( 1372410 1690140 0 )
+    NEW met2 ( 1372410 1580100 ) ( 1372410 1683510 )
+    NEW met1 ( 1371950 1328550 ) M1M2_PR
+    NEW met1 ( 1911990 1328550 ) M1M2_PR
+    NEW met1 ( 1372410 1683510 ) M1M2_PR
+    NEW met1 ( 1372410 1684530 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[73] ( PIN la_data_out[73] ) ( mprj la_data_out[73] ) 
+  + ROUTED met2 ( 1925330 82800 ) ( 1929930 82800 )
+    NEW met2 ( 1929930 2380 0 ) ( 1929930 82800 )
+    NEW met2 ( 1925330 82800 ) ( 1925330 1674330 )
+    NEW met2 ( 1374480 1689460 ) ( 1374480 1690140 0 )
+    NEW met2 ( 1374480 1689460 ) ( 1374710 1689460 )
+    NEW met2 ( 1374710 1674330 ) ( 1374710 1689460 )
+    NEW met1 ( 1374710 1674330 ) ( 1925330 1674330 )
+    NEW met1 ( 1925330 1674330 ) M1M2_PR
+    NEW met1 ( 1374710 1674330 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[74] ( PIN la_data_out[74] ) ( mprj la_data_out[74] ) 
+  + ROUTED met2 ( 1946030 82800 ) ( 1947410 82800 )
+    NEW met2 ( 1947410 2380 0 ) ( 1947410 82800 )
+    NEW met2 ( 1946030 82800 ) ( 1946030 1556690 )
+    NEW met1 ( 1377470 1556690 ) ( 1946030 1556690 )
+    NEW met1 ( 1376090 1676710 ) ( 1377470 1676710 )
+    NEW met2 ( 1376090 1676710 ) ( 1376090 1688780 )
+    NEW met2 ( 1376090 1688780 ) ( 1376320 1688780 )
+    NEW met2 ( 1376320 1688780 ) ( 1376320 1690140 0 )
+    NEW met2 ( 1377470 1556690 ) ( 1377470 1676710 )
+    NEW met1 ( 1377470 1556690 ) M1M2_PR
+    NEW met1 ( 1946030 1556690 ) M1M2_PR
+    NEW met1 ( 1377470 1676710 ) M1M2_PR
+    NEW met1 ( 1376090 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] ) 
+  + ROUTED met2 ( 1965350 2380 0 ) ( 1965350 60690 )
+    NEW met1 ( 1377010 60690 ) ( 1965350 60690 )
+    NEW met2 ( 1377010 1677220 ) ( 1378390 1677220 )
+    NEW met2 ( 1378390 1677220 ) ( 1378390 1690140 0 )
+    NEW met2 ( 1377010 60690 ) ( 1377010 1677220 )
+    NEW met1 ( 1965350 60690 ) M1M2_PR
+    NEW met1 ( 1377010 60690 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] ) 
+  + ROUTED met2 ( 1982830 2380 0 ) ( 1982830 60350 )
+    NEW met1 ( 1384370 60350 ) ( 1982830 60350 )
+    NEW met1 ( 1380230 1679090 ) ( 1384370 1679090 )
+    NEW met2 ( 1380230 1679090 ) ( 1380230 1690140 0 )
+    NEW met2 ( 1384370 60350 ) ( 1384370 1679090 )
+    NEW met1 ( 1982830 60350 ) M1M2_PR
+    NEW met1 ( 1384370 60350 ) M1M2_PR
+    NEW met1 ( 1384370 1679090 ) M1M2_PR
+    NEW met1 ( 1380230 1679090 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] ) 
+  + ROUTED met2 ( 2000770 2380 0 ) ( 2000770 21250 )
+    NEW met1 ( 1994790 21250 ) ( 2000770 21250 )
+    NEW met2 ( 1994790 21250 ) ( 1994790 59330 )
+    NEW met1 ( 1384830 59330 ) ( 1994790 59330 )
+    NEW met1 ( 1382070 1677050 ) ( 1384830 1677050 )
+    NEW met2 ( 1382070 1677050 ) ( 1382070 1690140 0 )
+    NEW met2 ( 1384830 59330 ) ( 1384830 1677050 )
+    NEW met1 ( 2000770 21250 ) M1M2_PR
+    NEW met1 ( 1994790 21250 ) M1M2_PR
+    NEW met1 ( 1994790 59330 ) M1M2_PR
+    NEW met1 ( 1384830 59330 ) M1M2_PR
+    NEW met1 ( 1384830 1677050 ) M1M2_PR
+    NEW met1 ( 1382070 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] ) 
+  + ROUTED met2 ( 2018250 2380 0 ) ( 2018250 58990 )
+    NEW met1 ( 1385290 58990 ) ( 2018250 58990 )
+    NEW met1 ( 1383450 1676710 ) ( 1385290 1676710 )
+    NEW met2 ( 1383450 1676710 ) ( 1383450 1690140 0 )
+    NEW met2 ( 1385290 58990 ) ( 1385290 1676710 )
+    NEW met1 ( 2018250 58990 ) M1M2_PR
+    NEW met1 ( 1385290 58990 ) M1M2_PR
+    NEW met1 ( 1385290 1676710 ) M1M2_PR
+    NEW met1 ( 1383450 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] ) 
+  + ROUTED met2 ( 2036190 2380 0 ) ( 2036190 58650 )
+    NEW met1 ( 1385750 58650 ) ( 2036190 58650 )
+    NEW met2 ( 1385520 1688780 ) ( 1385520 1690140 0 )
+    NEW met2 ( 1385520 1688780 ) ( 1385750 1688780 )
+    NEW met2 ( 1385750 58650 ) ( 1385750 1688780 )
+    NEW met1 ( 2036190 58650 ) M1M2_PR
+    NEW met1 ( 1385750 58650 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] ) 
+  + ROUTED met2 ( 759230 2380 0 ) ( 759230 40290 )
+    NEW met1 ( 1250510 1631150 ) ( 1253730 1631150 )
+    NEW met2 ( 1250510 40290 ) ( 1250510 1631150 )
+    NEW met2 ( 1253500 1688780 ) ( 1253730 1688780 )
+    NEW met2 ( 1253500 1688780 ) ( 1253500 1690140 0 )
+    NEW met2 ( 1253730 1631150 ) ( 1253730 1688780 )
+    NEW met1 ( 759230 40290 ) ( 1250510 40290 )
+    NEW met1 ( 759230 40290 ) M1M2_PR
+    NEW met1 ( 1250510 40290 ) M1M2_PR
+    NEW met1 ( 1250510 1631150 ) M1M2_PR
+    NEW met1 ( 1253730 1631150 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] ) 
+  + ROUTED met2 ( 2049530 82800 ) ( 2054130 82800 )
+    NEW met2 ( 2054130 2380 0 ) ( 2054130 82800 )
+    NEW met2 ( 2049530 82800 ) ( 2049530 1535950 )
+    NEW met1 ( 1390350 1535950 ) ( 2049530 1535950 )
+    NEW met1 ( 1387590 1631150 ) ( 1390350 1631150 )
+    NEW met2 ( 1390350 1535950 ) ( 1390350 1631150 )
+    NEW met2 ( 1387360 1688780 ) ( 1387590 1688780 )
+    NEW met2 ( 1387360 1688780 ) ( 1387360 1690140 0 )
+    NEW met2 ( 1387590 1631150 ) ( 1387590 1688780 )
+    NEW met1 ( 2049530 1535950 ) M1M2_PR
+    NEW met1 ( 1390350 1535950 ) M1M2_PR
+    NEW met1 ( 1387590 1631150 ) M1M2_PR
+    NEW met1 ( 1390350 1631150 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] ) 
+  + ROUTED met1 ( 1390810 1466590 ) ( 2070230 1466590 )
+    NEW met2 ( 2070230 82800 ) ( 2071610 82800 )
+    NEW met2 ( 2071610 2380 0 ) ( 2071610 82800 )
+    NEW met2 ( 2070230 82800 ) ( 2070230 1466590 )
+    NEW met1 ( 1389430 1676710 ) ( 1390810 1676710 )
+    NEW met2 ( 1389430 1676710 ) ( 1389430 1690140 0 )
+    NEW met2 ( 1390810 1466590 ) ( 1390810 1676710 )
+    NEW met1 ( 1390810 1466590 ) M1M2_PR
+    NEW met1 ( 2070230 1466590 ) M1M2_PR
+    NEW met1 ( 1390810 1676710 ) M1M2_PR
+    NEW met1 ( 1389430 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] ) 
+  + ROUTED met1 ( 1391270 1445850 ) ( 2084030 1445850 )
+    NEW met2 ( 2084030 82800 ) ( 2089550 82800 )
+    NEW met2 ( 2089550 2380 0 ) ( 2089550 82800 )
+    NEW met2 ( 2084030 82800 ) ( 2084030 1445850 )
+    NEW met2 ( 1391270 1445850 ) ( 1391270 1690140 0 )
+    NEW met1 ( 1391270 1445850 ) M1M2_PR
+    NEW met1 ( 2084030 1445850 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] ) 
+  + ROUTED met2 ( 2104730 82800 ) ( 2107030 82800 )
+    NEW met2 ( 2107030 2380 0 ) ( 2107030 82800 )
+    NEW met1 ( 1391730 1314610 ) ( 2104730 1314610 )
+    NEW met2 ( 2104730 82800 ) ( 2104730 1314610 )
+    NEW met1 ( 1391730 1630470 ) ( 1391730 1630810 )
+    NEW met1 ( 1391730 1630810 ) ( 1392650 1630810 )
+    NEW met1 ( 1392650 1630810 ) ( 1392650 1631490 )
+    NEW met2 ( 1391730 1314610 ) ( 1391730 1630470 )
+    NEW met2 ( 1392650 1690140 ) ( 1393110 1690140 0 )
+    NEW met2 ( 1392650 1631490 ) ( 1392650 1690140 )
+    NEW met1 ( 1391730 1314610 ) M1M2_PR
+    NEW met1 ( 2104730 1314610 ) M1M2_PR
+    NEW met1 ( 1391730 1630470 ) M1M2_PR
+    NEW met1 ( 1392650 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] ) 
+  + ROUTED met2 ( 2124970 2380 0 ) ( 2124970 15470 )
+    NEW met1 ( 2118530 15470 ) ( 2124970 15470 )
+    NEW met2 ( 2118530 15470 ) ( 2118530 1605310 )
+    NEW met1 ( 1397710 1605310 ) ( 2118530 1605310 )
+    NEW met2 ( 1397250 1631660 ) ( 1397710 1631660 )
+    NEW met2 ( 1397710 1605310 ) ( 1397710 1631660 )
+    NEW met2 ( 1396330 1672460 ) ( 1397250 1672460 )
+    NEW met2 ( 1396330 1672460 ) ( 1396330 1676710 )
+    NEW met1 ( 1394490 1676710 ) ( 1396330 1676710 )
+    NEW met2 ( 1394490 1676710 ) ( 1394490 1690140 0 )
+    NEW met2 ( 1397250 1631660 ) ( 1397250 1672460 )
+    NEW met1 ( 2124970 15470 ) M1M2_PR
+    NEW met1 ( 2118530 15470 ) M1M2_PR
+    NEW met1 ( 2118530 1605310 ) M1M2_PR
+    NEW met1 ( 1397710 1605310 ) M1M2_PR
+    NEW met1 ( 1396330 1676710 ) M1M2_PR
+    NEW met1 ( 1394490 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] ) 
+  + ROUTED met2 ( 2139230 82800 ) ( 2142450 82800 )
+    NEW met2 ( 2142450 2380 0 ) ( 2142450 82800 )
+    NEW met2 ( 2139230 82800 ) ( 2139230 1597830 )
+    NEW met1 ( 1398170 1597830 ) ( 2139230 1597830 )
+    NEW met2 ( 1396560 1689460 ) ( 1396560 1690140 0 )
+    NEW met2 ( 1396560 1689460 ) ( 1396790 1689460 )
+    NEW met2 ( 1396790 1673310 ) ( 1396790 1689460 )
+    NEW met1 ( 1396790 1673310 ) ( 1398170 1673310 )
+    NEW met2 ( 1398170 1597830 ) ( 1398170 1673310 )
+    NEW met1 ( 2139230 1597830 ) M1M2_PR
+    NEW met1 ( 1398170 1597830 ) M1M2_PR
+    NEW met1 ( 1396790 1673310 ) M1M2_PR
+    NEW met1 ( 1398170 1673310 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] ) 
+  + ROUTED met1 ( 1398630 1543090 ) ( 2160390 1543090 )
+    NEW met2 ( 2160390 2380 0 ) ( 2160390 1543090 )
+    NEW met2 ( 1398400 1688780 ) ( 1398630 1688780 )
+    NEW met2 ( 1398400 1688780 ) ( 1398400 1690140 0 )
+    NEW met2 ( 1398630 1543090 ) ( 1398630 1688780 )
+    NEW met1 ( 1398630 1543090 ) M1M2_PR
+    NEW met1 ( 2160390 1543090 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] ) 
+  + ROUTED met1 ( 1399090 1452990 ) ( 2173730 1452990 )
+    NEW met2 ( 2173730 82800 ) ( 2177870 82800 )
+    NEW met2 ( 2177870 2380 0 ) ( 2177870 82800 )
+    NEW met2 ( 2173730 82800 ) ( 2173730 1452990 )
+    NEW met1 ( 1399090 1676710 ) ( 1400470 1676710 )
+    NEW met2 ( 1400470 1676710 ) ( 1400470 1690140 0 )
+    NEW met2 ( 1399090 1452990 ) ( 1399090 1676710 )
+    NEW met1 ( 1399090 1452990 ) M1M2_PR
+    NEW met1 ( 2173730 1452990 ) M1M2_PR
+    NEW met1 ( 1399090 1676710 ) M1M2_PR
+    NEW met1 ( 1400470 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] ) 
+  + ROUTED met2 ( 2194430 82800 ) ( 2195810 82800 )
+    NEW met2 ( 2195810 2380 0 ) ( 2195810 82800 )
+    NEW met1 ( 1404150 1529150 ) ( 2194430 1529150 )
+    NEW met2 ( 2194430 82800 ) ( 2194430 1529150 )
+    NEW met2 ( 1403690 1631660 ) ( 1404150 1631660 )
+    NEW met2 ( 1404150 1529150 ) ( 1404150 1631660 )
+    NEW met2 ( 1402310 1673140 ) ( 1403690 1673140 )
+    NEW met2 ( 1402310 1673140 ) ( 1402310 1690140 0 )
+    NEW met2 ( 1403690 1631660 ) ( 1403690 1673140 )
+    NEW met1 ( 1404150 1529150 ) M1M2_PR
+    NEW met1 ( 2194430 1529150 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] ) 
+  + ROUTED met2 ( 2208230 82800 ) ( 2213290 82800 )
+    NEW met2 ( 2213290 2380 0 ) ( 2213290 82800 )
+    NEW met2 ( 2208230 82800 ) ( 2208230 1438370 )
+    NEW met1 ( 1404610 1438370 ) ( 2208230 1438370 )
+    NEW met2 ( 1404610 1438370 ) ( 1404610 1656000 )
+    NEW met2 ( 1404150 1656000 ) ( 1404610 1656000 )
+    NEW met2 ( 1404150 1656000 ) ( 1404150 1690140 0 )
+    NEW met1 ( 2208230 1438370 ) M1M2_PR
+    NEW met1 ( 1404610 1438370 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] ) 
+  + ROUTED met2 ( 777170 2380 0 ) ( 777170 41310 )
+    NEW met1 ( 1253270 1631490 ) ( 1255110 1631490 )
+    NEW met2 ( 1253270 41310 ) ( 1253270 1631490 )
+    NEW met1 ( 777170 41310 ) ( 1253270 41310 )
+    NEW met2 ( 1255340 1688780 ) ( 1255340 1690140 0 )
+    NEW met2 ( 1255110 1688780 ) ( 1255340 1688780 )
+    NEW met2 ( 1255110 1631490 ) ( 1255110 1688780 )
+    NEW met1 ( 777170 41310 ) M1M2_PR
+    NEW met1 ( 1253270 41310 ) M1M2_PR
+    NEW met1 ( 1253270 1631490 ) M1M2_PR
+    NEW met1 ( 1255110 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] ) 
+  + ROUTED met2 ( 2228930 82800 ) ( 2231230 82800 )
+    NEW met2 ( 2231230 2380 0 ) ( 2231230 82800 )
+    NEW met2 ( 2228930 82800 ) ( 2228930 1307810 )
+    NEW met1 ( 1405070 1307810 ) ( 2228930 1307810 )
+    NEW met2 ( 1405070 1631660 ) ( 1405530 1631660 )
+    NEW met2 ( 1405070 1307810 ) ( 1405070 1631660 )
+    NEW met2 ( 1405530 1631660 ) ( 1405530 1690140 0 )
+    NEW met1 ( 2228930 1307810 ) M1M2_PR
+    NEW met1 ( 1405070 1307810 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] ) 
+  + ROUTED met2 ( 2249170 2380 0 ) ( 2249170 16830 )
+    NEW met1 ( 2243190 16830 ) ( 2249170 16830 )
+    NEW met2 ( 2243190 16830 ) ( 2243190 1280270 )
+    NEW met1 ( 1405530 1280270 ) ( 2243190 1280270 )
+    NEW met2 ( 1405530 1630980 ) ( 1405990 1630980 )
+    NEW met2 ( 1405530 1280270 ) ( 1405530 1630980 )
+    NEW met1 ( 1405990 1676710 ) ( 1407370 1676710 )
+    NEW met2 ( 1407370 1676710 ) ( 1407370 1688780 )
+    NEW met2 ( 1407370 1688780 ) ( 1407600 1688780 )
+    NEW met2 ( 1407600 1688780 ) ( 1407600 1690140 0 )
+    NEW met2 ( 1405990 1630980 ) ( 1405990 1676710 )
+    NEW met1 ( 2249170 16830 ) M1M2_PR
+    NEW met1 ( 2243190 16830 ) M1M2_PR
+    NEW met1 ( 2243190 1280270 ) M1M2_PR
+    NEW met1 ( 1405530 1280270 ) M1M2_PR
+    NEW met1 ( 1405990 1676710 ) M1M2_PR
+    NEW met1 ( 1407370 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] ) 
+  + ROUTED met2 ( 2263430 82800 ) ( 2266650 82800 )
+    NEW met2 ( 2266650 2380 0 ) ( 2266650 82800 )
+    NEW met1 ( 1411050 1521670 ) ( 2263430 1521670 )
+    NEW met2 ( 2263430 82800 ) ( 2263430 1521670 )
+    NEW met1 ( 1409670 1676710 ) ( 1411050 1676710 )
+    NEW met2 ( 1409670 1676710 ) ( 1409670 1688780 )
+    NEW met2 ( 1409440 1688780 ) ( 1409670 1688780 )
+    NEW met2 ( 1409440 1688780 ) ( 1409440 1690140 0 )
+    NEW met2 ( 1411050 1521670 ) ( 1411050 1676710 )
+    NEW met1 ( 1411050 1521670 ) M1M2_PR
+    NEW met1 ( 2263430 1521670 ) M1M2_PR
+    NEW met1 ( 1411050 1676710 ) M1M2_PR
+    NEW met1 ( 1409670 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] ) 
+  + ROUTED met1 ( 1411970 1431910 ) ( 2284590 1431910 )
+    NEW met2 ( 2284590 2380 0 ) ( 2284590 1431910 )
+    NEW met2 ( 1411970 1431910 ) ( 1411970 1656000 )
+    NEW met2 ( 1411510 1656000 ) ( 1411970 1656000 )
+    NEW met2 ( 1411510 1656000 ) ( 1411510 1690140 0 )
+    NEW met1 ( 1411970 1431910 ) M1M2_PR
+    NEW met1 ( 2284590 1431910 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] ) 
+  + ROUTED met1 ( 1412430 1265990 ) ( 2297930 1265990 )
+    NEW met2 ( 2297930 82800 ) ( 2302070 82800 )
+    NEW met2 ( 2302070 2380 0 ) ( 2302070 82800 )
+    NEW met2 ( 2297930 82800 ) ( 2297930 1265990 )
+    NEW met2 ( 1412430 1631660 ) ( 1412890 1631660 )
+    NEW met2 ( 1412430 1265990 ) ( 1412430 1631660 )
+    NEW met2 ( 1412890 1690140 ) ( 1413350 1690140 0 )
+    NEW met2 ( 1412890 1631660 ) ( 1412890 1690140 )
+    NEW met1 ( 1412430 1265990 ) M1M2_PR
+    NEW met1 ( 2297930 1265990 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] ) 
+  + ROUTED met2 ( 2318630 82800 ) ( 2320010 82800 )
+    NEW met2 ( 2320010 2380 0 ) ( 2320010 82800 )
+    NEW met2 ( 2318630 82800 ) ( 2318630 1632850 )
+    NEW met1 ( 1415190 1632850 ) ( 2318630 1632850 )
+    NEW met2 ( 1415190 1632850 ) ( 1415190 1690140 0 )
+    NEW met1 ( 2318630 1632850 ) M1M2_PR
+    NEW met1 ( 1415190 1632850 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] ) 
+  + ROUTED met2 ( 2332430 82800 ) ( 2337490 82800 )
+    NEW met2 ( 2337490 2380 0 ) ( 2337490 82800 )
+    NEW met2 ( 2332430 82800 ) ( 2332430 1424770 )
+    NEW met1 ( 1418870 1424770 ) ( 2332430 1424770 )
+    NEW met1 ( 1417490 1631490 ) ( 1418870 1631490 )
+    NEW met2 ( 1418870 1424770 ) ( 1418870 1631490 )
+    NEW met2 ( 1417030 1673140 ) ( 1417490 1673140 )
+    NEW met2 ( 1417030 1673140 ) ( 1417030 1690140 )
+    NEW met2 ( 1416570 1690140 0 ) ( 1417030 1690140 )
+    NEW met2 ( 1417490 1631490 ) ( 1417490 1673140 )
+    NEW met1 ( 2332430 1424770 ) M1M2_PR
+    NEW met1 ( 1418870 1424770 ) M1M2_PR
+    NEW met1 ( 1417490 1631490 ) M1M2_PR
+    NEW met1 ( 1418870 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[97] ( PIN la_data_out[97] ) ( mprj la_data_out[97] ) 
+  + ROUTED met1 ( 1414730 1480190 ) ( 2353130 1480190 )
+    NEW met2 ( 2353130 82800 ) ( 2355430 82800 )
+    NEW met2 ( 2355430 2380 0 ) ( 2355430 82800 )
+    NEW met2 ( 2353130 82800 ) ( 2353130 1480190 )
+    NEW met2 ( 1418640 1689630 ) ( 1418640 1690140 0 )
+    NEW met1 ( 1414730 1689630 ) ( 1418640 1689630 )
+    NEW met2 ( 1414730 1480190 ) ( 1414730 1689630 )
+    NEW met1 ( 1414730 1480190 ) M1M2_PR
+    NEW met1 ( 2353130 1480190 ) M1M2_PR
+    NEW met1 ( 1418640 1689630 ) M1M2_PR
+    NEW met1 ( 1414730 1689630 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[98] ( PIN la_data_out[98] ) ( mprj la_data_out[98] ) 
+  + ROUTED met1 ( 1419330 1252390 ) ( 2367390 1252390 )
+    NEW met2 ( 2367390 82800 ) ( 2372910 82800 )
+    NEW met2 ( 2372910 2380 0 ) ( 2372910 82800 )
+    NEW met2 ( 2367390 82800 ) ( 2367390 1252390 )
+    NEW met2 ( 1420250 1688780 ) ( 1420480 1688780 )
+    NEW met2 ( 1420480 1688780 ) ( 1420480 1690140 0 )
+    NEW met2 ( 1419330 1570460 ) ( 1420250 1570460 )
+    NEW met2 ( 1419330 1252390 ) ( 1419330 1570460 )
+    NEW met2 ( 1420250 1570460 ) ( 1420250 1688780 )
+    NEW met1 ( 1419330 1252390 ) M1M2_PR
+    NEW met1 ( 2367390 1252390 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[99] ( PIN la_data_out[99] ) ( mprj la_data_out[99] ) 
+  + ROUTED met2 ( 2387630 82800 ) ( 2390850 82800 )
+    NEW met2 ( 2390850 2380 0 ) ( 2390850 82800 )
+    NEW met1 ( 1426690 1238450 ) ( 2387630 1238450 )
+    NEW met2 ( 2387630 82800 ) ( 2387630 1238450 )
+    NEW met1 ( 1425770 1631490 ) ( 1426690 1631490 )
+    NEW met2 ( 1426690 1238450 ) ( 1426690 1631490 )
+    NEW met1 ( 1422550 1678750 ) ( 1425770 1678750 )
+    NEW met2 ( 1422550 1678750 ) ( 1422550 1690140 0 )
+    NEW met2 ( 1425770 1631490 ) ( 1425770 1678750 )
+    NEW met1 ( 1426690 1238450 ) M1M2_PR
+    NEW met1 ( 2387630 1238450 ) M1M2_PR
+    NEW met1 ( 1425770 1631490 ) M1M2_PR
+    NEW met1 ( 1426690 1631490 ) M1M2_PR
+    NEW met1 ( 1425770 1678750 ) M1M2_PR
+    NEW met1 ( 1422550 1678750 ) M1M2_PR
++ USE SIGNAL ;
+- la_data_out[9] ( PIN la_data_out[9] ) ( mprj la_data_out[9] ) 
+  + ROUTED met2 ( 794650 2380 0 ) ( 794650 17850 )
+    NEW met1 ( 794650 17850 ) ( 800170 17850 )
+    NEW met2 ( 800170 17850 ) ( 800170 1549550 )
+    NEW met1 ( 800170 1549550 ) ( 1257870 1549550 )
+    NEW met2 ( 1257870 1549550 ) ( 1257870 1656000 )
+    NEW met2 ( 1257410 1656000 ) ( 1257870 1656000 )
+    NEW met2 ( 1257410 1656000 ) ( 1257410 1690140 )
+    NEW met2 ( 1256950 1690140 0 ) ( 1257410 1690140 )
+    NEW met1 ( 794650 17850 ) M1M2_PR
+    NEW met1 ( 800170 17850 ) M1M2_PR
+    NEW met1 ( 800170 1549550 ) M1M2_PR
+    NEW met1 ( 1257870 1549550 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[0] ( PIN la_oenb[0] ) ( mprj la_oenb[0] ) 
+  + ROUTED met2 ( 641010 2380 0 ) ( 641010 12580 )
+    NEW met2 ( 638250 12580 ) ( 641010 12580 )
+    NEW met2 ( 638250 12580 ) ( 638250 38590 )
+    NEW met1 ( 1236710 1619250 ) ( 1240850 1619250 )
+    NEW met2 ( 1236710 38590 ) ( 1236710 1619250 )
+    NEW met2 ( 1240850 1688780 ) ( 1241080 1688780 )
+    NEW met2 ( 1241080 1688780 ) ( 1241080 1690140 0 )
+    NEW met2 ( 1240850 1619250 ) ( 1240850 1688780 )
+    NEW met1 ( 638250 38590 ) ( 1236710 38590 )
+    NEW met1 ( 638250 38590 ) M1M2_PR
+    NEW met1 ( 1236710 38590 ) M1M2_PR
+    NEW met1 ( 1236710 1619250 ) M1M2_PR
+    NEW met1 ( 1240850 1619250 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[100] ( PIN la_oenb[100] ) ( mprj la_oenb[100] ) 
+  + ROUTED met2 ( 2408790 82800 ) ( 2414310 82800 )
+    NEW met2 ( 2414310 2380 0 ) ( 2414310 82800 )
+    NEW met2 ( 2408790 82800 ) ( 2408790 1217710 )
+    NEW met1 ( 1427150 1217710 ) ( 2408790 1217710 )
+    NEW met1 ( 1424850 1676710 ) ( 1427150 1676710 )
+    NEW met2 ( 1424850 1676710 ) ( 1424850 1690140 0 )
+    NEW li1 ( 1427150 1617890 ) ( 1427150 1633870 )
+    NEW met2 ( 1427150 1217710 ) ( 1427150 1617890 )
+    NEW met2 ( 1427150 1633870 ) ( 1427150 1676710 )
+    NEW met1 ( 2408790 1217710 ) M1M2_PR
+    NEW met1 ( 1427150 1217710 ) M1M2_PR
+    NEW met1 ( 1427150 1676710 ) M1M2_PR
+    NEW met1 ( 1424850 1676710 ) M1M2_PR
+    NEW li1 ( 1427150 1617890 ) L1M1_PR_MR
+    NEW met1 ( 1427150 1617890 ) M1M2_PR
+    NEW li1 ( 1427150 1633870 ) L1M1_PR_MR
+    NEW met1 ( 1427150 1633870 ) M1M2_PR
+    NEW met1 ( 1427150 1617890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1427150 1633870 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[101] ( PIN la_oenb[101] ) ( mprj la_oenb[101] ) 
+  + ROUTED met2 ( 2429030 82800 ) ( 2432250 82800 )
+    NEW met2 ( 2432250 2380 0 ) ( 2432250 82800 )
+    NEW met2 ( 2429030 82800 ) ( 2429030 1203770 )
+    NEW met1 ( 1427610 1203770 ) ( 2429030 1203770 )
+    NEW met2 ( 1426690 1632850 ) ( 1427610 1632850 )
+    NEW met2 ( 1426690 1632850 ) ( 1426690 1690140 0 )
+    NEW met2 ( 1427610 1203770 ) ( 1427610 1632850 )
+    NEW met1 ( 2429030 1203770 ) M1M2_PR
+    NEW met1 ( 1427610 1203770 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[102] ( PIN la_oenb[102] ) ( mprj la_oenb[102] ) 
+  + ROUTED met2 ( 2449730 2380 0 ) ( 2449730 7140 )
+    NEW met2 ( 2449730 7140 ) ( 2450190 7140 )
+    NEW met1 ( 1433590 1190170 ) ( 2450190 1190170 )
+    NEW met2 ( 2450190 7140 ) ( 2450190 1190170 )
+    NEW met1 ( 1428530 1667870 ) ( 1433590 1667870 )
+    NEW met2 ( 1428530 1667870 ) ( 1428530 1690140 )
+    NEW met2 ( 1428530 1690140 ) ( 1428760 1690140 0 )
+    NEW met2 ( 1433590 1190170 ) ( 1433590 1667870 )
+    NEW met1 ( 1433590 1190170 ) M1M2_PR
+    NEW met1 ( 2450190 1190170 ) M1M2_PR
+    NEW met1 ( 1433590 1667870 ) M1M2_PR
+    NEW met1 ( 1428530 1667870 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[103] ( PIN la_oenb[103] ) ( mprj la_oenb[103] ) 
+  + ROUTED met1 ( 1434050 1176230 ) ( 2463530 1176230 )
+    NEW met2 ( 2463530 82800 ) ( 2467670 82800 )
+    NEW met2 ( 2467670 2380 0 ) ( 2467670 82800 )
+    NEW met2 ( 2463530 82800 ) ( 2463530 1176230 )
+    NEW met2 ( 1430140 1689460 ) ( 1430140 1690140 0 )
+    NEW met2 ( 1430140 1689460 ) ( 1430370 1689460 )
+    NEW met2 ( 1430370 1672290 ) ( 1430370 1689460 )
+    NEW met1 ( 1430370 1672290 ) ( 1434050 1672290 )
+    NEW met2 ( 1434050 1176230 ) ( 1434050 1672290 )
+    NEW met1 ( 1434050 1176230 ) M1M2_PR
+    NEW met1 ( 2463530 1176230 ) M1M2_PR
+    NEW met1 ( 1430370 1672290 ) M1M2_PR
+    NEW met1 ( 1434050 1672290 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[104] ( PIN la_oenb[104] ) ( mprj la_oenb[104] ) 
+  + ROUTED met1 ( 1434510 1148690 ) ( 2484230 1148690 )
+    NEW met2 ( 2484230 82800 ) ( 2485610 82800 )
+    NEW met2 ( 2485610 2380 0 ) ( 2485610 82800 )
+    NEW met2 ( 2484230 82800 ) ( 2484230 1148690 )
+    NEW met2 ( 1434050 1673140 ) ( 1434510 1673140 )
+    NEW met2 ( 1434050 1673140 ) ( 1434050 1677730 )
+    NEW met1 ( 1432210 1677730 ) ( 1434050 1677730 )
+    NEW met2 ( 1432210 1677730 ) ( 1432210 1689460 )
+    NEW met2 ( 1431980 1689460 ) ( 1432210 1689460 )
+    NEW met2 ( 1431980 1689460 ) ( 1431980 1690140 0 )
+    NEW met2 ( 1434510 1148690 ) ( 1434510 1673140 )
+    NEW met1 ( 1434510 1148690 ) M1M2_PR
+    NEW met1 ( 2484230 1148690 ) M1M2_PR
+    NEW met1 ( 1434050 1677730 ) M1M2_PR
+    NEW met1 ( 1432210 1677730 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[105] ( PIN la_oenb[105] ) ( mprj la_oenb[105] ) 
+  + ROUTED met2 ( 2498030 82800 ) ( 2503090 82800 )
+    NEW met2 ( 2503090 2380 0 ) ( 2503090 82800 )
+    NEW met2 ( 2498030 82800 ) ( 2498030 196690 )
+    NEW met1 ( 1429450 196690 ) ( 2498030 196690 )
+    NEW met1 ( 1429450 1678750 ) ( 1434510 1678750 )
+    NEW met2 ( 1434510 1678750 ) ( 1434510 1689460 )
+    NEW met2 ( 1434050 1689460 ) ( 1434510 1689460 )
+    NEW met2 ( 1434050 1689460 ) ( 1434050 1690140 0 )
+    NEW met2 ( 1429450 196690 ) ( 1429450 1678750 )
+    NEW met1 ( 2498030 196690 ) M1M2_PR
+    NEW met1 ( 1429450 196690 ) M1M2_PR
+    NEW met1 ( 1429450 1678750 ) M1M2_PR
+    NEW met1 ( 1434510 1678750 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[106] ( PIN la_oenb[106] ) ( mprj la_oenb[106] ) 
+  + ROUTED met2 ( 2518730 82800 ) ( 2521030 82800 )
+    NEW met2 ( 2521030 2380 0 ) ( 2521030 82800 )
+    NEW met2 ( 2518730 82800 ) ( 2518730 1135090 )
+    NEW met1 ( 1440490 1135090 ) ( 2518730 1135090 )
+    NEW met3 ( 1440030 1632340 ) ( 1440260 1632340 )
+    NEW met3 ( 1440260 1630980 ) ( 1440260 1632340 )
+    NEW met3 ( 1440260 1630980 ) ( 1440490 1630980 )
+    NEW met2 ( 1440490 1135090 ) ( 1440490 1630980 )
+    NEW met1 ( 1435890 1677050 ) ( 1440030 1677050 )
+    NEW met2 ( 1435890 1677050 ) ( 1435890 1690140 0 )
+    NEW met2 ( 1440030 1632340 ) ( 1440030 1677050 )
+    NEW met1 ( 1440490 1135090 ) M1M2_PR
+    NEW met1 ( 2518730 1135090 ) M1M2_PR
+    NEW met2 ( 1440030 1632340 ) via2_FR
+    NEW met2 ( 1440490 1630980 ) via2_FR
+    NEW met1 ( 1440030 1677050 ) M1M2_PR
+    NEW met1 ( 1435890 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[107] ( PIN la_oenb[107] ) ( mprj la_oenb[107] ) 
+  + ROUTED met2 ( 2532990 82800 ) ( 2538510 82800 )
+    NEW met2 ( 2538510 2380 0 ) ( 2538510 82800 )
+    NEW met2 ( 2532990 82800 ) ( 2532990 1127950 )
+    NEW met1 ( 1440950 1127950 ) ( 2532990 1127950 )
+    NEW met1 ( 1437730 1670930 ) ( 1440950 1670930 )
+    NEW met2 ( 1437730 1670930 ) ( 1437730 1690140 0 )
+    NEW met2 ( 1440950 1127950 ) ( 1440950 1670930 )
+    NEW met1 ( 1440950 1127950 ) M1M2_PR
+    NEW met1 ( 2532990 1127950 ) M1M2_PR
+    NEW met1 ( 1440950 1670930 ) M1M2_PR
+    NEW met1 ( 1437730 1670930 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[108] ( PIN la_oenb[108] ) ( mprj la_oenb[108] ) 
+  + ROUTED met2 ( 2553230 82800 ) ( 2556450 82800 )
+    NEW met2 ( 2556450 2380 0 ) ( 2556450 82800 )
+    NEW met1 ( 1441410 1114350 ) ( 2553230 1114350 )
+    NEW met2 ( 2553230 82800 ) ( 2553230 1114350 )
+    NEW met2 ( 1440950 1672460 ) ( 1441410 1672460 )
+    NEW met2 ( 1440950 1672460 ) ( 1440950 1676710 )
+    NEW met1 ( 1439570 1676710 ) ( 1440950 1676710 )
+    NEW met2 ( 1439570 1676710 ) ( 1439570 1690140 )
+    NEW met2 ( 1439570 1690140 ) ( 1439800 1690140 0 )
+    NEW met2 ( 1441410 1114350 ) ( 1441410 1672460 )
+    NEW met1 ( 1441410 1114350 ) M1M2_PR
+    NEW met1 ( 2553230 1114350 ) M1M2_PR
+    NEW met1 ( 1440950 1676710 ) M1M2_PR
+    NEW met1 ( 1439570 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[109] ( PIN la_oenb[109] ) ( mprj la_oenb[109] ) 
+  + ROUTED met2 ( 2573930 2380 0 ) ( 2573930 7140 )
+    NEW met2 ( 2573930 7140 ) ( 2574390 7140 )
+    NEW met1 ( 1441870 210290 ) ( 2574390 210290 )
+    NEW met2 ( 2574390 7140 ) ( 2574390 210290 )
+    NEW met2 ( 1441180 1688610 ) ( 1441180 1690140 0 )
+    NEW met2 ( 1441180 1688610 ) ( 1441410 1688610 )
+    NEW met2 ( 1441410 1687420 ) ( 1441410 1688610 )
+    NEW met2 ( 1441410 1687420 ) ( 1441870 1687420 )
+    NEW met2 ( 1441870 210290 ) ( 1441870 1687420 )
+    NEW met1 ( 1441870 210290 ) M1M2_PR
+    NEW met1 ( 2574390 210290 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[10] ( PIN la_oenb[10] ) ( mprj la_oenb[10] ) 
+  + ROUTED met1 ( 818570 1535950 ) ( 1257410 1535950 )
+    NEW met2 ( 818570 2380 0 ) ( 818570 1535950 )
+    NEW met1 ( 1257410 1631490 ) ( 1259250 1631490 )
+    NEW met2 ( 1257410 1535950 ) ( 1257410 1631490 )
+    NEW met2 ( 1259250 1690140 ) ( 1259710 1690140 0 )
+    NEW met2 ( 1259250 1631490 ) ( 1259250 1690140 )
+    NEW met1 ( 1257410 1535950 ) M1M2_PR
+    NEW met1 ( 818570 1535950 ) M1M2_PR
+    NEW met1 ( 1257410 1631490 ) M1M2_PR
+    NEW met1 ( 1259250 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[110] ( PIN la_oenb[110] ) ( mprj la_oenb[110] ) 
+  + ROUTED met2 ( 2587730 82800 ) ( 2591870 82800 )
+    NEW met2 ( 2591870 2380 0 ) ( 2591870 82800 )
+    NEW met1 ( 1447390 1328210 ) ( 2587730 1328210 )
+    NEW met2 ( 2587730 82800 ) ( 2587730 1328210 )
+    NEW met1 ( 1447390 1630810 ) ( 1448770 1630810 )
+    NEW met2 ( 1447390 1328210 ) ( 1447390 1630810 )
+    NEW met1 ( 1446470 1676370 ) ( 1448770 1676370 )
+    NEW met1 ( 1446470 1676370 ) ( 1446470 1676710 )
+    NEW met1 ( 1443250 1676710 ) ( 1446470 1676710 )
+    NEW met2 ( 1443250 1676710 ) ( 1443250 1689460 )
+    NEW met2 ( 1443020 1689460 ) ( 1443250 1689460 )
+    NEW met2 ( 1443020 1689460 ) ( 1443020 1690140 0 )
+    NEW met2 ( 1448770 1630810 ) ( 1448770 1676370 )
+    NEW met1 ( 1447390 1328210 ) M1M2_PR
+    NEW met1 ( 2587730 1328210 ) M1M2_PR
+    NEW met1 ( 1447390 1630810 ) M1M2_PR
+    NEW met1 ( 1448770 1630810 ) M1M2_PR
+    NEW met1 ( 1448770 1676370 ) M1M2_PR
+    NEW met1 ( 1443250 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[111] ( PIN la_oenb[111] ) ( mprj la_oenb[111] ) 
+  + ROUTED met2 ( 2608430 82800 ) ( 2609350 82800 )
+    NEW met2 ( 2609350 2380 0 ) ( 2609350 82800 )
+    NEW met2 ( 2608430 82800 ) ( 2608430 1100410 )
+    NEW met1 ( 1447850 1100410 ) ( 2608430 1100410 )
+    NEW met2 ( 1447390 1631660 ) ( 1447850 1631660 )
+    NEW met2 ( 1447850 1100410 ) ( 1447850 1631660 )
+    NEW met1 ( 1445090 1672290 ) ( 1447390 1672290 )
+    NEW met2 ( 1445090 1672290 ) ( 1445090 1690140 0 )
+    NEW met2 ( 1447390 1631660 ) ( 1447390 1672290 )
+    NEW met1 ( 1447850 1100410 ) M1M2_PR
+    NEW met1 ( 2608430 1100410 ) M1M2_PR
+    NEW met1 ( 1447390 1672290 ) M1M2_PR
+    NEW met1 ( 1445090 1672290 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[112] ( PIN la_oenb[112] ) ( mprj la_oenb[112] ) 
+  + ROUTED met2 ( 2622230 82800 ) ( 2627290 82800 )
+    NEW met2 ( 2627290 2380 0 ) ( 2627290 82800 )
+    NEW met2 ( 2622230 82800 ) ( 2622230 1086470 )
+    NEW met1 ( 1448310 1086470 ) ( 2622230 1086470 )
+    NEW met1 ( 1446930 1676710 ) ( 1448310 1676710 )
+    NEW met2 ( 1446930 1676710 ) ( 1446930 1690140 0 )
+    NEW met2 ( 1448310 1086470 ) ( 1448310 1676710 )
+    NEW met1 ( 1448310 1086470 ) M1M2_PR
+    NEW met1 ( 2622230 1086470 ) M1M2_PR
+    NEW met1 ( 1448310 1676710 ) M1M2_PR
+    NEW met1 ( 1446930 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[113] ( PIN la_oenb[113] ) ( mprj la_oenb[113] ) 
+  + ROUTED met1 ( 1445090 1072870 ) ( 2642930 1072870 )
+    NEW met2 ( 2642930 82800 ) ( 2645230 82800 )
+    NEW met2 ( 2645230 2380 0 ) ( 2645230 82800 )
+    NEW met2 ( 2642930 82800 ) ( 2642930 1072870 )
+    NEW met2 ( 1445090 1072870 ) ( 1445090 1656000 )
+    NEW met2 ( 1445090 1656000 ) ( 1445550 1656000 )
+    NEW met2 ( 1445550 1656000 ) ( 1445550 1677050 )
+    NEW met1 ( 1445550 1677050 ) ( 1448770 1677050 )
+    NEW met2 ( 1448770 1677050 ) ( 1448770 1690140 0 )
+    NEW met1 ( 1445090 1072870 ) M1M2_PR
+    NEW met1 ( 2642930 1072870 ) M1M2_PR
+    NEW met1 ( 1445550 1677050 ) M1M2_PR
+    NEW met1 ( 1448770 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[114] ( PIN la_oenb[114] ) ( mprj la_oenb[114] ) 
+  + ROUTED met2 ( 2657190 82800 ) ( 2662710 82800 )
+    NEW met2 ( 2662710 2380 0 ) ( 2662710 82800 )
+    NEW met1 ( 1454750 1417970 ) ( 2657190 1417970 )
+    NEW met2 ( 2657190 82800 ) ( 2657190 1417970 )
+    NEW met2 ( 1454750 1417970 ) ( 1454750 1559400 )
+    NEW met2 ( 1454750 1559400 ) ( 1455670 1559400 )
+    NEW met1 ( 1450150 1677050 ) ( 1455670 1677050 )
+    NEW met2 ( 1450150 1677050 ) ( 1450150 1690140 0 )
+    NEW met2 ( 1455670 1559400 ) ( 1455670 1677050 )
+    NEW met1 ( 1454750 1417970 ) M1M2_PR
+    NEW met1 ( 2657190 1417970 ) M1M2_PR
+    NEW met1 ( 1455670 1677050 ) M1M2_PR
+    NEW met1 ( 1450150 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[115] ( PIN la_oenb[115] ) ( mprj la_oenb[115] ) 
+  + ROUTED met2 ( 2677430 82800 ) ( 2680650 82800 )
+    NEW met2 ( 2680650 2380 0 ) ( 2680650 82800 )
+    NEW met1 ( 1452450 1397230 ) ( 2677430 1397230 )
+    NEW met2 ( 2677430 82800 ) ( 2677430 1397230 )
+    NEW met2 ( 1452220 1689290 ) ( 1452220 1690140 0 )
+    NEW met2 ( 1452220 1689290 ) ( 1452450 1689290 )
+    NEW met2 ( 1452450 1397230 ) ( 1452450 1689290 )
+    NEW met1 ( 1452450 1397230 ) M1M2_PR
+    NEW met1 ( 2677430 1397230 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[116] ( PIN la_oenb[116] ) ( mprj la_oenb[116] ) 
+  + ROUTED met2 ( 2698130 2380 0 ) ( 2698130 7140 )
+    NEW met2 ( 2698130 7140 ) ( 2698590 7140 )
+    NEW met2 ( 2698590 7140 ) ( 2698590 1314270 )
+    NEW met1 ( 1452910 1314270 ) ( 2698590 1314270 )
+    NEW met1 ( 1452910 1688270 ) ( 1452910 1689970 )
+    NEW met1 ( 1452910 1689970 ) ( 1454060 1689970 )
+    NEW met2 ( 1454060 1689970 ) ( 1454060 1690140 0 )
+    NEW met2 ( 1452910 1314270 ) ( 1452910 1688270 )
+    NEW met1 ( 1452910 1314270 ) M1M2_PR
+    NEW met1 ( 2698590 1314270 ) M1M2_PR
+    NEW met1 ( 1452910 1688270 ) M1M2_PR
+    NEW met1 ( 1454060 1689970 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[117] ( PIN la_oenb[117] ) ( mprj la_oenb[117] ) 
+  + ROUTED met2 ( 2712390 82800 ) ( 2716070 82800 )
+    NEW met2 ( 2716070 2380 0 ) ( 2716070 82800 )
+    NEW met2 ( 2712390 82800 ) ( 2712390 1410830 )
+    NEW met1 ( 1460730 1410830 ) ( 2712390 1410830 )
+    NEW met1 ( 1457970 1632510 ) ( 1460730 1632510 )
+    NEW met2 ( 1460730 1410830 ) ( 1460730 1632510 )
+    NEW met1 ( 1456130 1676710 ) ( 1457970 1676710 )
+    NEW met2 ( 1456130 1676710 ) ( 1456130 1690140 0 )
+    NEW met2 ( 1457970 1632510 ) ( 1457970 1676710 )
+    NEW met1 ( 1460730 1410830 ) M1M2_PR
+    NEW met1 ( 2712390 1410830 ) M1M2_PR
+    NEW met1 ( 1457970 1632510 ) M1M2_PR
+    NEW met1 ( 1460730 1632510 ) M1M2_PR
+    NEW met1 ( 1457970 1676710 ) M1M2_PR
+    NEW met1 ( 1456130 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[118] ( PIN la_oenb[118] ) ( mprj la_oenb[118] ) 
+  + ROUTED met2 ( 2732630 82800 ) ( 2733550 82800 )
+    NEW met2 ( 2733550 2380 0 ) ( 2733550 82800 )
+    NEW met2 ( 2732630 82800 ) ( 2732630 1058930 )
+    NEW met1 ( 1461650 1058930 ) ( 2732630 1058930 )
+    NEW met1 ( 1457970 1677730 ) ( 1461650 1677730 )
+    NEW met2 ( 1457970 1677730 ) ( 1457970 1690140 0 )
+    NEW met2 ( 1461650 1058930 ) ( 1461650 1677730 )
+    NEW met1 ( 1461650 1058930 ) M1M2_PR
+    NEW met1 ( 2732630 1058930 ) M1M2_PR
+    NEW met1 ( 1461650 1677730 ) M1M2_PR
+    NEW met1 ( 1457970 1677730 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[119] ( PIN la_oenb[119] ) ( mprj la_oenb[119] ) 
+  + ROUTED met2 ( 2746430 82800 ) ( 2751490 82800 )
+    NEW met2 ( 2751490 2380 0 ) ( 2751490 82800 )
+    NEW met1 ( 1458430 1045330 ) ( 2746430 1045330 )
+    NEW met2 ( 2746430 82800 ) ( 2746430 1045330 )
+    NEW met1 ( 1458430 1676710 ) ( 1459810 1676710 )
+    NEW met2 ( 1459810 1676710 ) ( 1459810 1690140 0 )
+    NEW met2 ( 1458430 1045330 ) ( 1458430 1676710 )
+    NEW met1 ( 1458430 1045330 ) M1M2_PR
+    NEW met1 ( 2746430 1045330 ) M1M2_PR
+    NEW met1 ( 1458430 1676710 ) M1M2_PR
+    NEW met1 ( 1459810 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[11] ( PIN la_oenb[11] ) ( mprj la_oenb[11] ) 
+  + ROUTED met2 ( 836050 2380 0 ) ( 836050 34500 )
+    NEW met2 ( 836050 34500 ) ( 841570 34500 )
+    NEW met2 ( 841570 34500 ) ( 841570 134470 )
+    NEW met1 ( 841570 134470 ) ( 1258790 134470 )
+    NEW met1 ( 1258790 1630470 ) ( 1258790 1630810 )
+    NEW met1 ( 1258790 1630810 ) ( 1261090 1630810 )
+    NEW met2 ( 1258790 134470 ) ( 1258790 1630470 )
+    NEW met2 ( 1261090 1630810 ) ( 1261090 1690140 0 )
+    NEW met1 ( 1258790 134470 ) M1M2_PR
+    NEW met1 ( 841570 134470 ) M1M2_PR
+    NEW met1 ( 1258790 1630470 ) M1M2_PR
+    NEW met1 ( 1261090 1630810 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[120] ( PIN la_oenb[120] ) ( mprj la_oenb[120] ) 
+  + ROUTED met2 ( 2767130 82800 ) ( 2768970 82800 )
+    NEW met2 ( 2768970 2380 0 ) ( 2768970 82800 )
+    NEW met1 ( 1458890 1024590 ) ( 2767130 1024590 )
+    NEW met2 ( 2767130 82800 ) ( 2767130 1024590 )
+    NEW met1 ( 1458890 1677050 ) ( 1461190 1677050 )
+    NEW met2 ( 1461190 1677050 ) ( 1461190 1690140 0 )
+    NEW met2 ( 1458890 1024590 ) ( 1458890 1677050 )
+    NEW met1 ( 1458890 1024590 ) M1M2_PR
+    NEW met1 ( 2767130 1024590 ) M1M2_PR
+    NEW met1 ( 1458890 1677050 ) M1M2_PR
+    NEW met1 ( 1461190 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[121] ( PIN la_oenb[121] ) ( mprj la_oenb[121] ) 
+  + ROUTED met1 ( 1463490 1651550 ) ( 1468550 1651550 )
+    NEW met2 ( 1468550 1383290 ) ( 1468550 1651550 )
+    NEW met1 ( 1468550 1383290 ) ( 2781390 1383290 )
+    NEW met2 ( 2781390 82800 ) ( 2786910 82800 )
+    NEW met2 ( 2786910 2380 0 ) ( 2786910 82800 )
+    NEW met2 ( 2781390 82800 ) ( 2781390 1383290 )
+    NEW met2 ( 1463260 1688780 ) ( 1463490 1688780 )
+    NEW met2 ( 1463260 1688780 ) ( 1463260 1690140 0 )
+    NEW met2 ( 1463490 1651550 ) ( 1463490 1688780 )
+    NEW met1 ( 1468550 1383290 ) M1M2_PR
+    NEW met1 ( 1463490 1651550 ) M1M2_PR
+    NEW met1 ( 1468550 1651550 ) M1M2_PR
+    NEW met1 ( 2781390 1383290 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[122] ( PIN la_oenb[122] ) ( mprj la_oenb[122] ) 
+  + ROUTED met2 ( 2802550 82800 ) ( 2804390 82800 )
+    NEW met2 ( 2804390 2380 0 ) ( 2804390 82800 )
+    NEW met2 ( 2802550 82800 ) ( 2802550 1307470 )
+    NEW met1 ( 1469010 1307470 ) ( 2802550 1307470 )
+    NEW met2 ( 1468550 1677220 ) ( 1469010 1677220 )
+    NEW met2 ( 1468550 1677220 ) ( 1468550 1678750 )
+    NEW met1 ( 1465330 1678750 ) ( 1468550 1678750 )
+    NEW met1 ( 1465330 1678750 ) ( 1465330 1679090 )
+    NEW met2 ( 1465330 1679090 ) ( 1465330 1688780 )
+    NEW met2 ( 1465100 1688780 ) ( 1465330 1688780 )
+    NEW met2 ( 1465100 1688780 ) ( 1465100 1690140 0 )
+    NEW met2 ( 1469010 1307470 ) ( 1469010 1677220 )
+    NEW met1 ( 1469010 1307470 ) M1M2_PR
+    NEW met1 ( 2802550 1307470 ) M1M2_PR
+    NEW met1 ( 1468550 1678750 ) M1M2_PR
+    NEW met1 ( 1465330 1679090 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[123] ( PIN la_oenb[123] ) ( mprj la_oenb[123] ) 
+  + ROUTED met2 ( 1468090 1652060 ) ( 1468550 1652060 )
+    NEW met2 ( 2822330 2380 0 ) ( 2822330 7140 )
+    NEW met2 ( 2822330 7140 ) ( 2822790 7140 )
+    NEW met2 ( 1468090 1404030 ) ( 1468090 1652060 )
+    NEW met2 ( 2822790 7140 ) ( 2822790 1404030 )
+    NEW met1 ( 1468090 1404030 ) ( 2822790 1404030 )
+    NEW met1 ( 1467170 1676710 ) ( 1468550 1676710 )
+    NEW met2 ( 1467170 1676710 ) ( 1467170 1690140 0 )
+    NEW met2 ( 1468550 1652060 ) ( 1468550 1676710 )
+    NEW met1 ( 1468090 1404030 ) M1M2_PR
+    NEW met1 ( 2822790 1404030 ) M1M2_PR
+    NEW met1 ( 1468550 1676710 ) M1M2_PR
+    NEW met1 ( 1467170 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[124] ( PIN la_oenb[124] ) ( mprj la_oenb[124] ) 
+  + ROUTED met2 ( 1465790 1628400 ) ( 1466250 1628400 )
+    NEW met2 ( 1466250 1010650 ) ( 1466250 1628400 )
+    NEW met2 ( 2832450 20570 ) ( 2832450 1010650 )
+    NEW met2 ( 2840270 2380 0 ) ( 2840270 20570 )
+    NEW met1 ( 2832450 20570 ) ( 2840270 20570 )
+    NEW met1 ( 1466250 1010650 ) ( 2832450 1010650 )
+    NEW met1 ( 1465790 1677730 ) ( 1469010 1677730 )
+    NEW met2 ( 1469010 1677730 ) ( 1469010 1690140 0 )
+    NEW met2 ( 1465790 1628400 ) ( 1465790 1677730 )
+    NEW met1 ( 2832450 20570 ) M1M2_PR
+    NEW met1 ( 1466250 1010650 ) M1M2_PR
+    NEW met1 ( 2832450 1010650 ) M1M2_PR
+    NEW met1 ( 2840270 20570 ) M1M2_PR
+    NEW met1 ( 1465790 1677730 ) M1M2_PR
+    NEW met1 ( 1469010 1677730 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[125] ( PIN la_oenb[125] ) ( mprj la_oenb[125] ) 
+  + ROUTED met1 ( 1475910 1279930 ) ( 2856830 1279930 )
+    NEW met2 ( 2856830 82800 ) ( 2857750 82800 )
+    NEW met2 ( 2857750 2380 0 ) ( 2857750 82800 )
+    NEW met2 ( 2856830 82800 ) ( 2856830 1279930 )
+    NEW met1 ( 1470850 1677050 ) ( 1475910 1677050 )
+    NEW met2 ( 1470850 1677050 ) ( 1470850 1690140 0 )
+    NEW met2 ( 1475910 1279930 ) ( 1475910 1677050 )
+    NEW met1 ( 1475910 1279930 ) M1M2_PR
+    NEW met1 ( 2856830 1279930 ) M1M2_PR
+    NEW met1 ( 1475910 1677050 ) M1M2_PR
+    NEW met1 ( 1470850 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[126] ( PIN la_oenb[126] ) ( mprj la_oenb[126] ) 
+  + ROUTED met2 ( 2875690 2380 0 ) ( 2875690 17340 )
+    NEW met2 ( 2873390 17340 ) ( 2875690 17340 )
+    NEW met1 ( 1472690 997050 ) ( 2870630 997050 )
+    NEW met2 ( 2870630 82800 ) ( 2873390 82800 )
+    NEW met2 ( 2873390 17340 ) ( 2873390 82800 )
+    NEW met2 ( 2870630 82800 ) ( 2870630 997050 )
+    NEW met2 ( 1472690 997050 ) ( 1472690 1656000 )
+    NEW met2 ( 1472230 1656000 ) ( 1472690 1656000 )
+    NEW met2 ( 1472230 1656000 ) ( 1472230 1690140 0 )
+    NEW met1 ( 1472690 997050 ) M1M2_PR
+    NEW met1 ( 2870630 997050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[127] ( PIN la_oenb[127] ) ( mprj la_oenb[127] ) 
+  + ROUTED met2 ( 2893170 2380 0 ) ( 2893170 17170 )
+    NEW met1 ( 2377050 17170 ) ( 2893170 17170 )
+    NEW met1 ( 1474070 1390430 ) ( 2377050 1390430 )
+    NEW met2 ( 2377050 17170 ) ( 2377050 1390430 )
+    NEW met2 ( 1474070 1688780 ) ( 1474300 1688780 )
+    NEW met2 ( 1474300 1688780 ) ( 1474300 1690140 0 )
+    NEW met2 ( 1474070 1390430 ) ( 1474070 1688780 )
+    NEW met1 ( 2893170 17170 ) M1M2_PR
+    NEW met1 ( 1474070 1390430 ) M1M2_PR
+    NEW met1 ( 2377050 17170 ) M1M2_PR
+    NEW met1 ( 2377050 1390430 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[12] ( PIN la_oenb[12] ) ( mprj la_oenb[12] ) 
+  + ROUTED met2 ( 853990 2380 0 ) ( 853990 37570 )
+    NEW met2 ( 1263390 1632340 ) ( 1264770 1632340 )
+    NEW met2 ( 1264770 37570 ) ( 1264770 1632340 )
+    NEW met2 ( 1263160 1688780 ) ( 1263390 1688780 )
+    NEW met2 ( 1263160 1688780 ) ( 1263160 1690140 0 )
+    NEW met2 ( 1263390 1632340 ) ( 1263390 1688780 )
+    NEW met1 ( 853990 37570 ) ( 1264770 37570 )
+    NEW met1 ( 1264770 37570 ) M1M2_PR
+    NEW met1 ( 853990 37570 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[13] ( PIN la_oenb[13] ) ( mprj la_oenb[13] ) 
+  + ROUTED met2 ( 871470 2380 0 ) ( 871470 37230 )
+    NEW met3 ( 1264310 1631660 ) ( 1264540 1631660 )
+    NEW met3 ( 1264540 1631660 ) ( 1264540 1633020 )
+    NEW met3 ( 1264540 1633020 ) ( 1264770 1633020 )
+    NEW met2 ( 1264310 37230 ) ( 1264310 1631660 )
+    NEW met2 ( 1264770 1688950 ) ( 1265000 1688950 )
+    NEW met2 ( 1265000 1688950 ) ( 1265000 1690140 0 )
+    NEW met2 ( 1264770 1633020 ) ( 1264770 1688950 )
+    NEW met1 ( 871470 37230 ) ( 1264310 37230 )
+    NEW met1 ( 871470 37230 ) M1M2_PR
+    NEW met1 ( 1264310 37230 ) M1M2_PR
+    NEW met2 ( 1264310 1631660 ) via2_FR
+    NEW met2 ( 1264770 1633020 ) via2_FR
++ USE SIGNAL ;
+- la_oenb[14] ( PIN la_oenb[14] ) ( mprj la_oenb[14] ) 
+  + ROUTED met2 ( 1259250 1521670 ) ( 1259250 1580100 )
+    NEW met2 ( 1259250 1580100 ) ( 1259710 1580100 )
+    NEW met1 ( 889870 1521670 ) ( 1259250 1521670 )
+    NEW met1 ( 1259710 1676710 ) ( 1267070 1676710 )
+    NEW met2 ( 1267070 1676710 ) ( 1267070 1689460 )
+    NEW met2 ( 1266840 1689460 ) ( 1267070 1689460 )
+    NEW met2 ( 1266840 1689460 ) ( 1266840 1690140 0 )
+    NEW met2 ( 1259710 1580100 ) ( 1259710 1676710 )
+    NEW met2 ( 889410 2380 0 ) ( 889410 13800 )
+    NEW met2 ( 889410 13800 ) ( 889870 13800 )
+    NEW met2 ( 889870 13800 ) ( 889870 1521670 )
+    NEW met1 ( 889870 1521670 ) M1M2_PR
+    NEW met1 ( 1259250 1521670 ) M1M2_PR
+    NEW met1 ( 1259710 1676710 ) M1M2_PR
+    NEW met1 ( 1267070 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[15] ( PIN la_oenb[15] ) ( mprj la_oenb[15] ) 
+  + ROUTED met1 ( 908730 1583890 ) ( 1265230 1583890 )
+    NEW met1 ( 1265230 1631490 ) ( 1268450 1631490 )
+    NEW met2 ( 1265230 1583890 ) ( 1265230 1631490 )
+    NEW met2 ( 1268450 1690140 ) ( 1268910 1690140 0 )
+    NEW met2 ( 1268450 1631490 ) ( 1268450 1690140 )
+    NEW met2 ( 907350 2380 0 ) ( 907350 13800 )
+    NEW met2 ( 907350 13800 ) ( 908730 13800 )
+    NEW met2 ( 908730 13800 ) ( 908730 1583890 )
+    NEW met1 ( 1265230 1583890 ) M1M2_PR
+    NEW met1 ( 908730 1583890 ) M1M2_PR
+    NEW met1 ( 1265230 1631490 ) M1M2_PR
+    NEW met1 ( 1268450 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[16] ( PIN la_oenb[16] ) ( mprj la_oenb[16] ) 
+  + ROUTED met2 ( 1272590 1652740 ) ( 1273050 1652740 )
+    NEW met1 ( 931270 1507730 ) ( 1273970 1507730 )
+    NEW met1 ( 924830 18190 ) ( 931270 18190 )
+    NEW met2 ( 924830 2380 0 ) ( 924830 18190 )
+    NEW met2 ( 931270 18190 ) ( 931270 1507730 )
+    NEW met2 ( 1270750 1676370 ) ( 1272590 1676370 )
+    NEW met2 ( 1270750 1676370 ) ( 1270750 1690140 )
+    NEW met2 ( 1270290 1690140 0 ) ( 1270750 1690140 )
+    NEW met2 ( 1272590 1652740 ) ( 1272590 1676370 )
+    NEW met1 ( 1273050 1574030 ) ( 1273970 1574030 )
+    NEW met2 ( 1273050 1574030 ) ( 1273050 1652740 )
+    NEW met2 ( 1273970 1507730 ) ( 1273970 1574030 )
+    NEW met1 ( 1273970 1507730 ) M1M2_PR
+    NEW met1 ( 931270 1507730 ) M1M2_PR
+    NEW met1 ( 924830 18190 ) M1M2_PR
+    NEW met1 ( 931270 18190 ) M1M2_PR
+    NEW met1 ( 1273050 1574030 ) M1M2_PR
+    NEW met1 ( 1273970 1574030 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[17] ( PIN la_oenb[17] ) ( mprj la_oenb[17] ) 
+  + ROUTED met2 ( 942770 2380 0 ) ( 942770 18190 )
+    NEW met1 ( 942770 18190 ) ( 945070 18190 )
+    NEW met2 ( 945070 18190 ) ( 945070 1578110 )
+    NEW met1 ( 945070 1578110 ) ( 1274890 1578110 )
+    NEW met1 ( 1272590 1677050 ) ( 1274890 1677050 )
+    NEW met2 ( 1272590 1677050 ) ( 1272590 1690140 )
+    NEW met2 ( 1272130 1690140 0 ) ( 1272590 1690140 )
+    NEW met2 ( 1274890 1578110 ) ( 1274890 1677050 )
+    NEW met1 ( 942770 18190 ) M1M2_PR
+    NEW met1 ( 945070 18190 ) M1M2_PR
+    NEW met1 ( 945070 1578110 ) M1M2_PR
+    NEW met1 ( 1274890 1578110 ) M1M2_PR
+    NEW met1 ( 1274890 1677050 ) M1M2_PR
+    NEW met1 ( 1272590 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[18] ( PIN la_oenb[18] ) ( mprj la_oenb[18] ) 
+  + ROUTED met1 ( 1272590 1642370 ) ( 1273970 1642370 )
+    NEW met2 ( 960250 2380 0 ) ( 960250 34500 )
+    NEW met2 ( 960250 34500 ) ( 965770 34500 )
+    NEW met2 ( 965770 34500 ) ( 965770 148070 )
+    NEW met1 ( 965770 148070 ) ( 1272590 148070 )
+    NEW met2 ( 1272590 148070 ) ( 1272590 1642370 )
+    NEW met2 ( 1273970 1688780 ) ( 1274200 1688780 )
+    NEW met2 ( 1274200 1688780 ) ( 1274200 1690140 0 )
+    NEW met2 ( 1273970 1642370 ) ( 1273970 1688780 )
+    NEW met1 ( 1272590 1642370 ) M1M2_PR
+    NEW met1 ( 1273970 1642370 ) M1M2_PR
+    NEW met1 ( 965770 148070 ) M1M2_PR
+    NEW met1 ( 1272590 148070 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[19] ( PIN la_oenb[19] ) ( mprj la_oenb[19] ) 
+  + ROUTED met2 ( 978190 2380 0 ) ( 978190 34500 )
+    NEW met2 ( 978190 34500 ) ( 979570 34500 )
+    NEW met2 ( 979570 34500 ) ( 979570 1500930 )
+    NEW met1 ( 979570 1500930 ) ( 1273510 1500930 )
+    NEW met1 ( 1273510 1688950 ) ( 1276040 1688950 )
+    NEW met2 ( 1276040 1688950 ) ( 1276040 1690140 0 )
+    NEW met2 ( 1273510 1593900 ) ( 1273510 1688950 )
+    NEW met2 ( 1273510 1574540 ) ( 1273970 1574540 )
+    NEW met2 ( 1273970 1574540 ) ( 1273970 1593900 )
+    NEW met2 ( 1273510 1593900 ) ( 1273970 1593900 )
+    NEW met2 ( 1273510 1500930 ) ( 1273510 1574540 )
+    NEW met1 ( 979570 1500930 ) M1M2_PR
+    NEW met1 ( 1273510 1500930 ) M1M2_PR
+    NEW met1 ( 1273510 1688950 ) M1M2_PR
+    NEW met1 ( 1276040 1688950 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[1] ( PIN la_oenb[1] ) ( mprj la_oenb[1] ) 
+  + ROUTED met2 ( 658950 2380 0 ) ( 658950 18190 )
+    NEW met1 ( 658950 18190 ) ( 661250 18190 )
+    NEW met1 ( 662170 1563150 ) ( 1246830 1563150 )
+    NEW met2 ( 661250 18190 ) ( 661250 34500 )
+    NEW met2 ( 661250 34500 ) ( 662170 34500 )
+    NEW met2 ( 662170 34500 ) ( 662170 1563150 )
+    NEW met1 ( 1243150 1630810 ) ( 1246830 1630810 )
+    NEW met2 ( 1246830 1563150 ) ( 1246830 1630810 )
+    NEW met2 ( 1242920 1689460 ) ( 1243150 1689460 )
+    NEW met2 ( 1242920 1689460 ) ( 1242920 1690140 0 )
+    NEW met2 ( 1243150 1630810 ) ( 1243150 1689460 )
+    NEW met1 ( 1246830 1563150 ) M1M2_PR
+    NEW met1 ( 658950 18190 ) M1M2_PR
+    NEW met1 ( 661250 18190 ) M1M2_PR
+    NEW met1 ( 662170 1563150 ) M1M2_PR
+    NEW met1 ( 1243150 1630810 ) M1M2_PR
+    NEW met1 ( 1246830 1630810 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[20] ( PIN la_oenb[20] ) ( mprj la_oenb[20] ) 
+  + ROUTED met2 ( 995670 2380 0 ) ( 995670 34500 )
+    NEW met2 ( 995670 34500 ) ( 998890 34500 )
+    NEW met2 ( 998890 34500 ) ( 998890 1487330 )
+    NEW met1 ( 998890 1487330 ) ( 1277650 1487330 )
+    NEW met2 ( 1277650 1688780 ) ( 1277880 1688780 )
+    NEW met2 ( 1277880 1688780 ) ( 1277880 1690140 0 )
+    NEW met2 ( 1277650 1487330 ) ( 1277650 1688780 )
+    NEW met1 ( 998890 1487330 ) M1M2_PR
+    NEW met1 ( 1277650 1487330 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[21] ( PIN la_oenb[21] ) ( mprj la_oenb[21] ) 
+  + ROUTED met2 ( 1278570 1652740 ) ( 1279490 1652740 )
+    NEW met2 ( 1013610 2380 0 ) ( 1013610 1570290 )
+    NEW met1 ( 1013610 1570290 ) ( 1278570 1570290 )
+    NEW met2 ( 1278570 1570290 ) ( 1278570 1652740 )
+    NEW met2 ( 1279490 1690140 ) ( 1279950 1690140 0 )
+    NEW met2 ( 1279490 1652740 ) ( 1279490 1690140 )
+    NEW met1 ( 1013610 1570290 ) M1M2_PR
+    NEW met1 ( 1278570 1570290 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[22] ( PIN la_oenb[22] ) ( mprj la_oenb[22] ) 
+  + ROUTED met1 ( 1279490 1652230 ) ( 1281330 1652230 )
+    NEW met2 ( 1031090 2380 0 ) ( 1031090 18190 )
+    NEW met1 ( 1031090 18190 ) ( 1034770 18190 )
+    NEW met1 ( 1034770 1473390 ) ( 1279490 1473390 )
+    NEW met2 ( 1034770 18190 ) ( 1034770 1473390 )
+    NEW met2 ( 1279490 1473390 ) ( 1279490 1652230 )
+    NEW met2 ( 1281330 1652230 ) ( 1281330 1690140 0 )
+    NEW met1 ( 1279490 1473390 ) M1M2_PR
+    NEW met1 ( 1279490 1652230 ) M1M2_PR
+    NEW met1 ( 1281330 1652230 ) M1M2_PR
+    NEW met1 ( 1031090 18190 ) M1M2_PR
+    NEW met1 ( 1034770 18190 ) M1M2_PR
+    NEW met1 ( 1034770 1473390 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[23] ( PIN la_oenb[23] ) ( mprj la_oenb[23] ) 
+  + ROUTED met2 ( 1049030 2380 0 ) ( 1049030 13090 )
+    NEW met1 ( 1049030 13090 ) ( 1055010 13090 )
+    NEW met1 ( 1279030 1651890 ) ( 1283170 1651890 )
+    NEW met2 ( 1055010 13090 ) ( 1055010 92990 )
+    NEW met1 ( 1055010 92990 ) ( 1279030 92990 )
+    NEW met2 ( 1279030 92990 ) ( 1279030 1651890 )
+    NEW met2 ( 1283170 1651890 ) ( 1283170 1690140 0 )
+    NEW met1 ( 1049030 13090 ) M1M2_PR
+    NEW met1 ( 1055010 13090 ) M1M2_PR
+    NEW met1 ( 1055010 92990 ) M1M2_PR
+    NEW met1 ( 1279030 92990 ) M1M2_PR
+    NEW met1 ( 1279030 1651890 ) M1M2_PR
+    NEW met1 ( 1283170 1651890 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[24] ( PIN la_oenb[24] ) ( mprj la_oenb[24] ) 
+  + ROUTED met2 ( 1066970 2380 0 ) ( 1066970 34500 )
+    NEW met2 ( 1066970 34500 ) ( 1069270 34500 )
+    NEW met2 ( 1069270 34500 ) ( 1069270 1612450 )
+    NEW met1 ( 1069270 1612450 ) ( 1285010 1612450 )
+    NEW met2 ( 1285010 1688780 ) ( 1285240 1688780 )
+    NEW met2 ( 1285240 1688780 ) ( 1285240 1690140 0 )
+    NEW met2 ( 1285010 1612450 ) ( 1285010 1688780 )
+    NEW met1 ( 1069270 1612450 ) M1M2_PR
+    NEW met1 ( 1285010 1612450 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[25] ( PIN la_oenb[25] ) ( mprj la_oenb[25] ) 
+  + ROUTED met2 ( 1084450 2380 0 ) ( 1084450 34500 )
+    NEW met2 ( 1084450 34500 ) ( 1088590 34500 )
+    NEW met2 ( 1088590 34500 ) ( 1088590 1549890 )
+    NEW met1 ( 1088590 1549890 ) ( 1286390 1549890 )
+    NEW met2 ( 1286390 1549890 ) ( 1286390 1656000 )
+    NEW met2 ( 1286390 1656000 ) ( 1286850 1656000 )
+    NEW met2 ( 1286850 1656000 ) ( 1286850 1689460 )
+    NEW met2 ( 1286850 1689460 ) ( 1287080 1689460 )
+    NEW met2 ( 1287080 1689460 ) ( 1287080 1690140 0 )
+    NEW met1 ( 1088590 1549890 ) M1M2_PR
+    NEW met1 ( 1286390 1549890 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[26] ( PIN la_oenb[26] ) ( mprj la_oenb[26] ) 
+  + ROUTED met1 ( 1285470 1652570 ) ( 1288690 1652570 )
+    NEW met1 ( 1103770 1459450 ) ( 1285470 1459450 )
+    NEW met2 ( 1102390 2380 0 ) ( 1102390 34500 )
+    NEW met2 ( 1102390 34500 ) ( 1103770 34500 )
+    NEW met2 ( 1103770 34500 ) ( 1103770 1459450 )
+    NEW met2 ( 1285470 1459450 ) ( 1285470 1652570 )
+    NEW met2 ( 1288690 1688780 ) ( 1288920 1688780 )
+    NEW met2 ( 1288920 1688780 ) ( 1288920 1690140 0 )
+    NEW met2 ( 1288690 1652570 ) ( 1288690 1688780 )
+    NEW met1 ( 1285470 1459450 ) M1M2_PR
+    NEW met1 ( 1285470 1652570 ) M1M2_PR
+    NEW met1 ( 1288690 1652570 ) M1M2_PR
+    NEW met1 ( 1103770 1459450 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[27] ( PIN la_oenb[27] ) ( mprj la_oenb[27] ) 
+  + ROUTED met1 ( 1290990 1652570 ) ( 1295130 1652570 )
+    NEW met2 ( 1119870 2380 0 ) ( 1119870 47770 )
+    NEW met1 ( 1119870 47770 ) ( 1295130 47770 )
+    NEW met2 ( 1295130 47770 ) ( 1295130 1652570 )
+    NEW met2 ( 1290990 1652570 ) ( 1290990 1690140 0 )
+    NEW met1 ( 1290990 1652570 ) M1M2_PR
+    NEW met1 ( 1295130 1652570 ) M1M2_PR
+    NEW met1 ( 1119870 47770 ) M1M2_PR
+    NEW met1 ( 1295130 47770 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[28] ( PIN la_oenb[28] ) ( mprj la_oenb[28] ) 
+  + ROUTED met1 ( 1292830 1652910 ) ( 1294670 1652910 )
+    NEW met2 ( 1137810 2380 0 ) ( 1137810 48110 )
+    NEW met1 ( 1137810 48110 ) ( 1294670 48110 )
+    NEW met2 ( 1294670 48110 ) ( 1294670 1652910 )
+    NEW met2 ( 1292370 1690140 0 ) ( 1292830 1690140 )
+    NEW met2 ( 1292830 1652910 ) ( 1292830 1690140 )
+    NEW met1 ( 1292830 1652910 ) M1M2_PR
+    NEW met1 ( 1294670 1652910 ) M1M2_PR
+    NEW met1 ( 1137810 48110 ) M1M2_PR
+    NEW met1 ( 1294670 48110 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[29] ( PIN la_oenb[29] ) ( mprj la_oenb[29] ) 
+  + ROUTED met2 ( 1155290 2380 0 ) ( 1155290 44370 )
+    NEW met2 ( 1292370 1652060 ) ( 1293290 1652060 )
+    NEW met2 ( 1293290 1652060 ) ( 1293290 1653420 )
+    NEW met2 ( 1293290 1653420 ) ( 1294210 1653420 )
+    NEW met1 ( 1155290 44370 ) ( 1292370 44370 )
+    NEW met2 ( 1292370 44370 ) ( 1292370 1652060 )
+    NEW met2 ( 1294210 1653420 ) ( 1294210 1690140 0 )
+    NEW met1 ( 1155290 44370 ) M1M2_PR
+    NEW met1 ( 1292370 44370 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[2] ( PIN la_oenb[2] ) ( mprj la_oenb[2] ) 
+  + ROUTED met2 ( 676430 2380 0 ) ( 676430 45050 )
+    NEW met2 ( 1244530 1688780 ) ( 1244760 1688780 )
+    NEW met2 ( 1244760 1688780 ) ( 1244760 1690140 0 )
+    NEW met2 ( 1244530 45050 ) ( 1244530 1688780 )
+    NEW met1 ( 676430 45050 ) ( 1244530 45050 )
+    NEW met1 ( 676430 45050 ) M1M2_PR
+    NEW met1 ( 1244530 45050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[30] ( PIN la_oenb[30] ) ( mprj la_oenb[30] ) 
+  + ROUTED met2 ( 1173230 2380 0 ) ( 1173230 17170 )
+    NEW met1 ( 1173230 17170 ) ( 1177370 17170 )
+    NEW met2 ( 1177370 17170 ) ( 1177370 44710 )
+    NEW met1 ( 1291910 1639650 ) ( 1296050 1639650 )
+    NEW met1 ( 1177370 44710 ) ( 1291910 44710 )
+    NEW met2 ( 1291910 44710 ) ( 1291910 1639650 )
+    NEW met2 ( 1296050 1689460 ) ( 1296280 1689460 )
+    NEW met2 ( 1296280 1689460 ) ( 1296280 1690140 0 )
+    NEW met2 ( 1296050 1639650 ) ( 1296050 1689460 )
+    NEW met1 ( 1173230 17170 ) M1M2_PR
+    NEW met1 ( 1177370 17170 ) M1M2_PR
+    NEW met1 ( 1177370 44710 ) M1M2_PR
+    NEW met1 ( 1291910 1639650 ) M1M2_PR
+    NEW met1 ( 1296050 1639650 ) M1M2_PR
+    NEW met1 ( 1291910 44710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[31] ( PIN la_oenb[31] ) ( mprj la_oenb[31] ) 
+  + ROUTED met2 ( 1190710 2380 0 ) ( 1190710 44030 )
+    NEW met1 ( 1190710 44030 ) ( 1299730 44030 )
+    NEW met1 ( 1299730 1688950 ) ( 1299730 1689290 )
+    NEW met1 ( 1298120 1689290 ) ( 1299730 1689290 )
+    NEW met2 ( 1298120 1689290 ) ( 1298120 1690140 0 )
+    NEW met2 ( 1299730 44030 ) ( 1299730 1688950 )
+    NEW met1 ( 1190710 44030 ) M1M2_PR
+    NEW met1 ( 1299730 44030 ) M1M2_PR
+    NEW met1 ( 1299730 1688950 ) M1M2_PR
+    NEW met1 ( 1298120 1689290 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[32] ( PIN la_oenb[32] ) ( mprj la_oenb[32] ) 
+  + ROUTED met2 ( 1208650 2380 0 ) ( 1208650 26010 )
+    NEW met1 ( 1208650 26010 ) ( 1276730 26010 )
+    NEW met1 ( 1276730 1679090 ) ( 1300190 1679090 )
+    NEW met2 ( 1300190 1679090 ) ( 1300190 1689460 )
+    NEW met2 ( 1299960 1689460 ) ( 1300190 1689460 )
+    NEW met2 ( 1299960 1689460 ) ( 1299960 1690140 0 )
+    NEW met2 ( 1276730 26010 ) ( 1276730 1679090 )
+    NEW met1 ( 1276730 26010 ) M1M2_PR
+    NEW met1 ( 1208650 26010 ) M1M2_PR
+    NEW met1 ( 1276730 1679090 ) M1M2_PR
+    NEW met1 ( 1300190 1679090 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[33] ( PIN la_oenb[33] ) ( mprj la_oenb[33] ) 
+  + ROUTED met2 ( 1226130 2380 0 ) ( 1226130 17340 )
+    NEW met2 ( 1226130 17340 ) ( 1226590 17340 )
+    NEW met2 ( 1226590 17340 ) ( 1226590 34500 )
+    NEW met2 ( 1226590 34500 ) ( 1227970 34500 )
+    NEW met1 ( 1226590 1631490 ) ( 1227970 1631490 )
+    NEW met2 ( 1227970 34500 ) ( 1227970 1631490 )
+    NEW met2 ( 1226590 1631490 ) ( 1226590 1680790 )
+    NEW met2 ( 1302030 1680790 ) ( 1302030 1690140 0 )
+    NEW met1 ( 1226590 1680790 ) ( 1302030 1680790 )
+    NEW met1 ( 1226590 1631490 ) M1M2_PR
+    NEW met1 ( 1227970 1631490 ) M1M2_PR
+    NEW met1 ( 1226590 1680790 ) M1M2_PR
+    NEW met1 ( 1302030 1680790 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[34] ( PIN la_oenb[34] ) ( mprj la_oenb[34] ) 
+  + ROUTED met2 ( 1244070 2380 0 ) ( 1244070 17510 )
+    NEW met1 ( 1244070 17510 ) ( 1300190 17510 )
+    NEW met1 ( 1300190 1652570 ) ( 1303410 1652570 )
+    NEW met2 ( 1300190 17510 ) ( 1300190 1652570 )
+    NEW met2 ( 1303410 1652570 ) ( 1303410 1690140 0 )
+    NEW met1 ( 1244070 17510 ) M1M2_PR
+    NEW met1 ( 1300190 17510 ) M1M2_PR
+    NEW met1 ( 1300190 1652570 ) M1M2_PR
+    NEW met1 ( 1303410 1652570 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[35] ( PIN la_oenb[35] ) ( mprj la_oenb[35] ) 
+  + ROUTED met2 ( 1262010 2380 0 ) ( 1262010 17850 )
+    NEW met1 ( 1262010 17850 ) ( 1305250 17850 )
+    NEW met2 ( 1305250 17850 ) ( 1305250 1690140 0 )
+    NEW met1 ( 1262010 17850 ) M1M2_PR
+    NEW met1 ( 1305250 17850 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[36] ( PIN la_oenb[36] ) ( mprj la_oenb[36] ) 
+  + ROUTED met2 ( 1279490 2380 0 ) ( 1279490 19550 )
+    NEW met1 ( 1279490 19550 ) ( 1306170 19550 )
+    NEW met2 ( 1306170 1667700 ) ( 1307090 1667700 )
+    NEW met2 ( 1307090 1667700 ) ( 1307090 1689460 )
+    NEW met2 ( 1307090 1689460 ) ( 1307320 1689460 )
+    NEW met2 ( 1307320 1689460 ) ( 1307320 1690140 0 )
+    NEW met2 ( 1306170 19550 ) ( 1306170 1667700 )
+    NEW met1 ( 1279490 19550 ) M1M2_PR
+    NEW met1 ( 1306170 19550 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[37] ( PIN la_oenb[37] ) ( mprj la_oenb[37] ) 
+  + ROUTED met2 ( 1297430 2380 0 ) ( 1297430 14450 )
+    NEW met1 ( 1297430 14450 ) ( 1307090 14450 )
+    NEW met2 ( 1307090 14450 ) ( 1307090 1656000 )
+    NEW met2 ( 1307090 1656000 ) ( 1308010 1656000 )
+    NEW met2 ( 1308010 1656000 ) ( 1308010 1688270 )
+    NEW met1 ( 1308010 1688270 ) ( 1308470 1688270 )
+    NEW met1 ( 1308470 1688270 ) ( 1308470 1689630 )
+    NEW met1 ( 1308470 1689630 ) ( 1309160 1689630 )
+    NEW met2 ( 1309160 1689630 ) ( 1309160 1690140 0 )
+    NEW met1 ( 1297430 14450 ) M1M2_PR
+    NEW met1 ( 1307090 14450 ) M1M2_PR
+    NEW met1 ( 1308010 1688270 ) M1M2_PR
+    NEW met1 ( 1309160 1689630 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[38] ( PIN la_oenb[38] ) ( mprj la_oenb[38] ) 
+  + ROUTED met2 ( 1314910 2380 0 ) ( 1314910 34500 )
+    NEW met2 ( 1313530 34500 ) ( 1314910 34500 )
+    NEW met2 ( 1311230 1628400 ) ( 1313530 1628400 )
+    NEW met2 ( 1313530 34500 ) ( 1313530 1628400 )
+    NEW met2 ( 1311000 1688780 ) ( 1311230 1688780 )
+    NEW met2 ( 1311000 1688780 ) ( 1311000 1690140 0 )
+    NEW met2 ( 1311230 1628400 ) ( 1311230 1688780 )
++ USE SIGNAL ;
+- la_oenb[39] ( PIN la_oenb[39] ) ( mprj la_oenb[39] ) 
+  + ROUTED met2 ( 1332850 2380 0 ) ( 1332850 17850 )
+    NEW met1 ( 1317210 17850 ) ( 1332850 17850 )
+    NEW met2 ( 1317210 1652570 ) ( 1317670 1652570 )
+    NEW met2 ( 1317210 17850 ) ( 1317210 1652570 )
+    NEW met1 ( 1312610 1677390 ) ( 1317670 1677390 )
+    NEW met2 ( 1312610 1677390 ) ( 1312610 1690140 0 )
+    NEW met2 ( 1317670 1652570 ) ( 1317670 1677390 )
+    NEW met1 ( 1332850 17850 ) M1M2_PR
+    NEW met1 ( 1317210 17850 ) M1M2_PR
+    NEW met1 ( 1317670 1677390 ) M1M2_PR
+    NEW met1 ( 1312610 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[3] ( PIN la_oenb[3] ) ( mprj la_oenb[3] ) 
+  + ROUTED met2 ( 694370 2380 0 ) ( 694370 45390 )
+    NEW met1 ( 1244070 1631490 ) ( 1246830 1631490 )
+    NEW met2 ( 1244070 45390 ) ( 1244070 1631490 )
+    NEW met2 ( 1246830 1631490 ) ( 1246830 1690140 0 )
+    NEW met1 ( 694370 45390 ) ( 1244070 45390 )
+    NEW met1 ( 694370 45390 ) M1M2_PR
+    NEW met1 ( 1244070 45390 ) M1M2_PR
+    NEW met1 ( 1244070 1631490 ) M1M2_PR
+    NEW met1 ( 1246830 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[40] ( PIN la_oenb[40] ) ( mprj la_oenb[40] ) 
+  + ROUTED met2 ( 1350330 2380 0 ) ( 1350330 17850 )
+    NEW met1 ( 1316750 18190 ) ( 1333310 18190 )
+    NEW met1 ( 1333310 17850 ) ( 1333310 18190 )
+    NEW met1 ( 1333310 17850 ) ( 1350330 17850 )
+    NEW met2 ( 1316290 1652570 ) ( 1316750 1652570 )
+    NEW met2 ( 1316750 18190 ) ( 1316750 1652570 )
+    NEW met1 ( 1314450 1677050 ) ( 1316290 1677050 )
+    NEW met2 ( 1314450 1677050 ) ( 1314450 1690140 0 )
+    NEW met2 ( 1316290 1652570 ) ( 1316290 1677050 )
+    NEW met1 ( 1350330 17850 ) M1M2_PR
+    NEW met1 ( 1316750 18190 ) M1M2_PR
+    NEW met1 ( 1316290 1677050 ) M1M2_PR
+    NEW met1 ( 1314450 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[41] ( PIN la_oenb[41] ) ( mprj la_oenb[41] ) 
+  + ROUTED met1 ( 1328710 1549210 ) ( 1366430 1549210 )
+    NEW met2 ( 1328710 1549210 ) ( 1328710 1682150 )
+    NEW met2 ( 1316290 1682150 ) ( 1316290 1690140 0 )
+    NEW met1 ( 1316290 1682150 ) ( 1328710 1682150 )
+    NEW met2 ( 1368270 2380 0 ) ( 1368270 13800 )
+    NEW met2 ( 1366430 13800 ) ( 1368270 13800 )
+    NEW met2 ( 1366430 13800 ) ( 1366430 1549210 )
+    NEW met1 ( 1366430 1549210 ) M1M2_PR
+    NEW met1 ( 1328710 1549210 ) M1M2_PR
+    NEW met1 ( 1328710 1682150 ) M1M2_PR
+    NEW met1 ( 1316290 1682150 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[42] ( PIN la_oenb[42] ) ( mprj la_oenb[42] ) 
+  + ROUTED li1 ( 1365970 1679770 ) ( 1365970 1680450 )
+    NEW met1 ( 1318590 1680450 ) ( 1365970 1680450 )
+    NEW met2 ( 1318590 1680450 ) ( 1318590 1688780 )
+    NEW met2 ( 1318360 1688780 ) ( 1318590 1688780 )
+    NEW met2 ( 1318360 1688780 ) ( 1318360 1690140 0 )
+    NEW met2 ( 1366430 1607700 ) ( 1366890 1607700 )
+    NEW met2 ( 1366890 62100 ) ( 1366890 1607700 )
+    NEW met2 ( 1366890 62100 ) ( 1367350 62100 )
+    NEW met2 ( 1367350 44030 ) ( 1367350 62100 )
+    NEW met1 ( 1367350 44030 ) ( 1385750 44030 )
+    NEW met2 ( 1385750 2380 0 ) ( 1385750 44030 )
+    NEW met1 ( 1365970 1679770 ) ( 1366430 1679770 )
+    NEW met2 ( 1366430 1607700 ) ( 1366430 1679770 )
+    NEW li1 ( 1365970 1679770 ) L1M1_PR_MR
+    NEW li1 ( 1365970 1680450 ) L1M1_PR_MR
+    NEW met1 ( 1318590 1680450 ) M1M2_PR
+    NEW met1 ( 1367350 44030 ) M1M2_PR
+    NEW met1 ( 1385750 44030 ) M1M2_PR
+    NEW met1 ( 1366430 1679770 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[43] ( PIN la_oenb[43] ) ( mprj la_oenb[43] ) 
+  + ROUTED met1 ( 1328250 1459110 ) ( 1400930 1459110 )
+    NEW met2 ( 1403690 2380 0 ) ( 1403690 13800 )
+    NEW met2 ( 1400930 13800 ) ( 1403690 13800 )
+    NEW met2 ( 1400930 13800 ) ( 1400930 1459110 )
+    NEW met2 ( 1320200 1689290 ) ( 1320200 1690140 0 )
+    NEW met1 ( 1320200 1689290 ) ( 1328250 1689290 )
+    NEW li1 ( 1328250 1666170 ) ( 1328250 1689290 )
+    NEW met2 ( 1328250 1459110 ) ( 1328250 1666170 )
+    NEW met1 ( 1328250 1459110 ) M1M2_PR
+    NEW met1 ( 1400930 1459110 ) M1M2_PR
+    NEW met1 ( 1320200 1689290 ) M1M2_PR
+    NEW li1 ( 1328250 1689290 ) L1M1_PR_MR
+    NEW li1 ( 1328250 1666170 ) L1M1_PR_MR
+    NEW met1 ( 1328250 1666170 ) M1M2_PR
+    NEW met1 ( 1328250 1666170 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[44] ( PIN la_oenb[44] ) ( mprj la_oenb[44] ) 
+  + ROUTED met1 ( 1321350 1548870 ) ( 1421630 1548870 )
+    NEW met2 ( 1421630 2380 0 ) ( 1421630 1548870 )
+    NEW met2 ( 1322040 1689630 ) ( 1322040 1690140 0 )
+    NEW met2 ( 1321810 1689630 ) ( 1322040 1689630 )
+    NEW met2 ( 1321810 1653420 ) ( 1321810 1689630 )
+    NEW met2 ( 1321350 1653420 ) ( 1321810 1653420 )
+    NEW met2 ( 1321350 1548870 ) ( 1321350 1653420 )
+    NEW met1 ( 1321350 1548870 ) M1M2_PR
+    NEW met1 ( 1421630 1548870 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[45] ( PIN la_oenb[45] ) ( mprj la_oenb[45] ) 
+  + ROUTED met2 ( 1435430 82800 ) ( 1439110 82800 )
+    NEW met2 ( 1320890 1654100 ) ( 1321350 1654100 )
+    NEW met2 ( 1320890 1590350 ) ( 1320890 1654100 )
+    NEW met1 ( 1321350 1676710 ) ( 1323650 1676710 )
+    NEW met2 ( 1323650 1676710 ) ( 1323650 1690140 0 )
+    NEW met2 ( 1321350 1654100 ) ( 1321350 1676710 )
+    NEW met2 ( 1439110 2380 0 ) ( 1439110 82800 )
+    NEW met1 ( 1320890 1590350 ) ( 1435430 1590350 )
+    NEW met2 ( 1435430 82800 ) ( 1435430 1590350 )
+    NEW met1 ( 1320890 1590350 ) M1M2_PR
+    NEW met1 ( 1321350 1676710 ) M1M2_PR
+    NEW met1 ( 1323650 1676710 ) M1M2_PR
+    NEW met1 ( 1435430 1590350 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[46] ( PIN la_oenb[46] ) ( mprj la_oenb[46] ) 
+  + ROUTED met2 ( 1456130 82800 ) ( 1457050 82800 )
+    NEW met2 ( 1456130 82800 ) ( 1456130 1486990 )
+    NEW met1 ( 1329630 1651890 ) ( 1329630 1653250 )
+    NEW met1 ( 1329630 1651890 ) ( 1330090 1651890 )
+    NEW met2 ( 1330090 1486990 ) ( 1330090 1651890 )
+    NEW met1 ( 1330090 1486990 ) ( 1456130 1486990 )
+    NEW met1 ( 1326410 1676030 ) ( 1329630 1676030 )
+    NEW met1 ( 1326410 1676030 ) ( 1326410 1676710 )
+    NEW met1 ( 1325490 1676710 ) ( 1326410 1676710 )
+    NEW met2 ( 1325490 1676710 ) ( 1325490 1690140 0 )
+    NEW met2 ( 1329630 1653250 ) ( 1329630 1676030 )
+    NEW met2 ( 1457050 2380 0 ) ( 1457050 82800 )
+    NEW met1 ( 1456130 1486990 ) M1M2_PR
+    NEW met1 ( 1329630 1653250 ) M1M2_PR
+    NEW met1 ( 1330090 1651890 ) M1M2_PR
+    NEW met1 ( 1330090 1486990 ) M1M2_PR
+    NEW met1 ( 1329630 1676030 ) M1M2_PR
+    NEW met1 ( 1325490 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[47] ( PIN la_oenb[47] ) ( mprj la_oenb[47] ) 
+  + ROUTED met2 ( 1469930 82800 ) ( 1474530 82800 )
+    NEW met2 ( 1474530 2380 0 ) ( 1474530 82800 )
+    NEW met2 ( 1469930 82800 ) ( 1469930 1341810 )
+    NEW met1 ( 1330550 1341810 ) ( 1469930 1341810 )
+    NEW met1 ( 1327330 1677050 ) ( 1330550 1677050 )
+    NEW met2 ( 1327330 1677050 ) ( 1327330 1690140 0 )
+    NEW met2 ( 1330550 1341810 ) ( 1330550 1677050 )
+    NEW met1 ( 1469930 1341810 ) M1M2_PR
+    NEW met1 ( 1330550 1341810 ) M1M2_PR
+    NEW met1 ( 1330550 1677050 ) M1M2_PR
+    NEW met1 ( 1327330 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[48] ( PIN la_oenb[48] ) ( mprj la_oenb[48] ) 
+  + ROUTED met2 ( 1490630 82800 ) ( 1492470 82800 )
+    NEW met2 ( 1492470 2380 0 ) ( 1492470 82800 )
+    NEW met2 ( 1490630 82800 ) ( 1490630 1654950 )
+    NEW met1 ( 1329630 1676710 ) ( 1331470 1676710 )
+    NEW met2 ( 1329630 1676710 ) ( 1329630 1688780 )
+    NEW met2 ( 1329400 1688780 ) ( 1329630 1688780 )
+    NEW met2 ( 1329400 1688780 ) ( 1329400 1690140 0 )
+    NEW met2 ( 1331470 1654950 ) ( 1331470 1676710 )
+    NEW met1 ( 1331470 1654950 ) ( 1490630 1654950 )
+    NEW met1 ( 1331470 1654950 ) M1M2_PR
+    NEW met1 ( 1490630 1654950 ) M1M2_PR
+    NEW met1 ( 1331470 1676710 ) M1M2_PR
+    NEW met1 ( 1329630 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[49] ( PIN la_oenb[49] ) ( mprj la_oenb[49] ) 
+  + ROUTED met2 ( 1504430 82800 ) ( 1509950 82800 )
+    NEW met2 ( 1509950 2380 0 ) ( 1509950 82800 )
+    NEW met2 ( 1504430 82800 ) ( 1504430 1641010 )
+    NEW met1 ( 1325950 1641010 ) ( 1504430 1641010 )
+    NEW met2 ( 1331240 1689630 ) ( 1331240 1690140 0 )
+    NEW met1 ( 1325950 1689630 ) ( 1331240 1689630 )
+    NEW met2 ( 1325950 1641010 ) ( 1325950 1689630 )
+    NEW met1 ( 1325950 1641010 ) M1M2_PR
+    NEW met1 ( 1504430 1641010 ) M1M2_PR
+    NEW met1 ( 1331240 1689630 ) M1M2_PR
+    NEW met1 ( 1325950 1689630 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[4] ( PIN la_oenb[4] ) ( mprj la_oenb[4] ) 
+  + ROUTED met2 ( 712310 2380 0 ) ( 712310 45730 )
+    NEW met1 ( 1244990 1631150 ) ( 1248210 1631150 )
+    NEW met2 ( 1244990 45730 ) ( 1244990 1631150 )
+    NEW met2 ( 1248210 1690140 ) ( 1248670 1690140 0 )
+    NEW met2 ( 1248210 1631150 ) ( 1248210 1690140 )
+    NEW met1 ( 712310 45730 ) ( 1244990 45730 )
+    NEW met1 ( 1244990 45730 ) M1M2_PR
+    NEW met1 ( 712310 45730 ) M1M2_PR
+    NEW met1 ( 1244990 1631150 ) M1M2_PR
+    NEW met1 ( 1248210 1631150 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[50] ( PIN la_oenb[50] ) ( mprj la_oenb[50] ) 
+  + ROUTED met2 ( 1525130 82800 ) ( 1527890 82800 )
+    NEW met2 ( 1527890 2380 0 ) ( 1527890 82800 )
+    NEW met2 ( 1525130 82800 ) ( 1525130 1647470 )
+    NEW met2 ( 1333080 1689630 ) ( 1333080 1690140 0 )
+    NEW met2 ( 1332850 1689630 ) ( 1333080 1689630 )
+    NEW met2 ( 1332850 1647470 ) ( 1332850 1689630 )
+    NEW met1 ( 1332850 1647470 ) ( 1525130 1647470 )
+    NEW met1 ( 1525130 1647470 ) M1M2_PR
+    NEW met1 ( 1332850 1647470 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[51] ( PIN la_oenb[51] ) ( mprj la_oenb[51] ) 
+  + ROUTED met2 ( 1545370 2380 0 ) ( 1545370 21250 )
+    NEW met1 ( 1542150 21250 ) ( 1545370 21250 )
+    NEW met2 ( 1542150 21250 ) ( 1542150 1612450 )
+    NEW met2 ( 1335610 1612450 ) ( 1335610 1656000 )
+    NEW met2 ( 1335150 1656000 ) ( 1335610 1656000 )
+    NEW met2 ( 1335150 1656000 ) ( 1335150 1690140 )
+    NEW met2 ( 1334690 1690140 0 ) ( 1335150 1690140 )
+    NEW met1 ( 1335610 1612450 ) ( 1542150 1612450 )
+    NEW met1 ( 1545370 21250 ) M1M2_PR
+    NEW met1 ( 1542150 21250 ) M1M2_PR
+    NEW met1 ( 1542150 1612450 ) M1M2_PR
+    NEW met1 ( 1335610 1612450 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[52] ( PIN la_oenb[52] ) ( mprj la_oenb[52] ) 
+  + ROUTED met2 ( 1559630 82800 ) ( 1563310 82800 )
+    NEW met2 ( 1563310 2380 0 ) ( 1563310 82800 )
+    NEW met2 ( 1559630 82800 ) ( 1559630 1592390 )
+    NEW met2 ( 1336530 1592390 ) ( 1336530 1690140 0 )
+    NEW met1 ( 1336530 1592390 ) ( 1559630 1592390 )
+    NEW met1 ( 1559630 1592390 ) M1M2_PR
+    NEW met1 ( 1336530 1592390 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[53] ( PIN la_oenb[53] ) ( mprj la_oenb[53] ) 
+  + ROUTED met1 ( 1336990 1652570 ) ( 1338370 1652570 )
+    NEW met2 ( 1336990 1578450 ) ( 1336990 1652570 )
+    NEW met2 ( 1580790 82800 ) ( 1581250 82800 )
+    NEW met2 ( 1581250 2380 0 ) ( 1581250 82800 )
+    NEW met2 ( 1580790 82800 ) ( 1580790 1578450 )
+    NEW met2 ( 1338370 1652570 ) ( 1338370 1690140 0 )
+    NEW met1 ( 1336990 1578450 ) ( 1580790 1578450 )
+    NEW met1 ( 1336990 1578450 ) M1M2_PR
+    NEW met1 ( 1336990 1652570 ) M1M2_PR
+    NEW met1 ( 1338370 1652570 ) M1M2_PR
+    NEW met1 ( 1580790 1578450 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[54] ( PIN la_oenb[54] ) ( mprj la_oenb[54] ) 
+  + ROUTED met2 ( 1594130 82800 ) ( 1598730 82800 )
+    NEW met2 ( 1598730 2380 0 ) ( 1598730 82800 )
+    NEW met2 ( 1594130 82800 ) ( 1594130 1668550 )
+    NEW met2 ( 1340210 1668550 ) ( 1340210 1689460 )
+    NEW met2 ( 1340210 1689460 ) ( 1340440 1689460 )
+    NEW met2 ( 1340440 1689460 ) ( 1340440 1690140 0 )
+    NEW met1 ( 1340210 1668550 ) ( 1594130 1668550 )
+    NEW met1 ( 1594130 1668550 ) M1M2_PR
+    NEW met1 ( 1340210 1668550 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[55] ( PIN la_oenb[55] ) ( mprj la_oenb[55] ) 
+  + ROUTED met2 ( 1614830 82800 ) ( 1616670 82800 )
+    NEW met2 ( 1616670 2380 0 ) ( 1616670 82800 )
+    NEW met2 ( 1614830 82800 ) ( 1614830 1640670 )
+    NEW met1 ( 1345270 1640670 ) ( 1614830 1640670 )
+    NEW met2 ( 1342280 1688780 ) ( 1342280 1690140 0 )
+    NEW met2 ( 1342280 1688780 ) ( 1342510 1688780 )
+    NEW met2 ( 1342510 1666510 ) ( 1342510 1688780 )
+    NEW met1 ( 1342510 1666510 ) ( 1345270 1666510 )
+    NEW met2 ( 1345270 1640670 ) ( 1345270 1666510 )
+    NEW met1 ( 1345270 1640670 ) M1M2_PR
+    NEW met1 ( 1614830 1640670 ) M1M2_PR
+    NEW met1 ( 1342510 1666510 ) M1M2_PR
+    NEW met1 ( 1345270 1666510 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[56] ( PIN la_oenb[56] ) ( mprj la_oenb[56] ) 
+  + ROUTED met2 ( 1628630 82800 ) ( 1634150 82800 )
+    NEW met2 ( 1634150 2380 0 ) ( 1634150 82800 )
+    NEW met2 ( 1628630 82800 ) ( 1628630 1584230 )
+    NEW met1 ( 1342050 1584230 ) ( 1628630 1584230 )
+    NEW met2 ( 1344120 1689630 ) ( 1344120 1690140 0 )
+    NEW met2 ( 1343890 1689630 ) ( 1344120 1689630 )
+    NEW met2 ( 1343890 1666170 ) ( 1343890 1689630 )
+    NEW met1 ( 1342050 1666170 ) ( 1343890 1666170 )
+    NEW met2 ( 1342050 1584230 ) ( 1342050 1666170 )
+    NEW met1 ( 1342050 1584230 ) M1M2_PR
+    NEW met1 ( 1628630 1584230 ) M1M2_PR
+    NEW met1 ( 1343890 1666170 ) M1M2_PR
+    NEW met1 ( 1342050 1666170 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[57] ( PIN la_oenb[57] ) ( mprj la_oenb[57] ) 
+  + ROUTED met2 ( 1649330 82800 ) ( 1652090 82800 )
+    NEW met2 ( 1652090 2380 0 ) ( 1652090 82800 )
+    NEW met2 ( 1649330 82800 ) ( 1649330 1660730 )
+    NEW met2 ( 1345730 1660730 ) ( 1345730 1690140 0 )
+    NEW met1 ( 1345730 1660730 ) ( 1649330 1660730 )
+    NEW met1 ( 1649330 1660730 ) M1M2_PR
+    NEW met1 ( 1345730 1660730 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[58] ( PIN la_oenb[58] ) ( mprj la_oenb[58] ) 
+  + ROUTED met2 ( 1669570 2380 0 ) ( 1669570 21250 )
+    NEW met1 ( 1663130 21250 ) ( 1669570 21250 )
+    NEW met2 ( 1663130 21250 ) ( 1663130 1647130 )
+    NEW met2 ( 1352170 1676030 ) ( 1352630 1676030 )
+    NEW met2 ( 1352630 1676030 ) ( 1352630 1678750 )
+    NEW met1 ( 1347570 1678750 ) ( 1352630 1678750 )
+    NEW met2 ( 1347570 1678750 ) ( 1347570 1690140 0 )
+    NEW met2 ( 1352170 1647130 ) ( 1352170 1676030 )
+    NEW met1 ( 1352170 1647130 ) ( 1663130 1647130 )
+    NEW met1 ( 1352170 1647130 ) M1M2_PR
+    NEW met1 ( 1669570 21250 ) M1M2_PR
+    NEW met1 ( 1663130 21250 ) M1M2_PR
+    NEW met1 ( 1663130 1647130 ) M1M2_PR
+    NEW met1 ( 1352630 1678750 ) M1M2_PR
+    NEW met1 ( 1347570 1678750 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[59] ( PIN la_oenb[59] ) ( mprj la_oenb[59] ) 
+  + ROUTED met2 ( 1683830 82800 ) ( 1687510 82800 )
+    NEW met2 ( 1687510 2380 0 ) ( 1687510 82800 )
+    NEW met2 ( 1683830 82800 ) ( 1683830 1619590 )
+    NEW met1 ( 1347110 1677390 ) ( 1349410 1677390 )
+    NEW met2 ( 1349410 1677390 ) ( 1349410 1690140 0 )
+    NEW met2 ( 1347110 1619590 ) ( 1347110 1677390 )
+    NEW met1 ( 1347110 1619590 ) ( 1683830 1619590 )
+    NEW met1 ( 1347110 1619590 ) M1M2_PR
+    NEW met1 ( 1683830 1619590 ) M1M2_PR
+    NEW met1 ( 1347110 1677390 ) M1M2_PR
+    NEW met1 ( 1349410 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[5] ( PIN la_oenb[5] ) ( mprj la_oenb[5] ) 
+  + ROUTED met2 ( 729790 2380 0 ) ( 729790 9860 )
+    NEW met2 ( 728870 9860 ) ( 729790 9860 )
+    NEW met2 ( 728870 9860 ) ( 728870 46750 )
+    NEW met2 ( 1250050 1690140 0 ) ( 1250510 1690140 )
+    NEW met1 ( 728870 46750 ) ( 1250970 46750 )
+    NEW met2 ( 1250050 1633700 ) ( 1250510 1633700 )
+    NEW met2 ( 1250050 1631660 ) ( 1250050 1633700 )
+    NEW met2 ( 1250050 1631660 ) ( 1250970 1631660 )
+    NEW met2 ( 1250510 1633700 ) ( 1250510 1690140 )
+    NEW met2 ( 1250970 46750 ) ( 1250970 1631660 )
+    NEW met1 ( 1250970 46750 ) M1M2_PR
+    NEW met1 ( 728870 46750 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[60] ( PIN la_oenb[60] ) ( mprj la_oenb[60] ) 
+  + ROUTED met2 ( 1704530 82800 ) ( 1704990 82800 )
+    NEW met2 ( 1704990 2380 0 ) ( 1704990 82800 )
+    NEW met2 ( 1704530 82800 ) ( 1704530 1654610 )
+    NEW met2 ( 1351250 1689460 ) ( 1351480 1689460 )
+    NEW met2 ( 1351480 1689460 ) ( 1351480 1690140 0 )
+    NEW met2 ( 1351250 1654610 ) ( 1351250 1689460 )
+    NEW met1 ( 1351250 1654610 ) ( 1704530 1654610 )
+    NEW met1 ( 1351250 1654610 ) M1M2_PR
+    NEW met1 ( 1704530 1654610 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[61] ( PIN la_oenb[61] ) ( mprj la_oenb[61] ) 
+  + ROUTED met2 ( 1718330 82800 ) ( 1722930 82800 )
+    NEW met2 ( 1722930 2380 0 ) ( 1722930 82800 )
+    NEW met2 ( 1718330 82800 ) ( 1718330 1625710 )
+    NEW met1 ( 1358610 1625710 ) ( 1718330 1625710 )
+    NEW met2 ( 1353320 1689290 ) ( 1353320 1690140 0 )
+    NEW met1 ( 1353320 1689290 ) ( 1358610 1689290 )
+    NEW li1 ( 1358610 1650530 ) ( 1358610 1689290 )
+    NEW met2 ( 1358610 1625710 ) ( 1358610 1650530 )
+    NEW met1 ( 1358610 1625710 ) M1M2_PR
+    NEW met1 ( 1718330 1625710 ) M1M2_PR
+    NEW met1 ( 1353320 1689290 ) M1M2_PR
+    NEW li1 ( 1358610 1689290 ) L1M1_PR_MR
+    NEW li1 ( 1358610 1650530 ) L1M1_PR_MR
+    NEW met1 ( 1358610 1650530 ) M1M2_PR
+    NEW met1 ( 1358610 1650530 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[62] ( PIN la_oenb[62] ) ( mprj la_oenb[62] ) 
+  + ROUTED met2 ( 1739030 82800 ) ( 1740410 82800 )
+    NEW met2 ( 1740410 2380 0 ) ( 1740410 82800 )
+    NEW met2 ( 1739030 82800 ) ( 1739030 1592050 )
+    NEW met1 ( 1354930 1592050 ) ( 1739030 1592050 )
+    NEW met2 ( 1355160 1688780 ) ( 1355160 1690140 0 )
+    NEW met2 ( 1354930 1688780 ) ( 1355160 1688780 )
+    NEW met2 ( 1354930 1592050 ) ( 1354930 1688780 )
+    NEW met1 ( 1354930 1592050 ) M1M2_PR
+    NEW met1 ( 1739030 1592050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[63] ( PIN la_oenb[63] ) ( mprj la_oenb[63] ) 
+  + ROUTED met2 ( 1752830 82800 ) ( 1758350 82800 )
+    NEW met2 ( 1758350 2380 0 ) ( 1758350 82800 )
+    NEW met2 ( 1752830 82800 ) ( 1752830 1570630 )
+    NEW met1 ( 1355390 1677050 ) ( 1356770 1677050 )
+    NEW met2 ( 1356770 1677050 ) ( 1356770 1690140 0 )
+    NEW met2 ( 1355390 1570630 ) ( 1355390 1677050 )
+    NEW met1 ( 1355390 1570630 ) ( 1752830 1570630 )
+    NEW met1 ( 1355390 1570630 ) M1M2_PR
+    NEW met1 ( 1752830 1570630 ) M1M2_PR
+    NEW met1 ( 1355390 1677050 ) M1M2_PR
+    NEW met1 ( 1356770 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[64] ( PIN la_oenb[64] ) ( mprj la_oenb[64] ) 
+  + ROUTED met2 ( 1353090 1628400 ) ( 1354010 1628400 )
+    NEW met2 ( 1354010 1515550 ) ( 1354010 1628400 )
+    NEW met2 ( 1773530 82800 ) ( 1776290 82800 )
+    NEW met2 ( 1776290 2380 0 ) ( 1776290 82800 )
+    NEW met1 ( 1354010 1515550 ) ( 1773530 1515550 )
+    NEW met2 ( 1773530 82800 ) ( 1773530 1515550 )
+    NEW met2 ( 1353090 1628400 ) ( 1353090 1656000 )
+    NEW met2 ( 1353090 1656000 ) ( 1353550 1656000 )
+    NEW met2 ( 1353550 1656000 ) ( 1353550 1677050 )
+    NEW li1 ( 1353550 1677050 ) ( 1353550 1679770 )
+    NEW met1 ( 1353550 1679770 ) ( 1358610 1679770 )
+    NEW met2 ( 1358610 1679770 ) ( 1358610 1690140 0 )
+    NEW met1 ( 1354010 1515550 ) M1M2_PR
+    NEW met1 ( 1773530 1515550 ) M1M2_PR
+    NEW li1 ( 1353550 1677050 ) L1M1_PR_MR
+    NEW met1 ( 1353550 1677050 ) M1M2_PR
+    NEW li1 ( 1353550 1679770 ) L1M1_PR_MR
+    NEW met1 ( 1358610 1679770 ) M1M2_PR
+    NEW met1 ( 1353550 1677050 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[65] ( PIN la_oenb[65] ) ( mprj la_oenb[65] ) 
+  + ROUTED met2 ( 1793770 2380 0 ) ( 1793770 21250 )
+    NEW met1 ( 1787330 21250 ) ( 1793770 21250 )
+    NEW met2 ( 1787330 21250 ) ( 1787330 1668210 )
+    NEW met2 ( 1365970 1668210 ) ( 1365970 1676030 )
+    NEW met1 ( 1365510 1676030 ) ( 1365970 1676030 )
+    NEW li1 ( 1365510 1676030 ) ( 1365510 1679770 )
+    NEW met1 ( 1360450 1679770 ) ( 1365510 1679770 )
+    NEW met2 ( 1360450 1679770 ) ( 1360450 1690140 0 )
+    NEW met1 ( 1365970 1668210 ) ( 1787330 1668210 )
+    NEW met1 ( 1793770 21250 ) M1M2_PR
+    NEW met1 ( 1787330 21250 ) M1M2_PR
+    NEW met1 ( 1787330 1668210 ) M1M2_PR
+    NEW met1 ( 1365970 1668210 ) M1M2_PR
+    NEW met1 ( 1365970 1676030 ) M1M2_PR
+    NEW li1 ( 1365510 1676030 ) L1M1_PR_MR
+    NEW li1 ( 1365510 1679770 ) L1M1_PR_MR
+    NEW met1 ( 1360450 1679770 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[66] ( PIN la_oenb[66] ) ( mprj la_oenb[66] ) 
+  + ROUTED met2 ( 1811710 2380 0 ) ( 1811710 9860 )
+    NEW met2 ( 1808490 9860 ) ( 1811710 9860 )
+    NEW met2 ( 1808030 82800 ) ( 1808490 82800 )
+    NEW met2 ( 1808490 9860 ) ( 1808490 82800 )
+    NEW met1 ( 1363670 1508070 ) ( 1808030 1508070 )
+    NEW met2 ( 1808030 82800 ) ( 1808030 1508070 )
+    NEW met1 ( 1362290 1676710 ) ( 1363670 1676710 )
+    NEW met2 ( 1362290 1676710 ) ( 1362290 1689460 )
+    NEW met2 ( 1362290 1689460 ) ( 1362520 1689460 )
+    NEW met2 ( 1362520 1689460 ) ( 1362520 1690140 0 )
+    NEW met2 ( 1363670 1508070 ) ( 1363670 1676710 )
+    NEW met1 ( 1363670 1508070 ) M1M2_PR
+    NEW met1 ( 1808030 1508070 ) M1M2_PR
+    NEW met1 ( 1363670 1676710 ) M1M2_PR
+    NEW met1 ( 1362290 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[67] ( PIN la_oenb[67] ) ( mprj la_oenb[67] ) 
+  + ROUTED met2 ( 1828730 82800 ) ( 1829190 82800 )
+    NEW met2 ( 1829190 2380 0 ) ( 1829190 82800 )
+    NEW met2 ( 1828730 82800 ) ( 1828730 1578110 )
+    NEW met1 ( 1363210 1578110 ) ( 1828730 1578110 )
+    NEW met2 ( 1364360 1689460 ) ( 1364360 1690140 0 )
+    NEW met2 ( 1364130 1689460 ) ( 1364360 1689460 )
+    NEW met2 ( 1364130 1653250 ) ( 1364130 1689460 )
+    NEW met1 ( 1363210 1653250 ) ( 1364130 1653250 )
+    NEW met2 ( 1363210 1578110 ) ( 1363210 1653250 )
+    NEW met1 ( 1363210 1578110 ) M1M2_PR
+    NEW met1 ( 1828730 1578110 ) M1M2_PR
+    NEW met1 ( 1364130 1653250 ) M1M2_PR
+    NEW met1 ( 1363210 1653250 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[68] ( PIN la_oenb[68] ) ( mprj la_oenb[68] ) 
+  + ROUTED met2 ( 1364130 1652740 ) ( 1364590 1652740 )
+    NEW met2 ( 1364130 1493790 ) ( 1364130 1652740 )
+    NEW met2 ( 1842530 82800 ) ( 1847130 82800 )
+    NEW met2 ( 1847130 2380 0 ) ( 1847130 82800 )
+    NEW met2 ( 1842530 82800 ) ( 1842530 1493790 )
+    NEW met1 ( 1364130 1493790 ) ( 1842530 1493790 )
+    NEW met1 ( 1364590 1676710 ) ( 1365970 1676710 )
+    NEW met2 ( 1365970 1676710 ) ( 1365970 1690140 )
+    NEW met2 ( 1365740 1690140 0 ) ( 1365970 1690140 )
+    NEW met2 ( 1364590 1652740 ) ( 1364590 1676710 )
+    NEW met1 ( 1364130 1493790 ) M1M2_PR
+    NEW met1 ( 1842530 1493790 ) M1M2_PR
+    NEW met1 ( 1364590 1676710 ) M1M2_PR
+    NEW met1 ( 1365970 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[69] ( PIN la_oenb[69] ) ( mprj la_oenb[69] ) 
+  + ROUTED met2 ( 1863230 82800 ) ( 1864610 82800 )
+    NEW met2 ( 1864610 2380 0 ) ( 1864610 82800 )
+    NEW met2 ( 1863230 82800 ) ( 1863230 1501950 )
+    NEW met1 ( 1370570 1501950 ) ( 1863230 1501950 )
+    NEW met1 ( 1368270 1672290 ) ( 1370570 1672290 )
+    NEW met2 ( 1368270 1672290 ) ( 1368270 1690140 )
+    NEW met2 ( 1367810 1690140 0 ) ( 1368270 1690140 )
+    NEW met2 ( 1370570 1501950 ) ( 1370570 1672290 )
+    NEW met1 ( 1370570 1501950 ) M1M2_PR
+    NEW met1 ( 1863230 1501950 ) M1M2_PR
+    NEW met1 ( 1370570 1672290 ) M1M2_PR
+    NEW met1 ( 1368270 1672290 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[6] ( PIN la_oenb[6] ) ( mprj la_oenb[6] ) 
+  + ROUTED met2 ( 747730 2380 0 ) ( 747730 47090 )
+    NEW met2 ( 1251430 1631660 ) ( 1251890 1631660 )
+    NEW met2 ( 1251430 47090 ) ( 1251430 1631660 )
+    NEW met2 ( 1251890 1689460 ) ( 1252120 1689460 )
+    NEW met2 ( 1252120 1689460 ) ( 1252120 1690140 0 )
+    NEW met2 ( 1251890 1631660 ) ( 1251890 1689460 )
+    NEW met1 ( 747730 47090 ) ( 1251430 47090 )
+    NEW met1 ( 1251430 47090 ) M1M2_PR
+    NEW met1 ( 747730 47090 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[70] ( PIN la_oenb[70] ) ( mprj la_oenb[70] ) 
+  + ROUTED met1 ( 1371490 1370030 ) ( 1877030 1370030 )
+    NEW met2 ( 1877030 82800 ) ( 1882550 82800 )
+    NEW met2 ( 1882550 2380 0 ) ( 1882550 82800 )
+    NEW met2 ( 1877030 82800 ) ( 1877030 1370030 )
+    NEW met1 ( 1369650 1676710 ) ( 1371490 1676710 )
+    NEW met2 ( 1369650 1676710 ) ( 1369650 1690140 0 )
+    NEW met2 ( 1371490 1370030 ) ( 1371490 1676710 )
+    NEW met1 ( 1371490 1370030 ) M1M2_PR
+    NEW met1 ( 1877030 1370030 ) M1M2_PR
+    NEW met1 ( 1371490 1676710 ) M1M2_PR
+    NEW met1 ( 1369650 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[71] ( PIN la_oenb[71] ) ( mprj la_oenb[71] ) 
+  + ROUTED met2 ( 1900030 2380 0 ) ( 1900030 12580 )
+    NEW met2 ( 1898650 12580 ) ( 1900030 12580 )
+    NEW met1 ( 1367350 224230 ) ( 1897730 224230 )
+    NEW met2 ( 1897730 82800 ) ( 1898650 82800 )
+    NEW met2 ( 1898650 12580 ) ( 1898650 82800 )
+    NEW met2 ( 1897730 82800 ) ( 1897730 224230 )
+    NEW met1 ( 1367350 1631150 ) ( 1368270 1631150 )
+    NEW met2 ( 1367350 224230 ) ( 1367350 1631150 )
+    NEW met2 ( 1368270 1671780 ) ( 1368730 1671780 )
+    NEW met2 ( 1368730 1671780 ) ( 1368730 1677730 )
+    NEW met1 ( 1368730 1677730 ) ( 1371490 1677730 )
+    NEW met2 ( 1371490 1677730 ) ( 1371490 1690140 0 )
+    NEW met2 ( 1368270 1631150 ) ( 1368270 1671780 )
+    NEW met1 ( 1367350 224230 ) M1M2_PR
+    NEW met1 ( 1897730 224230 ) M1M2_PR
+    NEW met1 ( 1367350 1631150 ) M1M2_PR
+    NEW met1 ( 1368270 1631150 ) M1M2_PR
+    NEW met1 ( 1368730 1677730 ) M1M2_PR
+    NEW met1 ( 1371490 1677730 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[72] ( PIN la_oenb[72] ) ( mprj la_oenb[72] ) 
+  + ROUTED met2 ( 1917970 2380 0 ) ( 1917970 21250 )
+    NEW met1 ( 1911530 21250 ) ( 1917970 21250 )
+    NEW met1 ( 1377930 1488350 ) ( 1911530 1488350 )
+    NEW met2 ( 1911530 21250 ) ( 1911530 1488350 )
+    NEW met1 ( 1377930 1676710 ) ( 1377930 1677050 )
+    NEW met1 ( 1373330 1677050 ) ( 1377930 1677050 )
+    NEW met2 ( 1373330 1677050 ) ( 1373330 1690140 )
+    NEW met2 ( 1373330 1690140 ) ( 1373560 1690140 0 )
+    NEW met2 ( 1377930 1488350 ) ( 1377930 1676710 )
+    NEW met1 ( 1377930 1488350 ) M1M2_PR
+    NEW met1 ( 1917970 21250 ) M1M2_PR
+    NEW met1 ( 1911530 21250 ) M1M2_PR
+    NEW met1 ( 1911530 1488350 ) M1M2_PR
+    NEW met1 ( 1377930 1676710 ) M1M2_PR
+    NEW met1 ( 1373330 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[73] ( PIN la_oenb[73] ) ( mprj la_oenb[73] ) 
+  + ROUTED met2 ( 1378850 1376830 ) ( 1378850 1580100 )
+    NEW met2 ( 1378850 1580100 ) ( 1379310 1580100 )
+    NEW met2 ( 1932230 82800 ) ( 1935910 82800 )
+    NEW met2 ( 1935910 2380 0 ) ( 1935910 82800 )
+    NEW met2 ( 1932230 82800 ) ( 1932230 1376830 )
+    NEW met1 ( 1378850 1376830 ) ( 1932230 1376830 )
+    NEW met2 ( 1375400 1689460 ) ( 1375400 1690140 0 )
+    NEW met2 ( 1375170 1689460 ) ( 1375400 1689460 )
+    NEW met2 ( 1375170 1666170 ) ( 1375170 1689460 )
+    NEW met1 ( 1375170 1666170 ) ( 1379310 1666170 )
+    NEW met2 ( 1379310 1580100 ) ( 1379310 1666170 )
+    NEW met1 ( 1378850 1376830 ) M1M2_PR
+    NEW met1 ( 1932230 1376830 ) M1M2_PR
+    NEW met1 ( 1375170 1666170 ) M1M2_PR
+    NEW met1 ( 1379310 1666170 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[74] ( PIN la_oenb[74] ) ( mprj la_oenb[74] ) 
+  + ROUTED met2 ( 1953390 2380 0 ) ( 1953390 1356090 )
+    NEW met1 ( 1376550 1356090 ) ( 1953390 1356090 )
+    NEW met2 ( 1376550 1677900 ) ( 1377010 1677900 )
+    NEW met2 ( 1377010 1677900 ) ( 1377010 1690140 )
+    NEW met2 ( 1376780 1690140 0 ) ( 1377010 1690140 )
+    NEW met2 ( 1376550 1356090 ) ( 1376550 1677900 )
+    NEW met1 ( 1376550 1356090 ) M1M2_PR
+    NEW met1 ( 1953390 1356090 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[75] ( PIN la_oenb[75] ) ( mprj la_oenb[75] ) 
+  + ROUTED met1 ( 1378390 1473730 ) ( 1966730 1473730 )
+    NEW met2 ( 1966730 82800 ) ( 1971330 82800 )
+    NEW met2 ( 1971330 2380 0 ) ( 1971330 82800 )
+    NEW met2 ( 1966730 82800 ) ( 1966730 1473730 )
+    NEW met2 ( 1378390 1473730 ) ( 1378390 1656000 )
+    NEW met2 ( 1378390 1656000 ) ( 1378850 1656000 )
+    NEW met2 ( 1378850 1656000 ) ( 1378850 1690140 0 )
+    NEW met1 ( 1378390 1473730 ) M1M2_PR
+    NEW met1 ( 1966730 1473730 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[76] ( PIN la_oenb[76] ) ( mprj la_oenb[76] ) 
+  + ROUTED met2 ( 1987430 82800 ) ( 1988810 82800 )
+    NEW met2 ( 1988810 2380 0 ) ( 1988810 82800 )
+    NEW met2 ( 1987430 82800 ) ( 1987430 1563150 )
+    NEW met1 ( 1382990 1563150 ) ( 1987430 1563150 )
+    NEW met1 ( 1380690 1631490 ) ( 1382990 1631490 )
+    NEW met2 ( 1382990 1563150 ) ( 1382990 1631490 )
+    NEW met2 ( 1380690 1631490 ) ( 1380690 1690140 0 )
+    NEW met1 ( 1382990 1563150 ) M1M2_PR
+    NEW met1 ( 1987430 1563150 ) M1M2_PR
+    NEW met1 ( 1380690 1631490 ) M1M2_PR
+    NEW met1 ( 1382990 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[77] ( PIN la_oenb[77] ) ( mprj la_oenb[77] ) 
+  + ROUTED met1 ( 1383450 1549550 ) ( 2001230 1549550 )
+    NEW met2 ( 2001230 82800 ) ( 2006750 82800 )
+    NEW met2 ( 2006750 2380 0 ) ( 2006750 82800 )
+    NEW met2 ( 2001230 82800 ) ( 2001230 1549550 )
+    NEW met2 ( 1383450 1549550 ) ( 1383450 1656000 )
+    NEW met2 ( 1382530 1656000 ) ( 1383450 1656000 )
+    NEW met2 ( 1382530 1656000 ) ( 1382530 1690140 0 )
+    NEW met1 ( 1383450 1549550 ) M1M2_PR
+    NEW met1 ( 2001230 1549550 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[78] ( PIN la_oenb[78] ) ( mprj la_oenb[78] ) 
+  + ROUTED met2 ( 2021930 82800 ) ( 2024230 82800 )
+    NEW met2 ( 2024230 2380 0 ) ( 2024230 82800 )
+    NEW met2 ( 2021930 82800 ) ( 2021930 1349630 )
+    NEW met1 ( 1383910 1349630 ) ( 2021930 1349630 )
+    NEW met2 ( 1383910 1690140 ) ( 1384600 1690140 0 )
+    NEW met2 ( 1383910 1349630 ) ( 1383910 1690140 )
+    NEW met1 ( 1383910 1349630 ) M1M2_PR
+    NEW met1 ( 2021930 1349630 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[79] ( PIN la_oenb[79] ) ( mprj la_oenb[79] ) 
+  + ROUTED met2 ( 2042170 2380 0 ) ( 2042170 17510 )
+    NEW met2 ( 1382070 1631660 ) ( 1382530 1631660 )
+    NEW met2 ( 1382530 17510 ) ( 1382530 1631660 )
+    NEW met1 ( 1382530 17510 ) ( 2042170 17510 )
+    NEW met2 ( 1386440 1689460 ) ( 1386440 1690140 0 )
+    NEW met2 ( 1386210 1689460 ) ( 1386440 1689460 )
+    NEW met2 ( 1386210 1666170 ) ( 1386210 1689460 )
+    NEW met1 ( 1382070 1666170 ) ( 1386210 1666170 )
+    NEW met2 ( 1382070 1631660 ) ( 1382070 1666170 )
+    NEW met1 ( 2042170 17510 ) M1M2_PR
+    NEW met1 ( 1382530 17510 ) M1M2_PR
+    NEW met1 ( 1386210 1666170 ) M1M2_PR
+    NEW met1 ( 1382070 1666170 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[7] ( PIN la_oenb[7] ) ( mprj la_oenb[7] ) 
+  + ROUTED met2 ( 765210 2380 0 ) ( 765210 47430 )
+    NEW met2 ( 1251890 1630980 ) ( 1252350 1630980 )
+    NEW met2 ( 1251890 47430 ) ( 1251890 1630980 )
+    NEW met1 ( 1252350 1672290 ) ( 1254190 1672290 )
+    NEW met2 ( 1254190 1672290 ) ( 1254190 1689460 )
+    NEW met2 ( 1253960 1689460 ) ( 1254190 1689460 )
+    NEW met2 ( 1253960 1689460 ) ( 1253960 1690140 0 )
+    NEW met2 ( 1252350 1630980 ) ( 1252350 1672290 )
+    NEW met1 ( 765210 47430 ) ( 1251890 47430 )
+    NEW met1 ( 765210 47430 ) M1M2_PR
+    NEW met1 ( 1251890 47430 ) M1M2_PR
+    NEW met1 ( 1252350 1672290 ) M1M2_PR
+    NEW met1 ( 1254190 1672290 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[80] ( PIN la_oenb[80] ) ( mprj la_oenb[80] ) 
+  + ROUTED met2 ( 2059650 2380 0 ) ( 2059650 17170 )
+    NEW li1 ( 1421170 15130 ) ( 1421170 17170 )
+    NEW met1 ( 1421170 17170 ) ( 2059650 17170 )
+    NEW met1 ( 1393110 15130 ) ( 1421170 15130 )
+    NEW met1 ( 1388050 1677050 ) ( 1393110 1677050 )
+    NEW met2 ( 1388050 1677050 ) ( 1388050 1690140 )
+    NEW met2 ( 1387820 1690140 0 ) ( 1388050 1690140 )
+    NEW met2 ( 1393110 15130 ) ( 1393110 1677050 )
+    NEW met1 ( 2059650 17170 ) M1M2_PR
+    NEW li1 ( 1421170 15130 ) L1M1_PR_MR
+    NEW li1 ( 1421170 17170 ) L1M1_PR_MR
+    NEW met1 ( 1393110 15130 ) M1M2_PR
+    NEW met1 ( 1393110 1677050 ) M1M2_PR
+    NEW met1 ( 1388050 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[81] ( PIN la_oenb[81] ) ( mprj la_oenb[81] ) 
+  + ROUTED met2 ( 2077590 2380 0 ) ( 2077590 13940 )
+    NEW met2 ( 2076670 13940 ) ( 2077590 13940 )
+    NEW met2 ( 2076670 13940 ) ( 2076670 14110 )
+    NEW met1 ( 1419330 12750 ) ( 1434970 12750 )
+    NEW li1 ( 1419330 12750 ) ( 1419330 17850 )
+    NEW met2 ( 1434970 12750 ) ( 1434970 14110 )
+    NEW met1 ( 1434970 14110 ) ( 2076670 14110 )
+    NEW met1 ( 1388970 17850 ) ( 1419330 17850 )
+    NEW met2 ( 1388970 17850 ) ( 1388970 1656000 )
+    NEW met2 ( 1388970 1656000 ) ( 1389890 1656000 )
+    NEW met2 ( 1389890 1656000 ) ( 1389890 1690140 0 )
+    NEW met1 ( 2076670 14110 ) M1M2_PR
+    NEW li1 ( 1419330 12750 ) L1M1_PR_MR
+    NEW met1 ( 1434970 12750 ) M1M2_PR
+    NEW li1 ( 1419330 17850 ) L1M1_PR_MR
+    NEW met1 ( 1434970 14110 ) M1M2_PR
+    NEW met1 ( 1388970 17850 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[82] ( PIN la_oenb[82] ) ( mprj la_oenb[82] ) 
+  + ROUTED met2 ( 2095070 2380 0 ) ( 2095070 14110 )
+    NEW met1 ( 2077130 14110 ) ( 2095070 14110 )
+    NEW met1 ( 2077130 14110 ) ( 2077130 14450 )
+    NEW met1 ( 1419790 13090 ) ( 1434510 13090 )
+    NEW li1 ( 1434510 14450 ) ( 1435430 14450 )
+    NEW li1 ( 1419790 13090 ) ( 1419790 16830 )
+    NEW li1 ( 1434510 13090 ) ( 1434510 14450 )
+    NEW met1 ( 1435430 14450 ) ( 2077130 14450 )
+    NEW met2 ( 1391730 1632340 ) ( 1392190 1632340 )
+    NEW met2 ( 1392190 1630980 ) ( 1392190 1632340 )
+    NEW met2 ( 1392190 1630980 ) ( 1392650 1630980 )
+    NEW met2 ( 1392650 16830 ) ( 1392650 1630980 )
+    NEW met1 ( 1392650 16830 ) ( 1419790 16830 )
+    NEW met2 ( 1391730 1632340 ) ( 1391730 1690140 0 )
+    NEW met1 ( 2095070 14110 ) M1M2_PR
+    NEW li1 ( 1419790 13090 ) L1M1_PR_MR
+    NEW li1 ( 1434510 13090 ) L1M1_PR_MR
+    NEW li1 ( 1435430 14450 ) L1M1_PR_MR
+    NEW li1 ( 1419790 16830 ) L1M1_PR_MR
+    NEW met1 ( 1392650 16830 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[83] ( PIN la_oenb[83] ) ( mprj la_oenb[83] ) 
+  + ROUTED met2 ( 2113010 2380 0 ) ( 2113010 14790 )
+    NEW met1 ( 1434510 14110 ) ( 1434510 14790 )
+    NEW met1 ( 1434510 14790 ) ( 2113010 14790 )
+    NEW met1 ( 1392190 1630470 ) ( 1393570 1630470 )
+    NEW met2 ( 1392190 14110 ) ( 1392190 1630470 )
+    NEW met1 ( 1392190 14110 ) ( 1434510 14110 )
+    NEW met2 ( 1393570 1630470 ) ( 1393570 1690140 0 )
+    NEW met1 ( 2113010 14790 ) M1M2_PR
+    NEW met1 ( 1392190 1630470 ) M1M2_PR
+    NEW met1 ( 1393570 1630470 ) M1M2_PR
+    NEW met1 ( 1392190 14110 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[84] ( PIN la_oenb[84] ) ( mprj la_oenb[84] ) 
+  + ROUTED met2 ( 2130950 2380 0 ) ( 2130950 14450 )
+    NEW met1 ( 2117610 14450 ) ( 2130950 14450 )
+    NEW met1 ( 2117610 14450 ) ( 2117610 15130 )
+    NEW met1 ( 1421630 14790 ) ( 1421630 15130 )
+    NEW met1 ( 1421630 15130 ) ( 2117610 15130 )
+    NEW met1 ( 1397250 14790 ) ( 1421630 14790 )
+    NEW met2 ( 1395640 1688780 ) ( 1395870 1688780 )
+    NEW met2 ( 1395640 1688780 ) ( 1395640 1690140 0 )
+    NEW met1 ( 1395870 1630810 ) ( 1397250 1630810 )
+    NEW met2 ( 1395870 1630810 ) ( 1395870 1688780 )
+    NEW met2 ( 1397250 14790 ) ( 1397250 1630810 )
+    NEW met1 ( 2130950 14450 ) M1M2_PR
+    NEW met1 ( 1397250 14790 ) M1M2_PR
+    NEW met1 ( 1395870 1630810 ) M1M2_PR
+    NEW met1 ( 1397250 1630810 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[85] ( PIN la_oenb[85] ) ( mprj la_oenb[85] ) 
+  + ROUTED met2 ( 2148430 2380 0 ) ( 2148430 14790 )
+    NEW met1 ( 2118070 14790 ) ( 2148430 14790 )
+    NEW met1 ( 2118070 14790 ) ( 2118070 15470 )
+    NEW met1 ( 1399550 15470 ) ( 2118070 15470 )
+    NEW met2 ( 1397480 1689290 ) ( 1397480 1690140 0 )
+    NEW met1 ( 1397480 1689290 ) ( 1399550 1689290 )
+    NEW met2 ( 1399550 15470 ) ( 1399550 1689290 )
+    NEW met1 ( 2148430 14790 ) M1M2_PR
+    NEW met1 ( 1399550 15470 ) M1M2_PR
+    NEW met1 ( 1397480 1689290 ) M1M2_PR
+    NEW met1 ( 1399550 1689290 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[86] ( PIN la_oenb[86] ) ( mprj la_oenb[86] ) 
+  + ROUTED met2 ( 2166370 2380 0 ) ( 2166370 15810 )
+    NEW li1 ( 1434050 14450 ) ( 1434050 15810 )
+    NEW met1 ( 1434050 15810 ) ( 2166370 15810 )
+    NEW met1 ( 1396790 14450 ) ( 1434050 14450 )
+    NEW met1 ( 1395410 1671950 ) ( 1396330 1671950 )
+    NEW met2 ( 1395410 1671950 ) ( 1395410 1677390 )
+    NEW met1 ( 1395410 1677390 ) ( 1399090 1677390 )
+    NEW met2 ( 1399090 1677390 ) ( 1399090 1690140 )
+    NEW met2 ( 1398860 1690140 0 ) ( 1399090 1690140 )
+    NEW met2 ( 1396790 14450 ) ( 1396790 1593900 )
+    NEW met2 ( 1396330 1593900 ) ( 1396790 1593900 )
+    NEW met2 ( 1396330 1593900 ) ( 1396330 1671950 )
+    NEW met1 ( 2166370 15810 ) M1M2_PR
+    NEW li1 ( 1434050 14450 ) L1M1_PR_MR
+    NEW li1 ( 1434050 15810 ) L1M1_PR_MR
+    NEW met1 ( 1396790 14450 ) M1M2_PR
+    NEW met1 ( 1396330 1671950 ) M1M2_PR
+    NEW met1 ( 1395410 1671950 ) M1M2_PR
+    NEW met1 ( 1395410 1677390 ) M1M2_PR
+    NEW met1 ( 1399090 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[87] ( PIN la_oenb[87] ) ( mprj la_oenb[87] ) 
+  + ROUTED met2 ( 2183850 2380 0 ) ( 2183850 16830 )
+    NEW met2 ( 1403230 82800 ) ( 1404610 82800 )
+    NEW li1 ( 1431290 15810 ) ( 1431290 16830 )
+    NEW met1 ( 1431290 16830 ) ( 2183850 16830 )
+    NEW met2 ( 1404610 15810 ) ( 1404610 82800 )
+    NEW met1 ( 1404610 15810 ) ( 1431290 15810 )
+    NEW met1 ( 1400930 1671950 ) ( 1403230 1671950 )
+    NEW met2 ( 1400930 1671950 ) ( 1400930 1690140 0 )
+    NEW met2 ( 1403230 82800 ) ( 1403230 1671950 )
+    NEW met1 ( 2183850 16830 ) M1M2_PR
+    NEW li1 ( 1431290 15810 ) L1M1_PR_MR
+    NEW li1 ( 1431290 16830 ) L1M1_PR_MR
+    NEW met1 ( 1404610 15810 ) M1M2_PR
+    NEW met1 ( 1403230 1671950 ) M1M2_PR
+    NEW met1 ( 1400930 1671950 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[88] ( PIN la_oenb[88] ) ( mprj la_oenb[88] ) 
+  + ROUTED met2 ( 2201790 2380 0 ) ( 2201790 10540 )
+    NEW met2 ( 2201330 10540 ) ( 2201790 10540 )
+    NEW met2 ( 2201330 10540 ) ( 2201330 20570 )
+    NEW met1 ( 1462110 20230 ) ( 1462110 20570 )
+    NEW met1 ( 1462110 20570 ) ( 2201330 20570 )
+    NEW li1 ( 1405070 1630470 ) ( 1405070 1632510 )
+    NEW met1 ( 1405070 1630470 ) ( 1405990 1630470 )
+    NEW met2 ( 1405990 20230 ) ( 1405990 1630470 )
+    NEW met1 ( 1405990 20230 ) ( 1462110 20230 )
+    NEW met1 ( 1405070 1676370 ) ( 1405070 1676710 )
+    NEW met1 ( 1402770 1676710 ) ( 1405070 1676710 )
+    NEW met2 ( 1402770 1676710 ) ( 1402770 1690140 0 )
+    NEW met2 ( 1405070 1632510 ) ( 1405070 1676370 )
+    NEW met1 ( 2201330 20570 ) M1M2_PR
+    NEW li1 ( 1405070 1632510 ) L1M1_PR_MR
+    NEW met1 ( 1405070 1632510 ) M1M2_PR
+    NEW li1 ( 1405070 1630470 ) L1M1_PR_MR
+    NEW met1 ( 1405990 1630470 ) M1M2_PR
+    NEW met1 ( 1405990 20230 ) M1M2_PR
+    NEW met1 ( 1405070 1676370 ) M1M2_PR
+    NEW met1 ( 1402770 1676710 ) M1M2_PR
+    NEW met1 ( 1405070 1632510 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[89] ( PIN la_oenb[89] ) ( mprj la_oenb[89] ) 
+  + ROUTED met2 ( 2219270 2380 0 ) ( 2219270 20230 )
+    NEW li1 ( 1420710 17170 ) ( 1420710 17850 )
+    NEW met1 ( 1420710 17850 ) ( 1462110 17850 )
+    NEW met2 ( 1462110 17850 ) ( 1462110 19380 )
+    NEW met2 ( 1462110 19380 ) ( 1462570 19380 )
+    NEW met2 ( 1462570 19380 ) ( 1462570 20230 )
+    NEW met1 ( 1462570 20230 ) ( 2219270 20230 )
+    NEW met1 ( 1402770 17170 ) ( 1420710 17170 )
+    NEW met1 ( 1402770 1672290 ) ( 1404610 1672290 )
+    NEW met2 ( 1404610 1672290 ) ( 1404610 1690140 0 )
+    NEW met2 ( 1402770 17170 ) ( 1402770 1672290 )
+    NEW met1 ( 2219270 20230 ) M1M2_PR
+    NEW li1 ( 1420710 17170 ) L1M1_PR_MR
+    NEW li1 ( 1420710 17850 ) L1M1_PR_MR
+    NEW met1 ( 1462110 17850 ) M1M2_PR
+    NEW met1 ( 1462570 20230 ) M1M2_PR
+    NEW met1 ( 1402770 17170 ) M1M2_PR
+    NEW met1 ( 1402770 1672290 ) M1M2_PR
+    NEW met1 ( 1404610 1672290 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[8] ( PIN la_oenb[8] ) ( mprj la_oenb[8] ) 
+  + ROUTED met2 ( 783150 2380 0 ) ( 783150 17850 )
+    NEW met1 ( 783150 17850 ) ( 786370 17850 )
+    NEW met2 ( 786370 17850 ) ( 786370 175950 )
+    NEW met1 ( 786370 175950 ) ( 1260170 175950 )
+    NEW met2 ( 1260170 1630300 ) ( 1261550 1630300 )
+    NEW met2 ( 1260170 175950 ) ( 1260170 1630300 )
+    NEW met1 ( 1255800 1688950 ) ( 1261550 1688950 )
+    NEW met2 ( 1255800 1688950 ) ( 1255800 1690140 0 )
+    NEW met2 ( 1261550 1630300 ) ( 1261550 1688950 )
+    NEW met1 ( 783150 17850 ) M1M2_PR
+    NEW met1 ( 786370 17850 ) M1M2_PR
+    NEW met1 ( 786370 175950 ) M1M2_PR
+    NEW met1 ( 1260170 175950 ) M1M2_PR
+    NEW met1 ( 1261550 1688950 ) M1M2_PR
+    NEW met1 ( 1255800 1688950 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[90] ( PIN la_oenb[90] ) ( mprj la_oenb[90] ) 
+  + ROUTED met2 ( 2237210 2380 0 ) ( 2237210 19890 )
+    NEW met1 ( 1406450 19890 ) ( 2237210 19890 )
+    NEW met2 ( 1406450 1690140 ) ( 1406680 1690140 0 )
+    NEW met2 ( 1406450 19890 ) ( 1406450 1690140 )
+    NEW met1 ( 2237210 19890 ) M1M2_PR
+    NEW met1 ( 1406450 19890 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[91] ( PIN la_oenb[91] ) ( mprj la_oenb[91] ) 
+  + ROUTED met2 ( 2254690 2380 0 ) ( 2254690 19550 )
+    NEW met2 ( 1408290 1607700 ) ( 1410130 1607700 )
+    NEW met2 ( 1410130 19550 ) ( 1410130 1607700 )
+    NEW met1 ( 1410130 19550 ) ( 2254690 19550 )
+    NEW met2 ( 1408060 1688610 ) ( 1408060 1690140 0 )
+    NEW met2 ( 1408060 1688610 ) ( 1408290 1688610 )
+    NEW met2 ( 1408290 1607700 ) ( 1408290 1688610 )
+    NEW met1 ( 2254690 19550 ) M1M2_PR
+    NEW met1 ( 1410130 19550 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[92] ( PIN la_oenb[92] ) ( mprj la_oenb[92] ) 
+  + ROUTED met2 ( 2272630 2380 0 ) ( 2272630 18530 )
+    NEW met2 ( 1413810 1580100 ) ( 1414270 1580100 )
+    NEW met2 ( 1412890 55930 ) ( 1413810 55930 )
+    NEW met2 ( 1412890 18530 ) ( 1412890 55930 )
+    NEW met2 ( 1413810 55930 ) ( 1413810 1580100 )
+    NEW met1 ( 1412890 18530 ) ( 2272630 18530 )
+    NEW met1 ( 1411510 1676030 ) ( 1414270 1676030 )
+    NEW met1 ( 1411510 1676030 ) ( 1411510 1677050 )
+    NEW met1 ( 1410130 1677050 ) ( 1411510 1677050 )
+    NEW met2 ( 1410130 1677050 ) ( 1410130 1690140 )
+    NEW met2 ( 1409900 1690140 0 ) ( 1410130 1690140 )
+    NEW met2 ( 1414270 1580100 ) ( 1414270 1676030 )
+    NEW met1 ( 2272630 18530 ) M1M2_PR
+    NEW met1 ( 1412890 18530 ) M1M2_PR
+    NEW met1 ( 1414270 1676030 ) M1M2_PR
+    NEW met1 ( 1410130 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[93] ( PIN la_oenb[93] ) ( mprj la_oenb[93] ) 
+  + ROUTED met2 ( 2290570 2380 0 ) ( 2290570 18190 )
+    NEW met1 ( 1413350 1622310 ) ( 1413350 1623330 )
+    NEW met2 ( 1412430 56780 ) ( 1413350 56780 )
+    NEW met2 ( 1412430 18190 ) ( 1412430 56780 )
+    NEW met2 ( 1413350 56780 ) ( 1413350 1622310 )
+    NEW met1 ( 1412430 18190 ) ( 2290570 18190 )
+    NEW met1 ( 1411970 1676710 ) ( 1413350 1676710 )
+    NEW met2 ( 1411970 1676710 ) ( 1411970 1690140 0 )
+    NEW met2 ( 1413350 1623330 ) ( 1413350 1676710 )
+    NEW met1 ( 2290570 18190 ) M1M2_PR
+    NEW met1 ( 1413350 1622310 ) M1M2_PR
+    NEW met1 ( 1413350 1623330 ) M1M2_PR
+    NEW met1 ( 1412430 18190 ) M1M2_PR
+    NEW met1 ( 1413350 1676710 ) M1M2_PR
+    NEW met1 ( 1411970 1676710 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[94] ( PIN la_oenb[94] ) ( mprj la_oenb[94] ) 
+  + ROUTED li1 ( 1482810 20910 ) ( 1483270 20910 )
+    NEW li1 ( 1483270 17850 ) ( 1483270 20910 )
+    NEW met2 ( 2308050 2380 0 ) ( 2308050 17850 )
+    NEW met1 ( 1483270 17850 ) ( 2308050 17850 )
+    NEW met1 ( 1461190 20570 ) ( 1461190 20910 )
+    NEW met1 ( 1461190 20910 ) ( 1482810 20910 )
+    NEW met2 ( 1412890 1622820 ) ( 1413810 1622820 )
+    NEW met2 ( 1411510 58140 ) ( 1412890 58140 )
+    NEW met2 ( 1411510 20570 ) ( 1411510 58140 )
+    NEW met2 ( 1412890 58140 ) ( 1412890 1622820 )
+    NEW met1 ( 1411510 20570 ) ( 1461190 20570 )
+    NEW met2 ( 1413810 1622820 ) ( 1413810 1690140 0 )
+    NEW li1 ( 1482810 20910 ) L1M1_PR_MR
+    NEW li1 ( 1483270 17850 ) L1M1_PR_MR
+    NEW met1 ( 2308050 17850 ) M1M2_PR
+    NEW met1 ( 1411510 20570 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[95] ( PIN la_oenb[95] ) ( mprj la_oenb[95] ) 
+  + ROUTED li1 ( 2060570 17510 ) ( 2060570 20910 )
+    NEW met2 ( 2325990 2380 0 ) ( 2325990 17510 )
+    NEW met1 ( 1531800 20910 ) ( 1531800 21250 )
+    NEW met1 ( 1531800 20910 ) ( 2060570 20910 )
+    NEW met1 ( 2060570 17510 ) ( 2325990 17510 )
+    NEW met1 ( 1419790 21250 ) ( 1531800 21250 )
+    NEW met1 ( 1415650 1672290 ) ( 1419790 1672290 )
+    NEW met2 ( 1415650 1672290 ) ( 1415650 1690140 0 )
+    NEW li1 ( 1419790 1569950 ) ( 1419790 1584570 )
+    NEW met2 ( 1419790 21250 ) ( 1419790 1569950 )
+    NEW met2 ( 1419790 1584570 ) ( 1419790 1672290 )
+    NEW li1 ( 2060570 20910 ) L1M1_PR_MR
+    NEW li1 ( 2060570 17510 ) L1M1_PR_MR
+    NEW met1 ( 2325990 17510 ) M1M2_PR
+    NEW met1 ( 1419790 21250 ) M1M2_PR
+    NEW met1 ( 1419790 1672290 ) M1M2_PR
+    NEW met1 ( 1415650 1672290 ) M1M2_PR
+    NEW li1 ( 1419790 1569950 ) L1M1_PR_MR
+    NEW met1 ( 1419790 1569950 ) M1M2_PR
+    NEW li1 ( 1419790 1584570 ) L1M1_PR_MR
+    NEW met1 ( 1419790 1584570 ) M1M2_PR
+    NEW met1 ( 1419790 1569950 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 1419790 1584570 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- la_oenb[96] ( PIN la_oenb[96] ) ( mprj la_oenb[96] ) 
+  + ROUTED li1 ( 1482810 13090 ) ( 1482810 17850 )
+    NEW li1 ( 2042630 13090 ) ( 2042630 17510 )
+    NEW met1 ( 2042630 17510 ) ( 2060110 17510 )
+    NEW met1 ( 2060110 17170 ) ( 2060110 17510 )
+    NEW met2 ( 2343470 2380 0 ) ( 2343470 17170 )
+    NEW met1 ( 1482810 13090 ) ( 2042630 13090 )
+    NEW met1 ( 2060110 17170 ) ( 2343470 17170 )
+    NEW met1 ( 1420250 16830 ) ( 1430830 16830 )
+    NEW met2 ( 1430830 16830 ) ( 1430830 17340 )
+    NEW met3 ( 1430830 17340 ) ( 1462570 17340 )
+    NEW met2 ( 1462570 17340 ) ( 1462570 17850 )
+    NEW met1 ( 1462570 17850 ) ( 1482810 17850 )
+    NEW met2 ( 1420250 16830 ) ( 1420250 1559400 )
+    NEW met2 ( 1420250 1559400 ) ( 1420710 1559400 )
+    NEW met1 ( 1417490 1677050 ) ( 1420710 1677050 )
+    NEW met2 ( 1417490 1677050 ) ( 1417490 1690140 )
+    NEW met2 ( 1417490 1690140 ) ( 1417720 1690140 0 )
+    NEW met2 ( 1420710 1559400 ) ( 1420710 1677050 )
+    NEW li1 ( 1482810 17850 ) L1M1_PR_MR
+    NEW li1 ( 1482810 13090 ) L1M1_PR_MR
+    NEW li1 ( 2042630 13090 ) L1M1_PR_MR
+    NEW li1 ( 2042630 17510 ) L1M1_PR_MR
+    NEW met1 ( 2343470 17170 ) M1M2_PR
+    NEW met1 ( 1420250 16830 ) M1M2_PR
+    NEW met1 ( 1430830 16830 ) M1M2_PR
+    NEW met2 ( 1430830 17340 ) via2_FR
+    NEW met2 ( 1462570 17340 ) via2_FR
+    NEW met1 ( 1462570 17850 ) M1M2_PR
+    NEW met1 ( 1420710 1677050 ) M1M2_PR
+    NEW met1 ( 1417490 1677050 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[97] ( PIN la_oenb[97] ) ( mprj la_oenb[97] ) 
+  + ROUTED met2 ( 2361410 2380 0 ) ( 2361410 15300 )
+    NEW met3 ( 1417030 15300 ) ( 2361410 15300 )
+    NEW met2 ( 1419100 1689460 ) ( 1419100 1690140 0 )
+    NEW met2 ( 1419100 1689460 ) ( 1419330 1689460 )
+    NEW met2 ( 1419330 1677220 ) ( 1419330 1689460 )
+    NEW met2 ( 1418410 1677220 ) ( 1419330 1677220 )
+    NEW met2 ( 1418410 1666170 ) ( 1418410 1677220 )
+    NEW met1 ( 1417030 1666170 ) ( 1418410 1666170 )
+    NEW met1 ( 1417030 1630470 ) ( 1417030 1631490 )
+    NEW met2 ( 1417030 15300 ) ( 1417030 1630470 )
+    NEW met2 ( 1417030 1631490 ) ( 1417030 1666170 )
+    NEW met2 ( 2361410 15300 ) via2_FR
+    NEW met2 ( 1417030 15300 ) via2_FR
+    NEW met1 ( 1418410 1666170 ) M1M2_PR
+    NEW met1 ( 1417030 1666170 ) M1M2_PR
+    NEW met1 ( 1417030 1630470 ) M1M2_PR
+    NEW met1 ( 1417030 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[98] ( PIN la_oenb[98] ) ( mprj la_oenb[98] ) 
+  + ROUTED met2 ( 2378890 2380 0 ) ( 2378890 20060 )
+    NEW met3 ( 1417490 20060 ) ( 2378890 20060 )
+    NEW met2 ( 1416110 1656000 ) ( 1416570 1656000 )
+    NEW met2 ( 1416110 1656000 ) ( 1416110 1678750 )
+    NEW met1 ( 1416110 1678750 ) ( 1421170 1678750 )
+    NEW met2 ( 1421170 1678750 ) ( 1421170 1690140 )
+    NEW met2 ( 1420940 1690140 0 ) ( 1421170 1690140 )
+    NEW met1 ( 1416570 1617890 ) ( 1417490 1617890 )
+    NEW met2 ( 1416570 1617890 ) ( 1416570 1656000 )
+    NEW met2 ( 1417490 20060 ) ( 1417490 1617890 )
+    NEW met2 ( 2378890 20060 ) via2_FR
+    NEW met2 ( 1417490 20060 ) via2_FR
+    NEW met1 ( 1416110 1678750 ) M1M2_PR
+    NEW met1 ( 1421170 1678750 ) M1M2_PR
+    NEW met1 ( 1416570 1617890 ) M1M2_PR
+    NEW met1 ( 1417490 1617890 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[99] ( PIN la_oenb[99] ) ( mprj la_oenb[99] ) 
+  + ROUTED met2 ( 2396830 2380 0 ) ( 2396830 18020 )
+    NEW met3 ( 1428070 18020 ) ( 2396830 18020 )
+    NEW met1 ( 1423010 1679430 ) ( 1428070 1679430 )
+    NEW met2 ( 1423010 1679430 ) ( 1423010 1690140 0 )
+    NEW met2 ( 1428070 18020 ) ( 1428070 1679430 )
+    NEW met2 ( 2396830 18020 ) via2_FR
+    NEW met2 ( 1428070 18020 ) via2_FR
+    NEW met1 ( 1428070 1679430 ) M1M2_PR
+    NEW met1 ( 1423010 1679430 ) M1M2_PR
++ USE SIGNAL ;
+- la_oenb[9] ( PIN la_oenb[9] ) ( mprj la_oenb[9] ) 
+  + ROUTED met2 ( 800630 2380 0 ) ( 800630 17340 )
+    NEW met2 ( 800630 17340 ) ( 801090 17340 )
+    NEW met2 ( 801090 17340 ) ( 801090 34500 )
+    NEW met2 ( 801090 34500 ) ( 806610 34500 )
+    NEW met2 ( 806610 34500 ) ( 806610 1445850 )
+    NEW met1 ( 806610 1445850 ) ( 1260630 1445850 )
+    NEW met2 ( 1258330 1630980 ) ( 1259250 1630980 )
+    NEW met2 ( 1259250 1629790 ) ( 1259250 1630980 )
+    NEW met1 ( 1259250 1629790 ) ( 1260630 1629790 )
+    NEW met2 ( 1260630 1445850 ) ( 1260630 1629790 )
+    NEW met2 ( 1257870 1667020 ) ( 1258330 1667020 )
+    NEW met2 ( 1257870 1667020 ) ( 1257870 1690140 0 )
+    NEW met2 ( 1258330 1630980 ) ( 1258330 1667020 )
+    NEW met1 ( 806610 1445850 ) M1M2_PR
+    NEW met1 ( 1260630 1445850 ) M1M2_PR
+    NEW met1 ( 1259250 1629790 ) M1M2_PR
+    NEW met1 ( 1260630 1629790 ) M1M2_PR
++ USE SIGNAL ;
+- user_clock2 ( PIN user_clock2 ) 
++ USE SIGNAL ;
+- user_irq[0] ( PIN user_irq[0] ) ( mprj irq[0] ) 
+  + ROUTED met3 ( 1165410 1764940 ) ( 1175300 1764940 0 )
+    NEW met2 ( 1165410 72590 ) ( 1165410 1764940 )
+    NEW met2 ( 2905130 2380 0 ) ( 2905130 72590 )
+    NEW met1 ( 1165410 72590 ) ( 2905130 72590 )
+    NEW met2 ( 1165410 1764940 ) via2_FR
+    NEW met1 ( 1165410 72590 ) M1M2_PR
+    NEW met1 ( 2905130 72590 ) M1M2_PR
++ USE SIGNAL ;
+- user_irq[1] ( PIN user_irq[1] ) ( mprj irq[1] ) 
+  + ROUTED met2 ( 2911110 2380 0 ) ( 2911110 17340 )
+    NEW met3 ( 1474300 1839740 0 ) ( 1486950 1839740 )
+    NEW met3 ( 1486950 17340 ) ( 2911110 17340 )
+    NEW met2 ( 1486950 17340 ) ( 1486950 1839740 )
+    NEW met2 ( 2911110 17340 ) via2_FR
+    NEW met2 ( 1486950 17340 ) via2_FR
+    NEW met2 ( 1486950 1839740 ) via2_FR
++ USE SIGNAL ;
+- user_irq[2] ( PIN user_irq[2] ) ( mprj irq[2] ) 
+  + ROUTED met3 ( 1165870 1914540 ) ( 1175300 1914540 0 )
+    NEW met2 ( 1165870 72250 ) ( 1165870 1914540 )
+    NEW met2 ( 2917090 2380 0 ) ( 2917090 72250 )
+    NEW met1 ( 1165870 72250 ) ( 2917090 72250 )
+    NEW met1 ( 1165870 72250 ) M1M2_PR
+    NEW met2 ( 1165870 1914540 ) via2_FR
+    NEW met1 ( 2917090 72250 ) M1M2_PR
++ USE SIGNAL ;
+- wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i ) 
+  + ROUTED met2 ( 2990 2380 0 ) ( 2990 23970 )
+    NEW met2 ( 1174610 1690140 ) ( 1175300 1690140 0 )
+    NEW met2 ( 1174610 23970 ) ( 1174610 1690140 )
+    NEW met1 ( 2990 23970 ) ( 1174610 23970 )
+    NEW met1 ( 2990 23970 ) M1M2_PR
+    NEW met1 ( 1174610 23970 ) M1M2_PR
++ USE SIGNAL ;
+- wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) 
+  + ROUTED met2 ( 8510 2380 0 ) ( 8510 30770 )
+    NEW met2 ( 1175760 1688780 ) ( 1175990 1688780 )
+    NEW met2 ( 1175760 1688780 ) ( 1175760 1690140 0 )
+    NEW met2 ( 1175990 30770 ) ( 1175990 1688780 )
+    NEW met1 ( 8510 30770 ) ( 1175990 30770 )
+    NEW met1 ( 8510 30770 ) M1M2_PR
+    NEW met1 ( 1175990 30770 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) 
+  + ROUTED met2 ( 14490 2380 0 ) ( 14490 31110 )
+    NEW met2 ( 1175070 1676700 ) ( 1175530 1676700 )
+    NEW met2 ( 1175070 1676700 ) ( 1175070 1689290 )
+    NEW met1 ( 1175070 1689290 ) ( 1176220 1689290 )
+    NEW met2 ( 1176220 1689290 ) ( 1176220 1690140 0 )
+    NEW met2 ( 1175530 31110 ) ( 1175530 1676700 )
+    NEW met1 ( 14490 31110 ) ( 1175530 31110 )
+    NEW met1 ( 14490 31110 ) M1M2_PR
+    NEW met1 ( 1175530 31110 ) M1M2_PR
+    NEW met1 ( 1175070 1689290 ) M1M2_PR
+    NEW met1 ( 1176220 1689290 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) 
+  + ROUTED met2 ( 1178290 1688780 ) ( 1178520 1688780 )
+    NEW met2 ( 1178520 1688780 ) ( 1178520 1690140 0 )
+    NEW met2 ( 38410 2380 0 ) ( 38410 31450 )
+    NEW met1 ( 1175070 1630130 ) ( 1178290 1630130 )
+    NEW met2 ( 1175070 31450 ) ( 1175070 1630130 )
+    NEW met2 ( 1178290 1630130 ) ( 1178290 1688780 )
+    NEW met1 ( 38410 31450 ) ( 1175070 31450 )
+    NEW met1 ( 1175070 31450 ) M1M2_PR
+    NEW met1 ( 38410 31450 ) M1M2_PR
+    NEW met1 ( 1175070 1630130 ) M1M2_PR
+    NEW met1 ( 1178290 1630130 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) 
+  + ROUTED met2 ( 1162650 1459110 ) ( 1162650 1677390 )
+    NEW met1 ( 241270 1459110 ) ( 1162650 1459110 )
+    NEW met2 ( 239430 2380 0 ) ( 239430 34500 )
+    NEW met2 ( 239430 34500 ) ( 241270 34500 )
+    NEW met2 ( 241270 34500 ) ( 241270 1459110 )
+    NEW met2 ( 1199450 1677390 ) ( 1199450 1689460 )
+    NEW met2 ( 1199450 1689460 ) ( 1199680 1689460 )
+    NEW met2 ( 1199680 1689460 ) ( 1199680 1690140 0 )
+    NEW met1 ( 1162650 1677390 ) ( 1199450 1677390 )
+    NEW met1 ( 1162650 1459110 ) M1M2_PR
+    NEW met1 ( 1162650 1677390 ) M1M2_PR
+    NEW met1 ( 241270 1459110 ) M1M2_PR
+    NEW met1 ( 1199450 1677390 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) 
+  + ROUTED li1 ( 1169090 1666510 ) ( 1169090 1669570 )
+    NEW met2 ( 256910 2380 0 ) ( 256910 14450 )
+    NEW met1 ( 256910 14450 ) ( 261970 14450 )
+    NEW met1 ( 261970 1666510 ) ( 1169090 1666510 )
+    NEW met1 ( 1169090 1669570 ) ( 1201290 1669570 )
+    NEW met2 ( 261970 14450 ) ( 261970 1666510 )
+    NEW met2 ( 1201290 1669570 ) ( 1201290 1690140 0 )
+    NEW li1 ( 1169090 1666510 ) L1M1_PR_MR
+    NEW li1 ( 1169090 1669570 ) L1M1_PR_MR
+    NEW met1 ( 256910 14450 ) M1M2_PR
+    NEW met1 ( 261970 14450 ) M1M2_PR
+    NEW met1 ( 261970 1666510 ) M1M2_PR
+    NEW met1 ( 1201290 1669570 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) 
+  + ROUTED met2 ( 274850 2380 0 ) ( 274850 34500 )
+    NEW met2 ( 274850 34500 ) ( 275770 34500 )
+    NEW met2 ( 275770 34500 ) ( 275770 1652910 )
+    NEW met1 ( 275770 1652910 ) ( 1203130 1652910 )
+    NEW met2 ( 1203130 1652910 ) ( 1203130 1690140 0 )
+    NEW met1 ( 275770 1652910 ) M1M2_PR
+    NEW met1 ( 1203130 1652910 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) 
+  + ROUTED met2 ( 292330 2380 0 ) ( 292330 16830 )
+    NEW met1 ( 292330 16830 ) ( 296470 16830 )
+    NEW met2 ( 296470 16830 ) ( 296470 1590350 )
+    NEW met1 ( 296470 1590350 ) ( 1205890 1590350 )
+    NEW met2 ( 1204970 1631660 ) ( 1205890 1631660 )
+    NEW met2 ( 1204970 1631660 ) ( 1204970 1690140 0 )
+    NEW met2 ( 1205890 1590350 ) ( 1205890 1631660 )
+    NEW met1 ( 292330 16830 ) M1M2_PR
+    NEW met1 ( 296470 16830 ) M1M2_PR
+    NEW met1 ( 296470 1590350 ) M1M2_PR
+    NEW met1 ( 1205890 1590350 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) 
+  + ROUTED met2 ( 310270 2380 0 ) ( 310270 1638630 )
+    NEW met1 ( 310270 1638630 ) ( 1206810 1638630 )
+    NEW met2 ( 1206810 1638630 ) ( 1206810 1690140 0 )
+    NEW met1 ( 310270 1638630 ) M1M2_PR
+    NEW met1 ( 1206810 1638630 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) 
+  + ROUTED met2 ( 327750 2380 0 ) ( 327750 16830 )
+    NEW met1 ( 327750 16830 ) ( 330970 16830 )
+    NEW met1 ( 330970 1659710 ) ( 1208650 1659710 )
+    NEW met2 ( 330970 16830 ) ( 330970 1659710 )
+    NEW met2 ( 1208650 1690140 ) ( 1208880 1690140 0 )
+    NEW met2 ( 1208650 1659710 ) ( 1208650 1690140 )
+    NEW met1 ( 327750 16830 ) M1M2_PR
+    NEW met1 ( 330970 16830 ) M1M2_PR
+    NEW met1 ( 330970 1659710 ) M1M2_PR
+    NEW met1 ( 1208650 1659710 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) 
+  + ROUTED met2 ( 345690 2380 0 ) ( 345690 16830 )
+    NEW met1 ( 345690 16830 ) ( 351670 16830 )
+    NEW met2 ( 351670 16830 ) ( 351670 1624690 )
+    NEW met2 ( 1210260 1690140 0 ) ( 1210490 1690140 )
+    NEW met2 ( 1210950 1624690 ) ( 1210950 1632340 )
+    NEW met2 ( 1210490 1632340 ) ( 1210950 1632340 )
+    NEW met1 ( 351670 1624690 ) ( 1210950 1624690 )
+    NEW met2 ( 1210490 1632340 ) ( 1210490 1690140 )
+    NEW met1 ( 345690 16830 ) M1M2_PR
+    NEW met1 ( 351670 16830 ) M1M2_PR
+    NEW met1 ( 351670 1624690 ) M1M2_PR
+    NEW met1 ( 1210950 1624690 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) 
+  + ROUTED met2 ( 363170 2380 0 ) ( 363170 16830 )
+    NEW met1 ( 363170 16830 ) ( 365470 16830 )
+    NEW met2 ( 365470 16830 ) ( 365470 1597490 )
+    NEW met1 ( 365470 1597490 ) ( 1212790 1597490 )
+    NEW met2 ( 1212330 1690140 0 ) ( 1212790 1690140 )
+    NEW met2 ( 1212790 1597490 ) ( 1212790 1690140 )
+    NEW met1 ( 363170 16830 ) M1M2_PR
+    NEW met1 ( 365470 16830 ) M1M2_PR
+    NEW met1 ( 365470 1597490 ) M1M2_PR
+    NEW met1 ( 1212790 1597490 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) 
+  + ROUTED met2 ( 381110 2380 0 ) ( 381110 16830 )
+    NEW met1 ( 381110 16830 ) ( 386170 16830 )
+    NEW met2 ( 386170 16830 ) ( 386170 1611090 )
+    NEW met1 ( 386170 1611090 ) ( 1214170 1611090 )
+    NEW met2 ( 1214170 1611090 ) ( 1214170 1690140 0 )
+    NEW met1 ( 381110 16830 ) M1M2_PR
+    NEW met1 ( 386170 16830 ) M1M2_PR
+    NEW met1 ( 386170 1611090 ) M1M2_PR
+    NEW met1 ( 1214170 1611090 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) 
+  + ROUTED met2 ( 398590 2380 0 ) ( 398590 34500 )
+    NEW met2 ( 398590 34500 ) ( 399970 34500 )
+    NEW met2 ( 399970 34500 ) ( 399970 1431570 )
+    NEW met2 ( 1171390 1431570 ) ( 1171390 1678750 )
+    NEW met1 ( 399970 1431570 ) ( 1171390 1431570 )
+    NEW met2 ( 1215550 1678750 ) ( 1215550 1682490 )
+    NEW met1 ( 1215550 1682490 ) ( 1216010 1682490 )
+    NEW li1 ( 1216010 1682490 ) ( 1216010 1689630 )
+    NEW met2 ( 1216010 1689630 ) ( 1216010 1690140 0 )
+    NEW met1 ( 1171390 1678750 ) ( 1215550 1678750 )
+    NEW met1 ( 399970 1431570 ) M1M2_PR
+    NEW met1 ( 1171390 1431570 ) M1M2_PR
+    NEW met1 ( 1171390 1678750 ) M1M2_PR
+    NEW met1 ( 1215550 1678750 ) M1M2_PR
+    NEW met1 ( 1215550 1682490 ) M1M2_PR
+    NEW li1 ( 1216010 1682490 ) L1M1_PR_MR
+    NEW li1 ( 1216010 1689630 ) L1M1_PR_MR
+    NEW met1 ( 1216010 1689630 ) M1M2_PR
+    NEW met1 ( 1216010 1689630 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) 
+  + ROUTED met2 ( 1181050 1679090 ) ( 1181050 1690140 0 )
+    NEW li1 ( 1181050 1673310 ) ( 1181050 1679090 )
+    NEW met1 ( 61870 1673310 ) ( 1181050 1673310 )
+    NEW met2 ( 61870 2380 0 ) ( 61870 1673310 )
+    NEW li1 ( 1181050 1673310 ) L1M1_PR_MR
+    NEW li1 ( 1181050 1679090 ) L1M1_PR_MR
+    NEW met1 ( 1181050 1679090 ) M1M2_PR
+    NEW met1 ( 61870 1673310 ) M1M2_PR
+    NEW met1 ( 1181050 1679090 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) 
+  + ROUTED met2 ( 416530 2380 0 ) ( 416530 16830 )
+    NEW met1 ( 416530 16830 ) ( 420670 16830 )
+    NEW met2 ( 420670 16830 ) ( 420670 1646110 )
+    NEW met1 ( 1210950 1680790 ) ( 1217850 1680790 )
+    NEW met2 ( 1217850 1680790 ) ( 1217850 1686060 )
+    NEW met2 ( 1217390 1686060 ) ( 1217850 1686060 )
+    NEW met2 ( 1217390 1686060 ) ( 1217390 1689460 )
+    NEW met2 ( 1217390 1689460 ) ( 1217850 1689460 )
+    NEW met2 ( 1217850 1689460 ) ( 1217850 1690140 0 )
+    NEW met1 ( 420670 1646110 ) ( 1210950 1646110 )
+    NEW met2 ( 1210950 1646110 ) ( 1210950 1680790 )
+    NEW met1 ( 416530 16830 ) M1M2_PR
+    NEW met1 ( 420670 16830 ) M1M2_PR
+    NEW met1 ( 420670 1646110 ) M1M2_PR
+    NEW met1 ( 1210950 1680790 ) M1M2_PR
+    NEW met1 ( 1217850 1680790 ) M1M2_PR
+    NEW met1 ( 1210950 1646110 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) 
+  + ROUTED met2 ( 434470 2380 0 ) ( 434470 1604290 )
+    NEW met1 ( 1204050 1682150 ) ( 1218770 1682150 )
+    NEW met2 ( 1218770 1682150 ) ( 1218770 1684700 )
+    NEW met2 ( 1218770 1684700 ) ( 1219690 1684700 )
+    NEW met2 ( 1219690 1684700 ) ( 1219690 1690140 )
+    NEW met2 ( 1219690 1690140 ) ( 1219920 1690140 0 )
+    NEW met2 ( 1204510 1604290 ) ( 1204510 1630810 )
+    NEW met2 ( 1204050 1630810 ) ( 1204510 1630810 )
+    NEW met1 ( 434470 1604290 ) ( 1204510 1604290 )
+    NEW met2 ( 1204050 1630810 ) ( 1204050 1682150 )
+    NEW met1 ( 434470 1604290 ) M1M2_PR
+    NEW met1 ( 1204050 1682150 ) M1M2_PR
+    NEW met1 ( 1218770 1682150 ) M1M2_PR
+    NEW met1 ( 1204510 1604290 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) 
+  + ROUTED met2 ( 451950 2380 0 ) ( 451950 16830 )
+    NEW met1 ( 451950 16830 ) ( 455170 16830 )
+    NEW met2 ( 455170 16830 ) ( 455170 52190 )
+    NEW met1 ( 1221530 1631150 ) ( 1224750 1631150 )
+    NEW met2 ( 1224750 52190 ) ( 1224750 1631150 )
+    NEW met2 ( 1221300 1690140 0 ) ( 1221530 1690140 )
+    NEW met2 ( 1221530 1631150 ) ( 1221530 1690140 )
+    NEW met1 ( 455170 52190 ) ( 1224750 52190 )
+    NEW met1 ( 451950 16830 ) M1M2_PR
+    NEW met1 ( 455170 16830 ) M1M2_PR
+    NEW met1 ( 455170 52190 ) M1M2_PR
+    NEW met1 ( 1224750 52190 ) M1M2_PR
+    NEW met1 ( 1221530 1631150 ) M1M2_PR
+    NEW met1 ( 1224750 1631150 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) 
+  + ROUTED met2 ( 469890 2380 0 ) ( 469890 15470 )
+    NEW met1 ( 469890 15470 ) ( 475410 15470 )
+    NEW met2 ( 475410 15470 ) ( 475410 52530 )
+    NEW met2 ( 1223830 1631660 ) ( 1224290 1631660 )
+    NEW met2 ( 1224290 52530 ) ( 1224290 1631660 )
+    NEW met2 ( 1223370 1690140 0 ) ( 1223830 1690140 )
+    NEW met2 ( 1223830 1631660 ) ( 1223830 1690140 )
+    NEW met1 ( 475410 52530 ) ( 1224290 52530 )
+    NEW met1 ( 469890 15470 ) M1M2_PR
+    NEW met1 ( 475410 15470 ) M1M2_PR
+    NEW met1 ( 475410 52530 ) M1M2_PR
+    NEW met1 ( 1224290 52530 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) 
+  + ROUTED met2 ( 487370 2380 0 ) ( 487370 16830 )
+    NEW met1 ( 487370 16830 ) ( 489670 16830 )
+    NEW met2 ( 489670 16830 ) ( 489670 52870 )
+    NEW met2 ( 1223370 1630980 ) ( 1223830 1630980 )
+    NEW met2 ( 1223370 1630980 ) ( 1223370 1635230 )
+    NEW met1 ( 1223370 1635230 ) ( 1225210 1635230 )
+    NEW met2 ( 1223830 52870 ) ( 1223830 1630980 )
+    NEW met2 ( 1225210 1635230 ) ( 1225210 1690140 0 )
+    NEW met1 ( 489670 52870 ) ( 1223830 52870 )
+    NEW met1 ( 487370 16830 ) M1M2_PR
+    NEW met1 ( 489670 16830 ) M1M2_PR
+    NEW met1 ( 489670 52870 ) M1M2_PR
+    NEW met1 ( 1223830 52870 ) M1M2_PR
+    NEW met1 ( 1223370 1635230 ) M1M2_PR
+    NEW met1 ( 1225210 1635230 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) 
+  + ROUTED met2 ( 505310 2380 0 ) ( 505310 16830 )
+    NEW met1 ( 505310 16830 ) ( 510370 16830 )
+    NEW met2 ( 510370 16830 ) ( 510370 53210 )
+    NEW met2 ( 1222450 1629620 ) ( 1223370 1629620 )
+    NEW met2 ( 1222450 1629620 ) ( 1222450 1632850 )
+    NEW met1 ( 1222450 1632850 ) ( 1227050 1632850 )
+    NEW met2 ( 1223370 53210 ) ( 1223370 1629620 )
+    NEW met2 ( 1227050 1632850 ) ( 1227050 1690140 0 )
+    NEW met1 ( 510370 53210 ) ( 1223370 53210 )
+    NEW met1 ( 505310 16830 ) M1M2_PR
+    NEW met1 ( 510370 16830 ) M1M2_PR
+    NEW met1 ( 510370 53210 ) M1M2_PR
+    NEW met1 ( 1223370 53210 ) M1M2_PR
+    NEW met1 ( 1222450 1632850 ) M1M2_PR
+    NEW met1 ( 1227050 1632850 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) 
+  + ROUTED met2 ( 522790 2380 0 ) ( 522790 53550 )
+    NEW met1 ( 1228890 1631490 ) ( 1232110 1631490 )
+    NEW met2 ( 1232110 53550 ) ( 1232110 1631490 )
+    NEW met2 ( 1228890 1631490 ) ( 1228890 1690140 0 )
+    NEW met1 ( 522790 53550 ) ( 1232110 53550 )
+    NEW met1 ( 522790 53550 ) M1M2_PR
+    NEW met1 ( 1232110 53550 ) M1M2_PR
+    NEW met1 ( 1228890 1631490 ) M1M2_PR
+    NEW met1 ( 1232110 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) 
+  + ROUTED met2 ( 540730 2380 0 ) ( 540730 15130 )
+    NEW met1 ( 540730 15130 ) ( 544870 15130 )
+    NEW met2 ( 544870 15130 ) ( 544870 53890 )
+    NEW met2 ( 1230270 1631660 ) ( 1230730 1631660 )
+    NEW met2 ( 1230270 53890 ) ( 1230270 1631660 )
+    NEW met2 ( 1230730 1690140 ) ( 1230960 1690140 0 )
+    NEW met2 ( 1230730 1631660 ) ( 1230730 1690140 )
+    NEW met1 ( 544870 53890 ) ( 1230270 53890 )
+    NEW met1 ( 540730 15130 ) M1M2_PR
+    NEW met1 ( 544870 15130 ) M1M2_PR
+    NEW met1 ( 544870 53890 ) M1M2_PR
+    NEW met1 ( 1230270 53890 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) 
+  + ROUTED met2 ( 558210 2380 0 ) ( 558210 54910 )
+    NEW met1 ( 1229810 1688950 ) ( 1232340 1688950 )
+    NEW met2 ( 1232340 1688950 ) ( 1232340 1690140 0 )
+    NEW met2 ( 1229810 54910 ) ( 1229810 1688950 )
+    NEW met1 ( 558210 54910 ) ( 1229810 54910 )
+    NEW met1 ( 558210 54910 ) M1M2_PR
+    NEW met1 ( 1229810 54910 ) M1M2_PR
+    NEW met1 ( 1229810 1688950 ) M1M2_PR
+    NEW met1 ( 1232340 1688950 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) 
+  + ROUTED met2 ( 576150 2380 0 ) ( 576150 18190 )
+    NEW met1 ( 576150 18190 ) ( 579370 18190 )
+    NEW met2 ( 579370 18190 ) ( 579370 51170 )
+    NEW met1 ( 1230730 1631150 ) ( 1234410 1631150 )
+    NEW met2 ( 1230730 51170 ) ( 1230730 1631150 )
+    NEW met2 ( 1234410 1631150 ) ( 1234410 1690140 0 )
+    NEW met1 ( 579370 51170 ) ( 1230730 51170 )
+    NEW met1 ( 576150 18190 ) M1M2_PR
+    NEW met1 ( 579370 18190 ) M1M2_PR
+    NEW met1 ( 579370 51170 ) M1M2_PR
+    NEW met1 ( 1230730 51170 ) M1M2_PR
+    NEW met1 ( 1230730 1631150 ) M1M2_PR
+    NEW met1 ( 1234410 1631150 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) 
+  + ROUTED met2 ( 85330 2380 0 ) ( 85330 17510 )
+    NEW met1 ( 85330 17510 ) ( 89470 17510 )
+    NEW met2 ( 89470 17510 ) ( 89470 1632510 )
+    NEW met1 ( 1183810 1683510 ) ( 1184730 1683510 )
+    NEW met2 ( 1183810 1683510 ) ( 1183810 1690140 )
+    NEW met2 ( 1183350 1690140 0 ) ( 1183810 1690140 )
+    NEW met1 ( 89470 1632510 ) ( 1184730 1632510 )
+    NEW met2 ( 1184730 1632510 ) ( 1184730 1683510 )
+    NEW met1 ( 85330 17510 ) M1M2_PR
+    NEW met1 ( 89470 17510 ) M1M2_PR
+    NEW met1 ( 89470 1632510 ) M1M2_PR
+    NEW met1 ( 1184730 1683510 ) M1M2_PR
+    NEW met1 ( 1183810 1683510 ) M1M2_PR
+    NEW met1 ( 1184730 1632510 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) 
+  + ROUTED met2 ( 594090 2380 0 ) ( 594090 18190 )
+    NEW met1 ( 594090 18190 ) ( 599610 18190 )
+    NEW met2 ( 599610 18190 ) ( 599610 50830 )
+    NEW met1 ( 1236250 1631490 ) ( 1237630 1631490 )
+    NEW met2 ( 1237630 50830 ) ( 1237630 1631490 )
+    NEW met2 ( 1236250 1631490 ) ( 1236250 1690140 0 )
+    NEW met1 ( 599610 50830 ) ( 1237630 50830 )
+    NEW met1 ( 594090 18190 ) M1M2_PR
+    NEW met1 ( 599610 18190 ) M1M2_PR
+    NEW met1 ( 599610 50830 ) M1M2_PR
+    NEW met1 ( 1237630 50830 ) M1M2_PR
+    NEW met1 ( 1236250 1631490 ) M1M2_PR
+    NEW met1 ( 1237630 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) 
+  + ROUTED met2 ( 611570 2380 0 ) ( 611570 18190 )
+    NEW met1 ( 611570 18190 ) ( 613870 18190 )
+    NEW met2 ( 613870 18190 ) ( 613870 50490 )
+    NEW met2 ( 1237170 1632340 ) ( 1238090 1632340 )
+    NEW met2 ( 1237170 50490 ) ( 1237170 1632340 )
+    NEW met2 ( 1238090 1632340 ) ( 1238090 1690140 0 )
+    NEW met1 ( 613870 50490 ) ( 1237170 50490 )
+    NEW met1 ( 611570 18190 ) M1M2_PR
+    NEW met1 ( 613870 18190 ) M1M2_PR
+    NEW met1 ( 613870 50490 ) M1M2_PR
+    NEW met1 ( 1237170 50490 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) 
+  + ROUTED met2 ( 109250 2380 0 ) ( 109250 34500 )
+    NEW met2 ( 109250 34500 ) ( 110170 34500 )
+    NEW met2 ( 110170 34500 ) ( 110170 1576410 )
+    NEW met2 ( 1185650 1688780 ) ( 1185880 1688780 )
+    NEW met2 ( 1185880 1688780 ) ( 1185880 1690140 0 )
+    NEW met1 ( 110170 1576410 ) ( 1185650 1576410 )
+    NEW met2 ( 1185650 1576410 ) ( 1185650 1688780 )
+    NEW met1 ( 110170 1576410 ) M1M2_PR
+    NEW met1 ( 1185650 1576410 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) 
+  + ROUTED met2 ( 1188410 1688780 ) ( 1188640 1688780 )
+    NEW met2 ( 1188640 1688780 ) ( 1188640 1690140 0 )
+    NEW met2 ( 132710 2380 0 ) ( 132710 17510 )
+    NEW met1 ( 132710 17510 ) ( 148350 17510 )
+    NEW met2 ( 148350 17510 ) ( 148350 1617890 )
+    NEW met2 ( 1187030 1617890 ) ( 1187030 1632510 )
+    NEW met1 ( 1187030 1632510 ) ( 1188410 1632510 )
+    NEW met1 ( 148350 1617890 ) ( 1187030 1617890 )
+    NEW met2 ( 1188410 1632510 ) ( 1188410 1688780 )
+    NEW met1 ( 132710 17510 ) M1M2_PR
+    NEW met1 ( 148350 17510 ) M1M2_PR
+    NEW met1 ( 148350 1617890 ) M1M2_PR
+    NEW met1 ( 1187030 1617890 ) M1M2_PR
+    NEW met1 ( 1187030 1632510 ) M1M2_PR
+    NEW met1 ( 1188410 1632510 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) 
+  + ROUTED met1 ( 1163110 1676710 ) ( 1190250 1676710 )
+    NEW met2 ( 1190250 1676710 ) ( 1190250 1690140 0 )
+    NEW met2 ( 1163110 1500590 ) ( 1163110 1676710 )
+    NEW met2 ( 150650 2380 0 ) ( 150650 34500 )
+    NEW met2 ( 150650 34500 ) ( 151570 34500 )
+    NEW met2 ( 151570 34500 ) ( 151570 1500590 )
+    NEW met1 ( 151570 1500590 ) ( 1163110 1500590 )
+    NEW met1 ( 1163110 1500590 ) M1M2_PR
+    NEW met1 ( 1163110 1676710 ) M1M2_PR
+    NEW met1 ( 1190250 1676710 ) M1M2_PR
+    NEW met1 ( 151570 1500590 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) 
+  + ROUTED met2 ( 1191630 1562810 ) ( 1191630 1580100 )
+    NEW met2 ( 1191630 1580100 ) ( 1192090 1580100 )
+    NEW met2 ( 168130 2380 0 ) ( 168130 17510 )
+    NEW met1 ( 168130 17510 ) ( 172270 17510 )
+    NEW met1 ( 172270 1562810 ) ( 1191630 1562810 )
+    NEW met2 ( 172270 17510 ) ( 172270 1562810 )
+    NEW met2 ( 1192090 1580100 ) ( 1192090 1690140 0 )
+    NEW met1 ( 1191630 1562810 ) M1M2_PR
+    NEW met1 ( 168130 17510 ) M1M2_PR
+    NEW met1 ( 172270 17510 ) M1M2_PR
+    NEW met1 ( 172270 1562810 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) 
+  + ROUTED met1 ( 1169550 1666170 ) ( 1169550 1666850 )
+    NEW met2 ( 186070 2380 0 ) ( 186070 1666170 )
+    NEW met1 ( 186070 1666170 ) ( 1169550 1666170 )
+    NEW met1 ( 1169550 1666850 ) ( 1193930 1666850 )
+    NEW met2 ( 1193930 1666850 ) ( 1193930 1690140 0 )
+    NEW met1 ( 186070 1666170 ) M1M2_PR
+    NEW met1 ( 1193930 1666850 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) 
+  + ROUTED met2 ( 203550 2380 0 ) ( 203550 16830 )
+    NEW met1 ( 203550 16830 ) ( 206770 16830 )
+    NEW met2 ( 206770 16830 ) ( 206770 1652570 )
+    NEW met1 ( 206770 1652570 ) ( 1195770 1652570 )
+    NEW met2 ( 1195770 1652570 ) ( 1195770 1690140 0 )
+    NEW met1 ( 203550 16830 ) M1M2_PR
+    NEW met1 ( 206770 16830 ) M1M2_PR
+    NEW met1 ( 206770 1652570 ) M1M2_PR
+    NEW met1 ( 1195770 1652570 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) 
+  + ROUTED met2 ( 221490 2380 0 ) ( 221490 14450 )
+    NEW met1 ( 221490 14450 ) ( 227470 14450 )
+    NEW met2 ( 227470 14450 ) ( 227470 1597150 )
+    NEW met1 ( 227470 1597150 ) ( 1197150 1597150 )
+    NEW met2 ( 1197610 1690140 ) ( 1197840 1690140 0 )
+    NEW met2 ( 1197150 1631660 ) ( 1197610 1631660 )
+    NEW met2 ( 1197150 1597150 ) ( 1197150 1631660 )
+    NEW met2 ( 1197610 1631660 ) ( 1197610 1690140 )
+    NEW met1 ( 221490 14450 ) M1M2_PR
+    NEW met1 ( 227470 14450 ) M1M2_PR
+    NEW met1 ( 227470 1597150 ) M1M2_PR
+    NEW met1 ( 1197150 1597150 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) 
+  + ROUTED met2 ( 20470 2380 0 ) ( 20470 44710 )
+    NEW met2 ( 1176450 1688780 ) ( 1176680 1688780 )
+    NEW met2 ( 1176680 1688780 ) ( 1176680 1690140 0 )
+    NEW met2 ( 1176450 44710 ) ( 1176450 1688780 )
+    NEW met1 ( 20470 44710 ) ( 1176450 44710 )
+    NEW met1 ( 20470 44710 ) M1M2_PR
+    NEW met1 ( 1176450 44710 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) 
+  + ROUTED met2 ( 1176910 58650 ) ( 1176910 1580100 )
+    NEW met2 ( 1176910 1580100 ) ( 1178750 1580100 )
+    NEW met2 ( 1178750 1683340 ) ( 1179210 1683340 )
+    NEW met2 ( 1179210 1683340 ) ( 1179210 1690140 0 )
+    NEW met2 ( 43930 2380 0 ) ( 43930 17510 )
+    NEW met1 ( 43930 17510 ) ( 48070 17510 )
+    NEW met2 ( 48070 17510 ) ( 48070 58650 )
+    NEW met2 ( 1178750 1580100 ) ( 1178750 1683340 )
+    NEW met1 ( 48070 58650 ) ( 1176910 58650 )
+    NEW met1 ( 1176910 58650 ) M1M2_PR
+    NEW met1 ( 43930 17510 ) M1M2_PR
+    NEW met1 ( 48070 17510 ) M1M2_PR
+    NEW met1 ( 48070 58650 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) 
+  + ROUTED met1 ( 1169550 1679430 ) ( 1169550 1680110 )
+    NEW met2 ( 244950 2380 0 ) ( 244950 16830 )
+    NEW met1 ( 244950 16830 ) ( 248170 16830 )
+    NEW met1 ( 248170 106930 ) ( 1121710 106930 )
+    NEW met2 ( 248170 16830 ) ( 248170 106930 )
+    NEW met2 ( 1121710 106930 ) ( 1121710 1679430 )
+    NEW met1 ( 1121710 1679430 ) ( 1169550 1679430 )
+    NEW met2 ( 1199910 1680110 ) ( 1199910 1688780 )
+    NEW met2 ( 1199910 1688780 ) ( 1200140 1688780 )
+    NEW met2 ( 1200140 1688780 ) ( 1200140 1690140 0 )
+    NEW met1 ( 1169550 1680110 ) ( 1199910 1680110 )
+    NEW met1 ( 244950 16830 ) M1M2_PR
+    NEW met1 ( 248170 16830 ) M1M2_PR
+    NEW met1 ( 248170 106930 ) M1M2_PR
+    NEW met1 ( 1121710 106930 ) M1M2_PR
+    NEW met1 ( 1121710 1679430 ) M1M2_PR
+    NEW met1 ( 1199910 1680110 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) 
+  + ROUTED met2 ( 262890 2380 0 ) ( 262890 19890 )
+    NEW met1 ( 262890 19890 ) ( 268870 19890 )
+    NEW met1 ( 268870 1535610 ) ( 1204050 1535610 )
+    NEW met2 ( 268870 19890 ) ( 268870 1535610 )
+    NEW met1 ( 1202210 1630130 ) ( 1204050 1630130 )
+    NEW met2 ( 1202210 1630130 ) ( 1202210 1690140 0 )
+    NEW met2 ( 1204050 1535610 ) ( 1204050 1630130 )
+    NEW met1 ( 262890 19890 ) M1M2_PR
+    NEW met1 ( 268870 19890 ) M1M2_PR
+    NEW met1 ( 268870 1535610 ) M1M2_PR
+    NEW met1 ( 1204050 1535610 ) M1M2_PR
+    NEW met1 ( 1202210 1630130 ) M1M2_PR
+    NEW met1 ( 1204050 1630130 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) 
+  + ROUTED met2 ( 280370 2380 0 ) ( 280370 12580 )
+    NEW met2 ( 280370 12580 ) ( 282210 12580 )
+    NEW met2 ( 282210 12580 ) ( 282210 34500 )
+    NEW met2 ( 282210 34500 ) ( 282670 34500 )
+    NEW met2 ( 282670 34500 ) ( 282670 1521330 )
+    NEW met1 ( 282670 1521330 ) ( 1203590 1521330 )
+    NEW met2 ( 1203590 1521330 ) ( 1203590 1690140 0 )
+    NEW met1 ( 282670 1521330 ) M1M2_PR
+    NEW met1 ( 1203590 1521330 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) 
+  + ROUTED met2 ( 298310 2380 0 ) ( 298310 16830 )
+    NEW met1 ( 298310 16830 ) ( 303370 16830 )
+    NEW met2 ( 303370 16830 ) ( 303370 1486990 )
+    NEW met1 ( 303370 1486990 ) ( 1203130 1486990 )
+    NEW met2 ( 1202670 1630980 ) ( 1203130 1630980 )
+    NEW met2 ( 1202670 1630980 ) ( 1202670 1632510 )
+    NEW met1 ( 1202670 1632510 ) ( 1205430 1632510 )
+    NEW met2 ( 1203130 1486990 ) ( 1203130 1630980 )
+    NEW met2 ( 1205430 1632510 ) ( 1205430 1690140 0 )
+    NEW met1 ( 298310 16830 ) M1M2_PR
+    NEW met1 ( 303370 16830 ) M1M2_PR
+    NEW met1 ( 303370 1486990 ) M1M2_PR
+    NEW met1 ( 1203130 1486990 ) M1M2_PR
+    NEW met1 ( 1202670 1632510 ) M1M2_PR
+    NEW met1 ( 1205430 1632510 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) 
+  + ROUTED met2 ( 316250 2380 0 ) ( 316250 34500 )
+    NEW met2 ( 316250 34500 ) ( 317170 34500 )
+    NEW met2 ( 317170 34500 ) ( 317170 1417970 )
+    NEW met1 ( 317170 1417970 ) ( 1202670 1417970 )
+    NEW met1 ( 1202670 1630470 ) ( 1207270 1630470 )
+    NEW met2 ( 1202670 1417970 ) ( 1202670 1630470 )
+    NEW met2 ( 1207270 1630470 ) ( 1207270 1690140 0 )
+    NEW met1 ( 317170 1417970 ) M1M2_PR
+    NEW met1 ( 1202670 1417970 ) M1M2_PR
+    NEW met1 ( 1202670 1630470 ) M1M2_PR
+    NEW met1 ( 1207270 1630470 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) 
+  + ROUTED met2 ( 333730 2380 0 ) ( 333730 16830 )
+    NEW met1 ( 333730 16830 ) ( 337870 16830 )
+    NEW met2 ( 337870 16830 ) ( 337870 58990 )
+    NEW met2 ( 1209110 1688780 ) ( 1209340 1688780 )
+    NEW met2 ( 1209340 1688780 ) ( 1209340 1690140 0 )
+    NEW met1 ( 1209110 1632510 ) ( 1211410 1632510 )
+    NEW met2 ( 1209110 1632510 ) ( 1209110 1688780 )
+    NEW met2 ( 1211410 58990 ) ( 1211410 1632510 )
+    NEW met1 ( 337870 58990 ) ( 1211410 58990 )
+    NEW met1 ( 333730 16830 ) M1M2_PR
+    NEW met1 ( 337870 16830 ) M1M2_PR
+    NEW met1 ( 337870 58990 ) M1M2_PR
+    NEW met1 ( 1211410 58990 ) M1M2_PR
+    NEW met1 ( 1209110 1632510 ) M1M2_PR
+    NEW met1 ( 1211410 1632510 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) 
+  + ROUTED met2 ( 351670 2380 0 ) ( 351670 7820 )
+    NEW met2 ( 351210 7820 ) ( 351670 7820 )
+    NEW met2 ( 351210 7820 ) ( 351210 59330 )
+    NEW met2 ( 1211410 1676700 ) ( 1212330 1676700 )
+    NEW met2 ( 1211410 1676700 ) ( 1211410 1688780 )
+    NEW met2 ( 1211180 1688780 ) ( 1211410 1688780 )
+    NEW met2 ( 1211180 1688780 ) ( 1211180 1690140 0 )
+    NEW met2 ( 1212330 59330 ) ( 1212330 1676700 )
+    NEW met1 ( 351210 59330 ) ( 1212330 59330 )
+    NEW met1 ( 351210 59330 ) M1M2_PR
+    NEW met1 ( 1212330 59330 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) 
+  + ROUTED met2 ( 369150 2380 0 ) ( 369150 9860 )
+    NEW met2 ( 368690 9860 ) ( 369150 9860 )
+    NEW met2 ( 368690 9860 ) ( 368690 16830 )
+    NEW met1 ( 368690 16830 ) ( 372370 16830 )
+    NEW met2 ( 372370 16830 ) ( 372370 60350 )
+    NEW met1 ( 1211870 1631490 ) ( 1213250 1631490 )
+    NEW met2 ( 1211870 60350 ) ( 1211870 1631490 )
+    NEW met2 ( 1213250 1631490 ) ( 1213250 1690140 0 )
+    NEW met1 ( 372370 60350 ) ( 1211870 60350 )
+    NEW met1 ( 368690 16830 ) M1M2_PR
+    NEW met1 ( 372370 16830 ) M1M2_PR
+    NEW met1 ( 372370 60350 ) M1M2_PR
+    NEW met1 ( 1211870 60350 ) M1M2_PR
+    NEW met1 ( 1211870 1631490 ) M1M2_PR
+    NEW met1 ( 1213250 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) 
+  + ROUTED met2 ( 387090 2380 0 ) ( 387090 16830 )
+    NEW met1 ( 387090 16830 ) ( 393070 16830 )
+    NEW met2 ( 393070 16830 ) ( 393070 1638970 )
+    NEW met2 ( 1214630 1690140 0 ) ( 1215090 1690140 )
+    NEW met1 ( 393070 1638970 ) ( 1215090 1638970 )
+    NEW met2 ( 1215090 1638970 ) ( 1215090 1690140 )
+    NEW met1 ( 387090 16830 ) M1M2_PR
+    NEW met1 ( 393070 16830 ) M1M2_PR
+    NEW met1 ( 393070 1638970 ) M1M2_PR
+    NEW met1 ( 1215090 1638970 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) 
+  + ROUTED met2 ( 404570 2380 0 ) ( 404570 16830 )
+    NEW met1 ( 404570 16830 ) ( 406870 16830 )
+    NEW met2 ( 406870 16830 ) ( 406870 1583550 )
+    NEW met1 ( 406870 1583550 ) ( 1216470 1583550 )
+    NEW met2 ( 1216470 1583550 ) ( 1216470 1690140 0 )
+    NEW met1 ( 404570 16830 ) M1M2_PR
+    NEW met1 ( 406870 16830 ) M1M2_PR
+    NEW met1 ( 406870 1583550 ) M1M2_PR
+    NEW met1 ( 1216470 1583550 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) 
+  + ROUTED met1 ( 1181970 1683170 ) ( 1185190 1683170 )
+    NEW met2 ( 1181970 1683170 ) ( 1181970 1690140 0 )
+    NEW met2 ( 67850 2380 0 ) ( 67850 17510 )
+    NEW met1 ( 67850 17510 ) ( 72450 17510 )
+    NEW met1 ( 72450 1548870 ) ( 1185190 1548870 )
+    NEW met2 ( 72450 17510 ) ( 72450 1548870 )
+    NEW met2 ( 1185190 1548870 ) ( 1185190 1683170 )
+    NEW met1 ( 1185190 1548870 ) M1M2_PR
+    NEW met1 ( 1185190 1683170 ) M1M2_PR
+    NEW met1 ( 1181970 1683170 ) M1M2_PR
+    NEW met1 ( 67850 17510 ) M1M2_PR
+    NEW met1 ( 72450 17510 ) M1M2_PR
+    NEW met1 ( 72450 1548870 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) 
+  + ROUTED met2 ( 422510 2380 0 ) ( 422510 16830 )
+    NEW met1 ( 422510 16830 ) ( 427570 16830 )
+    NEW met2 ( 427570 16830 ) ( 427570 1590690 )
+    NEW met1 ( 427570 1590690 ) ( 1218310 1590690 )
+    NEW met2 ( 1218310 1590690 ) ( 1218310 1690140 0 )
+    NEW met1 ( 422510 16830 ) M1M2_PR
+    NEW met1 ( 427570 16830 ) M1M2_PR
+    NEW met1 ( 427570 1590690 ) M1M2_PR
+    NEW met1 ( 1218310 1590690 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) 
+  + ROUTED met1 ( 441370 1556350 ) ( 1216010 1556350 )
+    NEW met2 ( 439990 2380 0 ) ( 439990 34500 )
+    NEW met2 ( 439990 34500 ) ( 441370 34500 )
+    NEW met2 ( 441370 34500 ) ( 441370 1556350 )
+    NEW met2 ( 1220150 1688780 ) ( 1220380 1688780 )
+    NEW met2 ( 1220380 1688780 ) ( 1220380 1690140 0 )
+    NEW met1 ( 1216010 1631490 ) ( 1220150 1631490 )
+    NEW met2 ( 1216010 1556350 ) ( 1216010 1631490 )
+    NEW met2 ( 1220150 1631490 ) ( 1220150 1688780 )
+    NEW met1 ( 441370 1556350 ) M1M2_PR
+    NEW met1 ( 1216010 1556350 ) M1M2_PR
+    NEW met1 ( 1216010 1631490 ) M1M2_PR
+    NEW met1 ( 1220150 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) 
+  + ROUTED met2 ( 457930 2380 0 ) ( 457930 34500 )
+    NEW met2 ( 457930 34500 ) ( 458850 34500 )
+    NEW met2 ( 458850 34500 ) ( 458850 1660050 )
+    NEW met2 ( 1222220 1689460 ) ( 1222220 1690140 0 )
+    NEW met2 ( 1221990 1689460 ) ( 1222220 1689460 )
+    NEW met2 ( 1221990 1660050 ) ( 1221990 1689460 )
+    NEW met1 ( 458850 1660050 ) ( 1221990 1660050 )
+    NEW met1 ( 458850 1660050 ) M1M2_PR
+    NEW met1 ( 1221990 1660050 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) 
+  + ROUTED met2 ( 475870 2380 0 ) ( 475870 1646450 )
+    NEW met2 ( 1224290 1646450 ) ( 1224290 1690140 0 )
+    NEW met1 ( 475870 1646450 ) ( 1224290 1646450 )
+    NEW met1 ( 475870 1646450 ) M1M2_PR
+    NEW met1 ( 1224290 1646450 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) 
+  + ROUTED met2 ( 493350 2380 0 ) ( 493350 16830 )
+    NEW met1 ( 493350 16830 ) ( 496570 16830 )
+    NEW met2 ( 496570 16830 ) ( 496570 1625030 )
+    NEW li1 ( 1225670 1625030 ) ( 1225670 1632510 )
+    NEW met2 ( 1225670 1632510 ) ( 1225670 1690140 0 )
+    NEW met1 ( 496570 1625030 ) ( 1225670 1625030 )
+    NEW met1 ( 493350 16830 ) M1M2_PR
+    NEW met1 ( 496570 16830 ) M1M2_PR
+    NEW met1 ( 496570 1625030 ) M1M2_PR
+    NEW li1 ( 1225670 1625030 ) L1M1_PR_MR
+    NEW li1 ( 1225670 1632510 ) L1M1_PR_MR
+    NEW met1 ( 1225670 1632510 ) M1M2_PR
+    NEW met1 ( 1225670 1632510 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) 
+  + ROUTED met2 ( 511290 2380 0 ) ( 511290 15130 )
+    NEW met1 ( 511290 15130 ) ( 517270 15130 )
+    NEW met2 ( 517270 15130 ) ( 517270 1569950 )
+    NEW met1 ( 517270 1569950 ) ( 1226590 1569950 )
+    NEW met2 ( 1226590 1569950 ) ( 1226590 1580100 )
+    NEW met2 ( 1226590 1580100 ) ( 1227050 1580100 )
+    NEW met2 ( 1227050 1632340 ) ( 1227510 1632340 )
+    NEW met2 ( 1227050 1580100 ) ( 1227050 1632340 )
+    NEW met2 ( 1227510 1632340 ) ( 1227510 1690140 0 )
+    NEW met1 ( 511290 15130 ) M1M2_PR
+    NEW met1 ( 517270 15130 ) M1M2_PR
+    NEW met1 ( 517270 1569950 ) M1M2_PR
+    NEW met1 ( 1226590 1569950 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) 
+  + ROUTED met2 ( 528770 2380 0 ) ( 528770 15130 )
+    NEW met1 ( 528770 15130 ) ( 531070 15130 )
+    NEW met2 ( 531070 15130 ) ( 531070 1632850 )
+    NEW li1 ( 1221530 1632850 ) ( 1221990 1632850 )
+    NEW li1 ( 1221990 1632850 ) ( 1221990 1633870 )
+    NEW met1 ( 1221990 1633870 ) ( 1229350 1633870 )
+    NEW met2 ( 1229350 1633870 ) ( 1229350 1690140 0 )
+    NEW met1 ( 531070 1632850 ) ( 1221530 1632850 )
+    NEW met1 ( 528770 15130 ) M1M2_PR
+    NEW met1 ( 531070 15130 ) M1M2_PR
+    NEW met1 ( 531070 1632850 ) M1M2_PR
+    NEW li1 ( 1221530 1632850 ) L1M1_PR_MR
+    NEW li1 ( 1221990 1633870 ) L1M1_PR_MR
+    NEW met1 ( 1229350 1633870 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) 
+  + ROUTED met2 ( 546710 2380 0 ) ( 546710 34500 )
+    NEW met2 ( 546710 34500 ) ( 548550 34500 )
+    NEW met2 ( 548550 34500 ) ( 548550 1605310 )
+    NEW met1 ( 548550 1605310 ) ( 1232570 1605310 )
+    NEW met1 ( 1231190 1633190 ) ( 1232570 1633190 )
+    NEW met2 ( 1232570 1605310 ) ( 1232570 1633190 )
+    NEW met2 ( 1231190 1688780 ) ( 1231420 1688780 )
+    NEW met2 ( 1231420 1688780 ) ( 1231420 1690140 0 )
+    NEW met2 ( 1231190 1633190 ) ( 1231190 1688780 )
+    NEW met1 ( 548550 1605310 ) M1M2_PR
+    NEW met1 ( 1232570 1605310 ) M1M2_PR
+    NEW met1 ( 1231190 1633190 ) M1M2_PR
+    NEW met1 ( 1232570 1633190 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) 
+  + ROUTED met2 ( 564190 2380 0 ) ( 564190 34500 )
+    NEW met2 ( 564190 34500 ) ( 565570 34500 )
+    NEW met2 ( 565570 34500 ) ( 565570 1611430 )
+    NEW met1 ( 565570 1611430 ) ( 1228430 1611430 )
+    NEW met2 ( 1233260 1689290 ) ( 1233260 1690140 0 )
+    NEW met1 ( 1228430 1689290 ) ( 1233260 1689290 )
+    NEW met2 ( 1228430 1611430 ) ( 1228430 1689290 )
+    NEW met1 ( 565570 1611430 ) M1M2_PR
+    NEW met1 ( 1228430 1611430 ) M1M2_PR
+    NEW met1 ( 1233260 1689290 ) M1M2_PR
+    NEW met1 ( 1228430 1689290 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) 
+  + ROUTED met2 ( 582130 2380 0 ) ( 582130 18190 )
+    NEW met1 ( 582130 18190 ) ( 586270 18190 )
+    NEW met2 ( 586270 18190 ) ( 586270 1618910 )
+    NEW met2 ( 1235330 1618910 ) ( 1235330 1690140 0 )
+    NEW met1 ( 586270 1618910 ) ( 1235330 1618910 )
+    NEW met1 ( 582130 18190 ) M1M2_PR
+    NEW met1 ( 586270 18190 ) M1M2_PR
+    NEW met1 ( 586270 1618910 ) M1M2_PR
+    NEW met1 ( 1235330 1618910 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) 
+  + ROUTED met2 ( 91310 2380 0 ) ( 91310 17510 )
+    NEW met1 ( 91310 17510 ) ( 96370 17510 )
+    NEW met2 ( 96370 17510 ) ( 96370 1507390 )
+    NEW met1 ( 96370 1507390 ) ( 1184270 1507390 )
+    NEW met2 ( 1184270 1507390 ) ( 1184270 1690140 0 )
+    NEW met1 ( 91310 17510 ) M1M2_PR
+    NEW met1 ( 96370 17510 ) M1M2_PR
+    NEW met1 ( 96370 1507390 ) M1M2_PR
+    NEW met1 ( 1184270 1507390 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) 
+  + ROUTED met2 ( 599610 2380 0 ) ( 599610 7140 )
+    NEW met2 ( 599610 7140 ) ( 600070 7140 )
+    NEW met2 ( 600070 7140 ) ( 600070 1542750 )
+    NEW met1 ( 600070 1542750 ) ( 1238550 1542750 )
+    NEW met1 ( 1236710 1631150 ) ( 1238550 1631150 )
+    NEW met2 ( 1238550 1542750 ) ( 1238550 1631150 )
+    NEW met2 ( 1236710 1631150 ) ( 1236710 1690140 0 )
+    NEW met1 ( 600070 1542750 ) M1M2_PR
+    NEW met1 ( 1238550 1542750 ) M1M2_PR
+    NEW met1 ( 1236710 1631150 ) M1M2_PR
+    NEW met1 ( 1238550 1631150 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) 
+  + ROUTED met2 ( 617550 2380 0 ) ( 617550 17850 )
+    NEW met1 ( 617550 17850 ) ( 620770 17850 )
+    NEW met2 ( 620770 17850 ) ( 620770 1514530 )
+    NEW met1 ( 620770 1514530 ) ( 1238090 1514530 )
+    NEW met2 ( 1238090 1631660 ) ( 1238550 1631660 )
+    NEW met2 ( 1238090 1514530 ) ( 1238090 1631660 )
+    NEW met2 ( 1238550 1631660 ) ( 1238550 1690140 0 )
+    NEW met1 ( 617550 17850 ) M1M2_PR
+    NEW met1 ( 620770 17850 ) M1M2_PR
+    NEW met1 ( 620770 1514530 ) M1M2_PR
+    NEW met1 ( 1238090 1514530 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) 
+  + ROUTED met2 ( 115230 2380 0 ) ( 115230 34500 )
+    NEW met2 ( 115230 34500 ) ( 117070 34500 )
+    NEW met2 ( 117070 34500 ) ( 117070 1473050 )
+    NEW met2 ( 1186570 1690140 ) ( 1186800 1690140 0 )
+    NEW met1 ( 117070 1473050 ) ( 1183810 1473050 )
+    NEW met1 ( 1183810 1631490 ) ( 1186570 1631490 )
+    NEW met2 ( 1183810 1473050 ) ( 1183810 1631490 )
+    NEW met2 ( 1186570 1631490 ) ( 1186570 1690140 )
+    NEW met1 ( 117070 1473050 ) M1M2_PR
+    NEW met1 ( 1183810 1473050 ) M1M2_PR
+    NEW met1 ( 1183810 1631490 ) M1M2_PR
+    NEW met1 ( 1186570 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) 
+  + ROUTED met2 ( 1189100 1688780 ) ( 1189330 1688780 )
+    NEW met2 ( 1189100 1688780 ) ( 1189100 1690140 0 )
+    NEW met2 ( 138690 2380 0 ) ( 138690 19550 )
+    NEW met1 ( 138690 19550 ) ( 162150 19550 )
+    NEW met1 ( 162150 1576750 ) ( 1190250 1576750 )
+    NEW met2 ( 162150 19550 ) ( 162150 1576750 )
+    NEW met2 ( 1189330 1631660 ) ( 1190250 1631660 )
+    NEW met2 ( 1189330 1631660 ) ( 1189330 1688780 )
+    NEW met2 ( 1190250 1576750 ) ( 1190250 1631660 )
+    NEW met1 ( 1190250 1576750 ) M1M2_PR
+    NEW met1 ( 138690 19550 ) M1M2_PR
+    NEW met1 ( 162150 19550 ) M1M2_PR
+    NEW met1 ( 162150 1576750 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) 
+  + ROUTED met2 ( 156630 2380 0 ) ( 156630 17850 )
+    NEW met1 ( 156630 17850 ) ( 175950 17850 )
+    NEW met1 ( 175950 1445510 ) ( 1189790 1445510 )
+    NEW met2 ( 175950 17850 ) ( 175950 1445510 )
+    NEW met1 ( 1189790 1631150 ) ( 1191170 1631150 )
+    NEW met2 ( 1189790 1445510 ) ( 1189790 1631150 )
+    NEW met2 ( 1191170 1631150 ) ( 1191170 1690140 0 )
+    NEW met1 ( 1189790 1445510 ) M1M2_PR
+    NEW met1 ( 156630 17850 ) M1M2_PR
+    NEW met1 ( 175950 17850 ) M1M2_PR
+    NEW met1 ( 175950 1445510 ) M1M2_PR
+    NEW met1 ( 1189790 1631150 ) M1M2_PR
+    NEW met1 ( 1191170 1631150 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) 
+  + ROUTED met2 ( 174110 2380 0 ) ( 174110 16830 )
+    NEW met1 ( 174110 16830 ) ( 179170 16830 )
+    NEW met2 ( 179170 16830 ) ( 179170 1397230 )
+    NEW met1 ( 179170 1397230 ) ( 1189330 1397230 )
+    NEW met1 ( 1189330 1630810 ) ( 1192550 1630810 )
+    NEW met2 ( 1189330 1397230 ) ( 1189330 1630810 )
+    NEW met2 ( 1192550 1630810 ) ( 1192550 1690140 0 )
+    NEW met1 ( 1189330 1397230 ) M1M2_PR
+    NEW met1 ( 174110 16830 ) M1M2_PR
+    NEW met1 ( 179170 16830 ) M1M2_PR
+    NEW met1 ( 179170 1397230 ) M1M2_PR
+    NEW met1 ( 1189330 1630810 ) M1M2_PR
+    NEW met1 ( 1192550 1630810 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) 
+  + ROUTED met2 ( 192050 2380 0 ) ( 192050 9860 )
+    NEW met2 ( 192050 9860 ) ( 192510 9860 )
+    NEW met2 ( 192510 9860 ) ( 192510 16830 )
+    NEW met1 ( 192510 16830 ) ( 196650 16830 )
+    NEW met2 ( 196650 16830 ) ( 196650 1535270 )
+    NEW met1 ( 196650 1535270 ) ( 1196230 1535270 )
+    NEW met1 ( 1194390 1631150 ) ( 1196230 1631150 )
+    NEW met2 ( 1194390 1631150 ) ( 1194390 1690140 0 )
+    NEW met2 ( 1196230 1535270 ) ( 1196230 1631150 )
+    NEW met1 ( 192510 16830 ) M1M2_PR
+    NEW met1 ( 196650 16830 ) M1M2_PR
+    NEW met1 ( 196650 1535270 ) M1M2_PR
+    NEW met1 ( 1196230 1535270 ) M1M2_PR
+    NEW met1 ( 1194390 1631150 ) M1M2_PR
+    NEW met1 ( 1196230 1631150 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) 
+  + ROUTED met2 ( 209530 2380 0 ) ( 209530 16830 )
+    NEW met1 ( 209530 16830 ) ( 217350 16830 )
+    NEW met2 ( 217350 16830 ) ( 217350 1493790 )
+    NEW met1 ( 217350 1493790 ) ( 1195770 1493790 )
+    NEW met2 ( 1195770 1631660 ) ( 1196230 1631660 )
+    NEW met2 ( 1195770 1493790 ) ( 1195770 1631660 )
+    NEW met2 ( 1196230 1631660 ) ( 1196230 1690140 0 )
+    NEW met1 ( 209530 16830 ) M1M2_PR
+    NEW met1 ( 217350 16830 ) M1M2_PR
+    NEW met1 ( 217350 1493790 ) M1M2_PR
+    NEW met1 ( 1195770 1493790 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) 
+  + ROUTED met2 ( 227470 2380 0 ) ( 227470 9860 )
+    NEW met2 ( 227010 9860 ) ( 227470 9860 )
+    NEW met2 ( 227010 9860 ) ( 227010 19890 )
+    NEW met1 ( 227010 19890 ) ( 231150 19890 )
+    NEW met1 ( 231150 1383290 ) ( 1195310 1383290 )
+    NEW met2 ( 231150 19890 ) ( 231150 1383290 )
+    NEW met2 ( 1198070 1688780 ) ( 1198300 1688780 )
+    NEW met2 ( 1198300 1688780 ) ( 1198300 1690140 0 )
+    NEW met1 ( 1195310 1633190 ) ( 1198070 1633190 )
+    NEW met2 ( 1195310 1383290 ) ( 1195310 1633190 )
+    NEW met2 ( 1198070 1633190 ) ( 1198070 1688780 )
+    NEW met1 ( 227010 19890 ) M1M2_PR
+    NEW met1 ( 231150 19890 ) M1M2_PR
+    NEW met1 ( 231150 1383290 ) M1M2_PR
+    NEW met1 ( 1195310 1383290 ) M1M2_PR
+    NEW met1 ( 1195310 1633190 ) M1M2_PR
+    NEW met1 ( 1198070 1633190 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) 
+  + ROUTED met1 ( 1180130 1683510 ) ( 1183350 1683510 )
+    NEW met2 ( 1180130 1683510 ) ( 1180130 1690140 0 )
+    NEW met2 ( 49910 2380 0 ) ( 49910 17510 )
+    NEW met1 ( 49910 17510 ) ( 54970 17510 )
+    NEW met1 ( 54970 1369690 ) ( 1183350 1369690 )
+    NEW met2 ( 54970 17510 ) ( 54970 1369690 )
+    NEW met2 ( 1183350 1369690 ) ( 1183350 1683510 )
+    NEW met1 ( 1183350 1369690 ) M1M2_PR
+    NEW met1 ( 1183350 1683510 ) M1M2_PR
+    NEW met1 ( 1180130 1683510 ) M1M2_PR
+    NEW met1 ( 49910 17510 ) M1M2_PR
+    NEW met1 ( 54970 17510 ) M1M2_PR
+    NEW met1 ( 54970 1369690 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) 
+  + ROUTED met2 ( 250930 2380 0 ) ( 250930 16830 )
+    NEW met1 ( 250930 16830 ) ( 255070 16830 )
+    NEW met1 ( 255070 1355750 ) ( 1202210 1355750 )
+    NEW met2 ( 255070 16830 ) ( 255070 1355750 )
+    NEW met2 ( 1200600 1688780 ) ( 1200830 1688780 )
+    NEW met2 ( 1200600 1688780 ) ( 1200600 1690140 0 )
+    NEW met2 ( 1202210 1355750 ) ( 1202210 1607700 )
+    NEW met2 ( 1200830 1607700 ) ( 1202210 1607700 )
+    NEW met2 ( 1200830 1607700 ) ( 1200830 1688780 )
+    NEW met1 ( 250930 16830 ) M1M2_PR
+    NEW met1 ( 255070 16830 ) M1M2_PR
+    NEW met1 ( 255070 1355750 ) M1M2_PR
+    NEW met1 ( 1202210 1355750 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) 
+  + ROUTED met2 ( 268870 2380 0 ) ( 268870 17340 )
+    NEW met2 ( 268410 17340 ) ( 268870 17340 )
+    NEW met1 ( 268410 1341810 ) ( 1206350 1341810 )
+    NEW met2 ( 268410 17340 ) ( 268410 1341810 )
+    NEW met2 ( 1206350 1341810 ) ( 1206350 1580100 )
+    NEW met2 ( 1206350 1580100 ) ( 1206810 1580100 )
+    NEW met1 ( 1202670 1633190 ) ( 1206810 1633190 )
+    NEW met2 ( 1202670 1633190 ) ( 1202670 1690140 0 )
+    NEW met2 ( 1206810 1580100 ) ( 1206810 1633190 )
+    NEW met1 ( 268410 1341810 ) M1M2_PR
+    NEW met1 ( 1206350 1341810 ) M1M2_PR
+    NEW met1 ( 1202670 1633190 ) M1M2_PR
+    NEW met1 ( 1206810 1633190 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) 
+  + ROUTED met2 ( 286350 2380 0 ) ( 286350 16830 )
+    NEW met1 ( 286350 16830 ) ( 289570 16830 )
+    NEW met2 ( 289570 16830 ) ( 289570 1328210 )
+    NEW met1 ( 289570 1328210 ) ( 1205430 1328210 )
+    NEW met1 ( 1204510 1631150 ) ( 1204510 1631490 )
+    NEW met1 ( 1204510 1631150 ) ( 1205430 1631150 )
+    NEW met2 ( 1204510 1631490 ) ( 1204510 1690140 0 )
+    NEW met2 ( 1205430 1328210 ) ( 1205430 1631150 )
+    NEW met1 ( 286350 16830 ) M1M2_PR
+    NEW met1 ( 289570 16830 ) M1M2_PR
+    NEW met1 ( 289570 1328210 ) M1M2_PR
+    NEW met1 ( 1205430 1328210 ) M1M2_PR
+    NEW met1 ( 1204510 1631490 ) M1M2_PR
+    NEW met1 ( 1205430 1631150 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) 
+  + ROUTED met2 ( 304290 2380 0 ) ( 304290 16830 )
+    NEW met1 ( 304290 16830 ) ( 309810 16830 )
+    NEW met2 ( 309810 16830 ) ( 309810 189550 )
+    NEW met1 ( 309810 189550 ) ( 1204970 189550 )
+    NEW met1 ( 1204970 1614490 ) ( 1206350 1614490 )
+    NEW met2 ( 1204970 189550 ) ( 1204970 1614490 )
+    NEW met2 ( 1206350 1614490 ) ( 1206350 1690140 0 )
+    NEW met1 ( 304290 16830 ) M1M2_PR
+    NEW met1 ( 309810 16830 ) M1M2_PR
+    NEW met1 ( 309810 189550 ) M1M2_PR
+    NEW met1 ( 1204970 189550 ) M1M2_PR
+    NEW met1 ( 1204970 1614490 ) M1M2_PR
+    NEW met1 ( 1206350 1614490 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) 
+  + ROUTED met2 ( 321770 2380 0 ) ( 321770 16830 )
+    NEW met1 ( 321770 16830 ) ( 324070 16830 )
+    NEW met2 ( 324070 16830 ) ( 324070 1549210 )
+    NEW met1 ( 324070 1549210 ) ( 1210490 1549210 )
+    NEW met2 ( 1207960 1688780 ) ( 1208190 1688780 )
+    NEW met2 ( 1207960 1688780 ) ( 1207960 1690140 0 )
+    NEW met1 ( 1208190 1631490 ) ( 1210490 1631490 )
+    NEW met2 ( 1208190 1631490 ) ( 1208190 1688780 )
+    NEW met2 ( 1210490 1549210 ) ( 1210490 1631490 )
+    NEW met1 ( 321770 16830 ) M1M2_PR
+    NEW met1 ( 324070 16830 ) M1M2_PR
+    NEW met1 ( 324070 1549210 ) M1M2_PR
+    NEW met1 ( 1210490 1549210 ) M1M2_PR
+    NEW met1 ( 1208190 1631490 ) M1M2_PR
+    NEW met1 ( 1210490 1631490 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) 
+  + ROUTED met2 ( 339710 2380 0 ) ( 339710 16830 )
+    NEW met1 ( 339710 16830 ) ( 344770 16830 )
+    NEW met1 ( 344770 1480190 ) ( 1210030 1480190 )
+    NEW met2 ( 344770 16830 ) ( 344770 1480190 )
+    NEW met2 ( 1209800 1688780 ) ( 1210030 1688780 )
+    NEW met2 ( 1209800 1688780 ) ( 1209800 1690140 0 )
+    NEW met2 ( 1210030 1480190 ) ( 1210030 1688780 )
+    NEW met1 ( 339710 16830 ) M1M2_PR
+    NEW met1 ( 344770 16830 ) M1M2_PR
+    NEW met1 ( 344770 1480190 ) M1M2_PR
+    NEW met1 ( 1210030 1480190 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) 
+  + ROUTED met2 ( 357650 2380 0 ) ( 357650 34500 )
+    NEW met2 ( 357650 34500 ) ( 358570 34500 )
+    NEW met2 ( 358570 34500 ) ( 358570 1314270 )
+    NEW met1 ( 358570 1314270 ) ( 1209110 1314270 )
+    NEW met1 ( 1209570 1683850 ) ( 1211870 1683850 )
+    NEW met2 ( 1211870 1683850 ) ( 1211870 1689460 )
+    NEW met2 ( 1211640 1689460 ) ( 1211870 1689460 )
+    NEW met2 ( 1211640 1689460 ) ( 1211640 1690140 0 )
+    NEW met2 ( 1209110 1631660 ) ( 1209570 1631660 )
+    NEW met2 ( 1209110 1314270 ) ( 1209110 1631660 )
+    NEW met2 ( 1209570 1631660 ) ( 1209570 1683850 )
+    NEW met1 ( 358570 1314270 ) M1M2_PR
+    NEW met1 ( 1209110 1314270 ) M1M2_PR
+    NEW met1 ( 1209570 1683850 ) M1M2_PR
+    NEW met1 ( 1211870 1683850 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) 
+  + ROUTED met2 ( 375130 2380 0 ) ( 375130 16830 )
+    NEW met1 ( 375130 16830 ) ( 379270 16830 )
+    NEW met2 ( 379270 16830 ) ( 379270 1466590 )
+    NEW met1 ( 379270 1466590 ) ( 1209570 1466590 )
+    NEW met1 ( 1209570 1631150 ) ( 1213710 1631150 )
+    NEW met2 ( 1209570 1466590 ) ( 1209570 1631150 )
+    NEW met2 ( 1213710 1631150 ) ( 1213710 1690140 0 )
+    NEW met1 ( 375130 16830 ) M1M2_PR
+    NEW met1 ( 379270 16830 ) M1M2_PR
+    NEW met1 ( 379270 1466590 ) M1M2_PR
+    NEW met1 ( 1209570 1466590 ) M1M2_PR
+    NEW met1 ( 1209570 1631150 ) M1M2_PR
+    NEW met1 ( 1213710 1631150 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) 
+  + ROUTED met2 ( 393070 2380 0 ) ( 393070 15810 )
+    NEW met1 ( 393070 15810 ) ( 396750 15810 )
+    NEW met2 ( 396750 15810 ) ( 396750 1673650 )
+    NEW met1 ( 396750 1673650 ) ( 1214630 1673650 )
+    NEW met1 ( 1214630 1683850 ) ( 1215550 1683850 )
+    NEW met2 ( 1215550 1683850 ) ( 1215550 1690140 0 )
+    NEW met2 ( 1214630 1673650 ) ( 1214630 1683850 )
+    NEW met1 ( 393070 15810 ) M1M2_PR
+    NEW met1 ( 396750 15810 ) M1M2_PR
+    NEW met1 ( 396750 1673650 ) M1M2_PR
+    NEW met1 ( 1214630 1673650 ) M1M2_PR
+    NEW met1 ( 1214630 1683850 ) M1M2_PR
+    NEW met1 ( 1215550 1683850 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) 
+  + ROUTED met2 ( 410550 2380 0 ) ( 410550 20230 )
+    NEW met1 ( 1216930 1683850 ) ( 1219230 1683850 )
+    NEW met2 ( 1216930 1683850 ) ( 1216930 1690140 )
+    NEW met2 ( 1216930 1690140 ) ( 1217390 1690140 0 )
+    NEW met2 ( 1219230 20230 ) ( 1219230 1683850 )
+    NEW li1 ( 929890 20230 ) ( 930810 20230 )
+    NEW met1 ( 410550 20230 ) ( 929890 20230 )
+    NEW met1 ( 930810 20230 ) ( 1219230 20230 )
+    NEW met1 ( 410550 20230 ) M1M2_PR
+    NEW met1 ( 1219230 20230 ) M1M2_PR
+    NEW met1 ( 1219230 1683850 ) M1M2_PR
+    NEW met1 ( 1216930 1683850 ) M1M2_PR
+    NEW li1 ( 929890 20230 ) L1M1_PR_MR
+    NEW li1 ( 930810 20230 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) 
+  + ROUTED met2 ( 73830 2380 0 ) ( 73830 18020 )
+    NEW met3 ( 73830 18020 ) ( 1182890 18020 )
+    NEW li1 ( 1182430 1631490 ) ( 1182430 1635230 )
+    NEW met1 ( 1182430 1631490 ) ( 1182890 1631490 )
+    NEW met2 ( 1182430 1635230 ) ( 1182430 1690140 0 )
+    NEW met2 ( 1182890 18020 ) ( 1182890 1631490 )
+    NEW met2 ( 1182890 18020 ) via2_FR
+    NEW met2 ( 73830 18020 ) via2_FR
+    NEW li1 ( 1182430 1635230 ) L1M1_PR_MR
+    NEW met1 ( 1182430 1635230 ) M1M2_PR
+    NEW li1 ( 1182430 1631490 ) L1M1_PR_MR
+    NEW met1 ( 1182890 1631490 ) M1M2_PR
+    NEW met1 ( 1182430 1635230 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) 
+  + ROUTED met2 ( 428490 2380 0 ) ( 428490 20570 )
+    NEW met1 ( 1217390 1685210 ) ( 1218770 1685210 )
+    NEW met2 ( 1218770 1685210 ) ( 1218770 1688780 )
+    NEW met2 ( 1218770 1688780 ) ( 1219000 1688780 )
+    NEW met2 ( 1219000 1688780 ) ( 1219000 1690140 0 )
+    NEW met2 ( 1217390 20570 ) ( 1217390 1685210 )
+    NEW met1 ( 428490 20570 ) ( 1217390 20570 )
+    NEW met1 ( 428490 20570 ) M1M2_PR
+    NEW met1 ( 1217390 20570 ) M1M2_PR
+    NEW met1 ( 1217390 1685210 ) M1M2_PR
+    NEW met1 ( 1218770 1685210 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) 
+  + ROUTED li1 ( 510830 15130 ) ( 510830 16830 )
+    NEW met2 ( 445970 2380 0 ) ( 445970 15130 )
+    NEW met1 ( 445970 15130 ) ( 510830 15130 )
+    NEW met2 ( 1215550 1676700 ) ( 1216010 1676700 )
+    NEW met2 ( 1216010 1676700 ) ( 1216010 1688950 )
+    NEW met1 ( 1216010 1688950 ) ( 1220840 1688950 )
+    NEW met2 ( 1220840 1688950 ) ( 1220840 1690140 0 )
+    NEW met2 ( 1215550 16830 ) ( 1215550 1676700 )
+    NEW met1 ( 510830 16830 ) ( 1215550 16830 )
+    NEW li1 ( 510830 15130 ) L1M1_PR_MR
+    NEW li1 ( 510830 16830 ) L1M1_PR_MR
+    NEW met1 ( 445970 15130 ) M1M2_PR
+    NEW met1 ( 1215550 16830 ) M1M2_PR
+    NEW met1 ( 1216010 1688950 ) M1M2_PR
+    NEW met1 ( 1220840 1688950 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) 
+  + ROUTED met2 ( 463910 2380 0 ) ( 463910 15810 )
+    NEW met2 ( 1225670 15810 ) ( 1225670 18020 )
+    NEW met2 ( 1225670 18020 ) ( 1226130 18020 )
+    NEW met1 ( 1222910 1630470 ) ( 1226130 1630470 )
+    NEW met2 ( 1226130 18020 ) ( 1226130 1630470 )
+    NEW met2 ( 1222680 1688780 ) ( 1222910 1688780 )
+    NEW met2 ( 1222680 1688780 ) ( 1222680 1690140 0 )
+    NEW met2 ( 1222910 1630470 ) ( 1222910 1688780 )
+    NEW met1 ( 463910 15810 ) ( 1225670 15810 )
+    NEW met1 ( 463910 15810 ) M1M2_PR
+    NEW met1 ( 1225670 15810 ) M1M2_PR
+    NEW met1 ( 1222910 1630470 ) M1M2_PR
+    NEW met1 ( 1226130 1630470 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) 
+  + ROUTED met2 ( 481390 2380 0 ) ( 481390 15470 )
+    NEW met2 ( 1225210 15470 ) ( 1225210 18700 )
+    NEW met2 ( 1225210 18700 ) ( 1225670 18700 )
+    NEW met2 ( 1224750 1631660 ) ( 1225670 1631660 )
+    NEW met2 ( 1225670 18700 ) ( 1225670 1631660 )
+    NEW met2 ( 1224750 1631660 ) ( 1224750 1690140 0 )
+    NEW met1 ( 481390 15470 ) ( 1225210 15470 )
+    NEW met1 ( 481390 15470 ) M1M2_PR
+    NEW met1 ( 1225210 15470 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) 
+  + ROUTED met2 ( 499330 2380 0 ) ( 499330 14450 )
+    NEW li1 ( 545330 14450 ) ( 545330 15130 )
+    NEW met1 ( 499330 14450 ) ( 545330 14450 )
+    NEW met2 ( 1224750 15130 ) ( 1224750 34500 )
+    NEW met2 ( 1224750 34500 ) ( 1225210 34500 )
+    NEW met1 ( 1225210 1631150 ) ( 1226130 1631150 )
+    NEW met2 ( 1225210 34500 ) ( 1225210 1631150 )
+    NEW met2 ( 1226130 1690140 ) ( 1226590 1690140 0 )
+    NEW met2 ( 1226130 1631150 ) ( 1226130 1690140 )
+    NEW met1 ( 545330 15130 ) ( 1224750 15130 )
+    NEW met1 ( 499330 14450 ) M1M2_PR
+    NEW li1 ( 545330 14450 ) L1M1_PR_MR
+    NEW li1 ( 545330 15130 ) L1M1_PR_MR
+    NEW met1 ( 1224750 15130 ) M1M2_PR
+    NEW met1 ( 1225210 1631150 ) M1M2_PR
+    NEW met1 ( 1226130 1631150 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) 
+  + ROUTED met2 ( 516810 2380 0 ) ( 516810 14790 )
+    NEW met2 ( 1222910 14790 ) ( 1222910 1607700 )
+    NEW met2 ( 1221990 1607700 ) ( 1222910 1607700 )
+    NEW met2 ( 1221990 1607700 ) ( 1221990 1633190 )
+    NEW met1 ( 1221990 1633190 ) ( 1227970 1633190 )
+    NEW met2 ( 1227970 1633190 ) ( 1227970 1690140 0 )
+    NEW met1 ( 516810 14790 ) ( 1222910 14790 )
+    NEW met1 ( 516810 14790 ) M1M2_PR
+    NEW met1 ( 1222910 14790 ) M1M2_PR
+    NEW met1 ( 1221990 1633190 ) M1M2_PR
+    NEW met1 ( 1227970 1633190 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) 
+  + ROUTED met2 ( 534750 2380 0 ) ( 534750 14110 )
+    NEW met1 ( 534750 14110 ) ( 545790 14110 )
+    NEW met1 ( 545790 14110 ) ( 545790 14450 )
+    NEW met1 ( 1230270 1632510 ) ( 1231190 1632510 )
+    NEW met2 ( 1231190 14450 ) ( 1231190 1632510 )
+    NEW met1 ( 545790 14450 ) ( 1231190 14450 )
+    NEW met2 ( 1230040 1689460 ) ( 1230040 1690140 0 )
+    NEW met2 ( 1230040 1689460 ) ( 1230270 1689460 )
+    NEW met2 ( 1230270 1632510 ) ( 1230270 1689460 )
+    NEW met1 ( 534750 14110 ) M1M2_PR
+    NEW met1 ( 1231190 14450 ) M1M2_PR
+    NEW met1 ( 1230270 1632510 ) M1M2_PR
+    NEW met1 ( 1231190 1632510 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) 
+  + ROUTED met2 ( 552690 2380 0 ) ( 552690 14110 )
+    NEW met2 ( 1231650 1688100 ) ( 1231880 1688100 )
+    NEW met2 ( 1231880 1688100 ) ( 1231880 1690140 0 )
+    NEW met2 ( 1231650 14110 ) ( 1231650 1688100 )
+    NEW met1 ( 552690 14110 ) ( 1231650 14110 )
+    NEW met1 ( 552690 14110 ) M1M2_PR
+    NEW met1 ( 1231650 14110 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) 
+  + ROUTED met2 ( 570170 2380 0 ) ( 570170 18190 )
+    NEW met1 ( 570170 18190 ) ( 572470 18190 )
+    NEW met2 ( 572470 18190 ) ( 572470 1682150 )
+    NEW met1 ( 572470 1682150 ) ( 1193700 1682150 )
+    NEW met1 ( 1193700 1681810 ) ( 1193700 1682150 )
+    NEW met2 ( 1233490 1681810 ) ( 1233490 1688780 )
+    NEW met2 ( 1233490 1688780 ) ( 1233720 1688780 )
+    NEW met2 ( 1233720 1688780 ) ( 1233720 1690140 0 )
+    NEW met1 ( 1193700 1681810 ) ( 1233490 1681810 )
+    NEW met1 ( 570170 18190 ) M1M2_PR
+    NEW met1 ( 572470 18190 ) M1M2_PR
+    NEW met1 ( 572470 1682150 ) M1M2_PR
+    NEW met1 ( 1233490 1681810 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) 
+  + ROUTED met2 ( 588110 2380 0 ) ( 588110 12750 )
+    NEW met2 ( 759690 17850 ) ( 759690 19380 )
+    NEW met3 ( 759690 19380 ) ( 806610 19380 )
+    NEW met2 ( 806610 18190 ) ( 806610 19380 )
+    NEW li1 ( 639630 12750 ) ( 639630 18190 )
+    NEW met1 ( 639630 18190 ) ( 658490 18190 )
+    NEW li1 ( 658490 17510 ) ( 658490 18190 )
+    NEW li1 ( 658490 17510 ) ( 662170 17510 )
+    NEW li1 ( 662170 17510 ) ( 662170 17850 )
+    NEW met1 ( 588110 12750 ) ( 639630 12750 )
+    NEW met2 ( 717370 17850 ) ( 717370 19380 )
+    NEW met3 ( 717370 19380 ) ( 758770 19380 )
+    NEW met2 ( 758770 17850 ) ( 758770 19380 )
+    NEW met1 ( 662170 17850 ) ( 717370 17850 )
+    NEW met1 ( 758770 17850 ) ( 759690 17850 )
+    NEW met2 ( 807530 13090 ) ( 807530 18190 )
+    NEW met1 ( 807530 13090 ) ( 854910 13090 )
+    NEW li1 ( 854910 13090 ) ( 854910 17850 )
+    NEW met1 ( 806610 18190 ) ( 807530 18190 )
+    NEW met2 ( 1097330 17850 ) ( 1097330 18700 )
+    NEW met3 ( 1097330 18700 ) ( 1144710 18700 )
+    NEW met2 ( 1144710 18190 ) ( 1144710 18700 )
+    NEW met2 ( 1144710 18190 ) ( 1145170 18190 )
+    NEW met1 ( 1145170 18190 ) ( 1239470 18190 )
+    NEW met1 ( 1235790 1632510 ) ( 1239470 1632510 )
+    NEW met2 ( 1239470 18190 ) ( 1239470 1632510 )
+    NEW met2 ( 1235790 1632510 ) ( 1235790 1690140 0 )
+    NEW met1 ( 854910 17850 ) ( 1097330 17850 )
+    NEW met1 ( 588110 12750 ) M1M2_PR
+    NEW met1 ( 759690 17850 ) M1M2_PR
+    NEW met2 ( 759690 19380 ) via2_FR
+    NEW met2 ( 806610 19380 ) via2_FR
+    NEW met1 ( 806610 18190 ) M1M2_PR
+    NEW li1 ( 639630 12750 ) L1M1_PR_MR
+    NEW li1 ( 639630 18190 ) L1M1_PR_MR
+    NEW li1 ( 658490 18190 ) L1M1_PR_MR
+    NEW li1 ( 662170 17850 ) L1M1_PR_MR
+    NEW met1 ( 717370 17850 ) M1M2_PR
+    NEW met2 ( 717370 19380 ) via2_FR
+    NEW met2 ( 758770 19380 ) via2_FR
+    NEW met1 ( 758770 17850 ) M1M2_PR
+    NEW met1 ( 807530 18190 ) M1M2_PR
+    NEW met1 ( 807530 13090 ) M1M2_PR
+    NEW li1 ( 854910 13090 ) L1M1_PR_MR
+    NEW li1 ( 854910 17850 ) L1M1_PR_MR
+    NEW met1 ( 1097330 17850 ) M1M2_PR
+    NEW met2 ( 1097330 18700 ) via2_FR
+    NEW met2 ( 1144710 18700 ) via2_FR
+    NEW met1 ( 1145170 18190 ) M1M2_PR
+    NEW met1 ( 1239470 18190 ) M1M2_PR
+    NEW met1 ( 1235790 1632510 ) M1M2_PR
+    NEW met1 ( 1239470 1632510 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) 
+  + ROUTED met2 ( 97290 2380 0 ) ( 97290 14450 )
+    NEW met1 ( 97290 14450 ) ( 127650 14450 )
+    NEW met2 ( 127650 14450 ) ( 127650 1681470 )
+    NEW li1 ( 1155750 1681470 ) ( 1155750 1683170 )
+    NEW met1 ( 1155750 1683170 ) ( 1181510 1683170 )
+    NEW met2 ( 1181510 1683170 ) ( 1181510 1684190 )
+    NEW met1 ( 1181510 1684190 ) ( 1184730 1684190 )
+    NEW met2 ( 1184730 1684190 ) ( 1184730 1690140 0 )
+    NEW met1 ( 127650 1681470 ) ( 1155750 1681470 )
+    NEW met1 ( 97290 14450 ) M1M2_PR
+    NEW met1 ( 127650 14450 ) M1M2_PR
+    NEW met1 ( 127650 1681470 ) M1M2_PR
+    NEW li1 ( 1155750 1681470 ) L1M1_PR_MR
+    NEW li1 ( 1155750 1683170 ) L1M1_PR_MR
+    NEW met1 ( 1181510 1683170 ) M1M2_PR
+    NEW met1 ( 1181510 1684190 ) M1M2_PR
+    NEW met1 ( 1184730 1684190 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) 
+  + ROUTED met2 ( 605590 2380 0 ) ( 605590 13090 )
+    NEW li1 ( 676430 18190 ) ( 676430 20910 )
+    NEW met1 ( 676430 18190 ) ( 699890 18190 )
+    NEW li1 ( 699890 18190 ) ( 704030 18190 )
+    NEW met1 ( 760610 17850 ) ( 782690 17850 )
+    NEW met2 ( 782690 17850 ) ( 782690 18700 )
+    NEW met3 ( 782690 18700 ) ( 800630 18700 )
+    NEW met2 ( 800630 17850 ) ( 800630 18700 )
+    NEW li1 ( 953810 19890 ) ( 953810 20910 )
+    NEW met1 ( 953810 19890 ) ( 998890 19890 )
+    NEW li1 ( 998890 19890 ) ( 998890 20910 )
+    NEW met2 ( 1096870 18190 ) ( 1096870 19380 )
+    NEW met2 ( 1146090 17850 ) ( 1146090 18700 )
+    NEW li1 ( 615250 13090 ) ( 615250 20910 )
+    NEW met1 ( 605590 13090 ) ( 615250 13090 )
+    NEW met1 ( 615250 20910 ) ( 676430 20910 )
+    NEW met2 ( 710930 16660 ) ( 710930 18190 )
+    NEW met3 ( 710930 16660 ) ( 724270 16660 )
+    NEW met2 ( 724270 16660 ) ( 724270 17850 )
+    NEW met1 ( 724270 17850 ) ( 735310 17850 )
+    NEW li1 ( 735310 17850 ) ( 738530 17850 )
+    NEW met1 ( 738530 17850 ) ( 752790 17850 )
+    NEW li1 ( 752790 17510 ) ( 752790 17850 )
+    NEW li1 ( 752790 17510 ) ( 758770 17510 )
+    NEW li1 ( 758770 17510 ) ( 758770 17850 )
+    NEW met1 ( 704030 18190 ) ( 710930 18190 )
+    NEW li1 ( 758770 17850 ) ( 760610 17850 )
+    NEW met2 ( 807990 15980 ) ( 807990 17850 )
+    NEW met3 ( 807990 15980 ) ( 855370 15980 )
+    NEW met2 ( 855370 15980 ) ( 855370 18190 )
+    NEW met1 ( 800630 17850 ) ( 807990 17850 )
+    NEW met2 ( 1000730 20060 ) ( 1000730 20910 )
+    NEW met2 ( 1000730 20060 ) ( 1002570 20060 )
+    NEW met2 ( 1002570 19380 ) ( 1002570 20060 )
+    NEW met1 ( 998890 20910 ) ( 1000730 20910 )
+    NEW met3 ( 1002570 19380 ) ( 1096870 19380 )
+    NEW met3 ( 1145400 18700 ) ( 1146090 18700 )
+    NEW met2 ( 1097790 18190 ) ( 1097790 19380 )
+    NEW met3 ( 1097790 19380 ) ( 1145400 19380 )
+    NEW met3 ( 1145400 18700 ) ( 1145400 19380 )
+    NEW met1 ( 1096870 18190 ) ( 1097790 18190 )
+    NEW met1 ( 1146090 17850 ) ( 1239010 17850 )
+    NEW met1 ( 1237630 1632850 ) ( 1239010 1632850 )
+    NEW met2 ( 1239010 17850 ) ( 1239010 1632850 )
+    NEW met2 ( 1237630 1632850 ) ( 1237630 1690140 0 )
+    NEW li1 ( 906890 18190 ) ( 906890 20910 )
+    NEW met1 ( 855370 18190 ) ( 906890 18190 )
+    NEW met1 ( 906890 20910 ) ( 953810 20910 )
+    NEW met1 ( 605590 13090 ) M1M2_PR
+    NEW li1 ( 676430 20910 ) L1M1_PR_MR
+    NEW li1 ( 676430 18190 ) L1M1_PR_MR
+    NEW li1 ( 699890 18190 ) L1M1_PR_MR
+    NEW li1 ( 704030 18190 ) L1M1_PR_MR
+    NEW li1 ( 760610 17850 ) L1M1_PR_MR
+    NEW met1 ( 782690 17850 ) M1M2_PR
+    NEW met2 ( 782690 18700 ) via2_FR
+    NEW met2 ( 800630 18700 ) via2_FR
+    NEW met1 ( 800630 17850 ) M1M2_PR
+    NEW li1 ( 953810 20910 ) L1M1_PR_MR
+    NEW li1 ( 953810 19890 ) L1M1_PR_MR
+    NEW li1 ( 998890 19890 ) L1M1_PR_MR
+    NEW li1 ( 998890 20910 ) L1M1_PR_MR
+    NEW met2 ( 1096870 19380 ) via2_FR
+    NEW met1 ( 1096870 18190 ) M1M2_PR
+    NEW met2 ( 1146090 18700 ) via2_FR
+    NEW met1 ( 1146090 17850 ) M1M2_PR
+    NEW li1 ( 615250 13090 ) L1M1_PR_MR
+    NEW li1 ( 615250 20910 ) L1M1_PR_MR
+    NEW met1 ( 710930 18190 ) M1M2_PR
+    NEW met2 ( 710930 16660 ) via2_FR
+    NEW met2 ( 724270 16660 ) via2_FR
+    NEW met1 ( 724270 17850 ) M1M2_PR
+    NEW li1 ( 735310 17850 ) L1M1_PR_MR
+    NEW li1 ( 738530 17850 ) L1M1_PR_MR
+    NEW li1 ( 752790 17850 ) L1M1_PR_MR
+    NEW met1 ( 807990 17850 ) M1M2_PR
+    NEW met2 ( 807990 15980 ) via2_FR
+    NEW met2 ( 855370 15980 ) via2_FR
+    NEW met1 ( 855370 18190 ) M1M2_PR
+    NEW met1 ( 1000730 20910 ) M1M2_PR
+    NEW met2 ( 1002570 19380 ) via2_FR
+    NEW met1 ( 1097790 18190 ) M1M2_PR
+    NEW met2 ( 1097790 19380 ) via2_FR
+    NEW met1 ( 1239010 17850 ) M1M2_PR
+    NEW met1 ( 1237630 1632850 ) M1M2_PR
+    NEW met1 ( 1239010 1632850 ) M1M2_PR
+    NEW li1 ( 906890 18190 ) L1M1_PR_MR
+    NEW li1 ( 906890 20910 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) 
+  + ROUTED met2 ( 623530 2380 0 ) ( 623530 17850 )
+    NEW met1 ( 623530 17850 ) ( 627670 17850 )
+    NEW met2 ( 627670 17850 ) ( 627670 1682490 )
+    NEW li1 ( 1215090 1681470 ) ( 1215090 1682490 )
+    NEW met1 ( 627670 1682490 ) ( 1215090 1682490 )
+    NEW met2 ( 1239010 1681470 ) ( 1239010 1690140 0 )
+    NEW met1 ( 1215090 1681470 ) ( 1239010 1681470 )
+    NEW met1 ( 623530 17850 ) M1M2_PR
+    NEW met1 ( 627670 17850 ) M1M2_PR
+    NEW met1 ( 627670 1682490 ) M1M2_PR
+    NEW li1 ( 1215090 1682490 ) L1M1_PR_MR
+    NEW li1 ( 1215090 1681470 ) L1M1_PR_MR
+    NEW met1 ( 1239010 1681470 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) 
+  + ROUTED met2 ( 121210 2380 0 ) ( 121210 15300 )
+    NEW met2 ( 1187260 1688780 ) ( 1187490 1688780 )
+    NEW met2 ( 1187260 1688780 ) ( 1187260 1690140 0 )
+    NEW met2 ( 1187490 1631150 ) ( 1188870 1631150 )
+    NEW met2 ( 1187490 1631150 ) ( 1187490 1688780 )
+    NEW met2 ( 1188870 15300 ) ( 1188870 1631150 )
+    NEW met3 ( 121210 15300 ) ( 1188870 15300 )
+    NEW met2 ( 121210 15300 ) via2_FR
+    NEW met2 ( 1188870 15300 ) via2_FR
++ USE SIGNAL ;
+- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) 
+  + ROUTED li1 ( 1148850 17510 ) ( 1148850 21250 )
+    NEW met1 ( 1148850 21250 ) ( 1191170 21250 )
+    NEW met2 ( 1189560 1689460 ) ( 1189790 1689460 )
+    NEW met2 ( 1189560 1689460 ) ( 1189560 1690140 0 )
+    NEW met2 ( 144670 2380 0 ) ( 144670 16830 )
+    NEW met1 ( 144670 16830 ) ( 172730 16830 )
+    NEW met1 ( 172730 16830 ) ( 172730 17510 )
+    NEW met2 ( 1189790 1656000 ) ( 1189790 1689460 )
+    NEW met2 ( 1189790 1656000 ) ( 1190710 1656000 )
+    NEW met2 ( 1190710 1630470 ) ( 1190710 1656000 )
+    NEW met2 ( 1190710 1630470 ) ( 1191170 1630470 )
+    NEW met2 ( 1191170 21250 ) ( 1191170 1630470 )
+    NEW met1 ( 172730 17510 ) ( 1148850 17510 )
+    NEW li1 ( 1148850 17510 ) L1M1_PR_MR
+    NEW li1 ( 1148850 21250 ) L1M1_PR_MR
+    NEW met1 ( 1191170 21250 ) M1M2_PR
+    NEW met1 ( 144670 16830 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) 
+  + ROUTED met1 ( 662630 18190 ) ( 670450 18190 )
+    NEW li1 ( 670450 18190 ) ( 670450 21250 )
+    NEW met1 ( 670450 21250 ) ( 681490 21250 )
+    NEW met1 ( 681490 20910 ) ( 681490 21250 )
+    NEW li1 ( 759690 19890 ) ( 759690 20910 )
+    NEW met1 ( 759690 19890 ) ( 806610 19890 )
+    NEW li1 ( 806610 19890 ) ( 806610 20910 )
+    NEW met2 ( 856290 20060 ) ( 856290 20910 )
+    NEW met2 ( 856290 20060 ) ( 857210 20060 )
+    NEW met2 ( 857210 19890 ) ( 857210 20060 )
+    NEW li1 ( 953350 19550 ) ( 953350 21250 )
+    NEW met1 ( 953350 19550 ) ( 999350 19550 )
+    NEW li1 ( 999350 19550 ) ( 999350 21250 )
+    NEW li1 ( 1050410 19890 ) ( 1050410 20910 )
+    NEW met1 ( 1050410 19890 ) ( 1095490 19890 )
+    NEW li1 ( 1095490 19890 ) ( 1095490 20910 )
+    NEW met2 ( 162150 2380 0 ) ( 162150 18190 )
+    NEW met1 ( 162150 18190 ) ( 179400 18190 )
+    NEW met1 ( 179400 17850 ) ( 179400 18190 )
+    NEW met1 ( 617090 17850 ) ( 617090 18190 )
+    NEW met1 ( 617090 18190 ) ( 639170 18190 )
+    NEW met1 ( 639170 17850 ) ( 639170 18190 )
+    NEW met1 ( 639170 17850 ) ( 661710 17850 )
+    NEW li1 ( 661710 17850 ) ( 661710 18190 )
+    NEW met1 ( 179400 17850 ) ( 617090 17850 )
+    NEW li1 ( 661710 18190 ) ( 662630 18190 )
+    NEW li1 ( 711390 19890 ) ( 711390 20910 )
+    NEW met1 ( 711390 19890 ) ( 758310 19890 )
+    NEW li1 ( 758310 19890 ) ( 758310 20910 )
+    NEW met1 ( 681490 20910 ) ( 711390 20910 )
+    NEW met1 ( 758310 20910 ) ( 759690 20910 )
+    NEW li1 ( 808910 19890 ) ( 808910 20910 )
+    NEW met1 ( 808910 19890 ) ( 853990 19890 )
+    NEW li1 ( 853990 19890 ) ( 853990 20910 )
+    NEW met1 ( 806610 20910 ) ( 808910 20910 )
+    NEW met1 ( 853990 20910 ) ( 856290 20910 )
+    NEW met1 ( 1001190 20910 ) ( 1001190 21250 )
+    NEW met1 ( 999350 21250 ) ( 1001190 21250 )
+    NEW met1 ( 1001190 20910 ) ( 1050410 20910 )
+    NEW li1 ( 1098710 19890 ) ( 1098710 20910 )
+    NEW met1 ( 1098710 19890 ) ( 1143790 19890 )
+    NEW li1 ( 1143790 19890 ) ( 1143790 20910 )
+    NEW met1 ( 1095490 20910 ) ( 1098710 20910 )
+    NEW met1 ( 1143790 20910 ) ( 1188410 20910 )
+    NEW met1 ( 1188410 1630470 ) ( 1191630 1630470 )
+    NEW met2 ( 1188410 20910 ) ( 1188410 1630470 )
+    NEW met2 ( 1191630 1630470 ) ( 1191630 1690140 0 )
+    NEW li1 ( 907350 18190 ) ( 907350 19890 )
+    NEW met1 ( 907350 18190 ) ( 924370 18190 )
+    NEW li1 ( 924370 18190 ) ( 924830 18190 )
+    NEW li1 ( 924830 18190 ) ( 924830 19890 )
+    NEW met1 ( 924830 19890 ) ( 929430 19890 )
+    NEW li1 ( 929430 19890 ) ( 929430 21250 )
+    NEW li1 ( 929430 21250 ) ( 930810 21250 )
+    NEW met1 ( 857210 19890 ) ( 907350 19890 )
+    NEW met1 ( 930810 21250 ) ( 953350 21250 )
+    NEW li1 ( 662630 18190 ) L1M1_PR_MR
+    NEW li1 ( 670450 18190 ) L1M1_PR_MR
+    NEW li1 ( 670450 21250 ) L1M1_PR_MR
+    NEW li1 ( 759690 20910 ) L1M1_PR_MR
+    NEW li1 ( 759690 19890 ) L1M1_PR_MR
+    NEW li1 ( 806610 19890 ) L1M1_PR_MR
+    NEW li1 ( 806610 20910 ) L1M1_PR_MR
+    NEW met1 ( 856290 20910 ) M1M2_PR
+    NEW met1 ( 857210 19890 ) M1M2_PR
+    NEW li1 ( 953350 21250 ) L1M1_PR_MR
+    NEW li1 ( 953350 19550 ) L1M1_PR_MR
+    NEW li1 ( 999350 19550 ) L1M1_PR_MR
+    NEW li1 ( 999350 21250 ) L1M1_PR_MR
+    NEW li1 ( 1050410 20910 ) L1M1_PR_MR
+    NEW li1 ( 1050410 19890 ) L1M1_PR_MR
+    NEW li1 ( 1095490 19890 ) L1M1_PR_MR
+    NEW li1 ( 1095490 20910 ) L1M1_PR_MR
+    NEW met1 ( 1188410 20910 ) M1M2_PR
+    NEW met1 ( 162150 18190 ) M1M2_PR
+    NEW li1 ( 661710 17850 ) L1M1_PR_MR
+    NEW li1 ( 711390 20910 ) L1M1_PR_MR
+    NEW li1 ( 711390 19890 ) L1M1_PR_MR
+    NEW li1 ( 758310 19890 ) L1M1_PR_MR
+    NEW li1 ( 758310 20910 ) L1M1_PR_MR
+    NEW li1 ( 808910 20910 ) L1M1_PR_MR
+    NEW li1 ( 808910 19890 ) L1M1_PR_MR
+    NEW li1 ( 853990 19890 ) L1M1_PR_MR
+    NEW li1 ( 853990 20910 ) L1M1_PR_MR
+    NEW li1 ( 1098710 20910 ) L1M1_PR_MR
+    NEW li1 ( 1098710 19890 ) L1M1_PR_MR
+    NEW li1 ( 1143790 19890 ) L1M1_PR_MR
+    NEW li1 ( 1143790 20910 ) L1M1_PR_MR
+    NEW met1 ( 1188410 1630470 ) M1M2_PR
+    NEW met1 ( 1191630 1630470 ) M1M2_PR
+    NEW li1 ( 907350 19890 ) L1M1_PR_MR
+    NEW li1 ( 907350 18190 ) L1M1_PR_MR
+    NEW li1 ( 924370 18190 ) L1M1_PR_MR
+    NEW li1 ( 924830 19890 ) L1M1_PR_MR
+    NEW li1 ( 929430 19890 ) L1M1_PR_MR
+    NEW li1 ( 930810 21250 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) 
+  + ROUTED met2 ( 180090 2380 0 ) ( 180090 18190 )
+    NEW li1 ( 569710 18190 ) ( 569710 20910 )
+    NEW met2 ( 682870 16660 ) ( 682870 21250 )
+    NEW met2 ( 761070 18700 ) ( 761070 21250 )
+    NEW li1 ( 856750 19550 ) ( 856750 21250 )
+    NEW met2 ( 952430 18700 ) ( 952430 22270 )
+    NEW li1 ( 1049950 19550 ) ( 1049950 21250 )
+    NEW met1 ( 1049950 19550 ) ( 1095950 19550 )
+    NEW li1 ( 1095950 19550 ) ( 1095950 21250 )
+    NEW li1 ( 1146090 17170 ) ( 1146090 21250 )
+    NEW met1 ( 1146090 17170 ) ( 1170010 17170 )
+    NEW li1 ( 1170010 17170 ) ( 1170010 18530 )
+    NEW met1 ( 1170010 18530 ) ( 1187950 18530 )
+    NEW met2 ( 1193010 1690140 ) ( 1193470 1690140 0 )
+    NEW met1 ( 180090 18190 ) ( 569710 18190 )
+    NEW met2 ( 614330 16660 ) ( 614330 20910 )
+    NEW met1 ( 569710 20910 ) ( 614330 20910 )
+    NEW met3 ( 614330 16660 ) ( 682870 16660 )
+    NEW met2 ( 710930 18700 ) ( 710930 21250 )
+    NEW met1 ( 682870 21250 ) ( 710930 21250 )
+    NEW met3 ( 710930 18700 ) ( 761070 18700 )
+    NEW li1 ( 808450 19550 ) ( 808450 21250 )
+    NEW met1 ( 808450 19550 ) ( 854450 19550 )
+    NEW li1 ( 854450 19550 ) ( 854450 21250 )
+    NEW met1 ( 761070 21250 ) ( 808450 21250 )
+    NEW met1 ( 854450 21250 ) ( 856750 21250 )
+    NEW li1 ( 1007630 18190 ) ( 1007630 22270 )
+    NEW met1 ( 1007630 18190 ) ( 1025110 18190 )
+    NEW li1 ( 1025110 18190 ) ( 1025110 21250 )
+    NEW met1 ( 952430 22270 ) ( 1007630 22270 )
+    NEW met1 ( 1025110 21250 ) ( 1049950 21250 )
+    NEW li1 ( 1098250 19550 ) ( 1098250 21250 )
+    NEW met1 ( 1098250 19550 ) ( 1144250 19550 )
+    NEW li1 ( 1144250 19550 ) ( 1144250 21250 )
+    NEW met1 ( 1095950 21250 ) ( 1098250 21250 )
+    NEW met1 ( 1144250 21250 ) ( 1146090 21250 )
+    NEW met1 ( 1187950 1628770 ) ( 1193010 1628770 )
+    NEW met2 ( 1187950 18530 ) ( 1187950 1628770 )
+    NEW met2 ( 1193010 1628770 ) ( 1193010 1690140 )
+    NEW met2 ( 929890 18700 ) ( 929890 19550 )
+    NEW met1 ( 856750 19550 ) ( 929890 19550 )
+    NEW met3 ( 929890 18700 ) ( 952430 18700 )
+    NEW met1 ( 180090 18190 ) M1M2_PR
+    NEW li1 ( 569710 18190 ) L1M1_PR_MR
+    NEW li1 ( 569710 20910 ) L1M1_PR_MR
+    NEW met2 ( 682870 16660 ) via2_FR
+    NEW met1 ( 682870 21250 ) M1M2_PR
+    NEW met2 ( 761070 18700 ) via2_FR
+    NEW met1 ( 761070 21250 ) M1M2_PR
+    NEW li1 ( 856750 21250 ) L1M1_PR_MR
+    NEW li1 ( 856750 19550 ) L1M1_PR_MR
+    NEW met2 ( 952430 18700 ) via2_FR
+    NEW met1 ( 952430 22270 ) M1M2_PR
+    NEW li1 ( 1049950 21250 ) L1M1_PR_MR
+    NEW li1 ( 1049950 19550 ) L1M1_PR_MR
+    NEW li1 ( 1095950 19550 ) L1M1_PR_MR
+    NEW li1 ( 1095950 21250 ) L1M1_PR_MR
+    NEW li1 ( 1146090 21250 ) L1M1_PR_MR
+    NEW li1 ( 1146090 17170 ) L1M1_PR_MR
+    NEW li1 ( 1170010 17170 ) L1M1_PR_MR
+    NEW li1 ( 1170010 18530 ) L1M1_PR_MR
+    NEW met1 ( 1187950 18530 ) M1M2_PR
+    NEW met1 ( 614330 20910 ) M1M2_PR
+    NEW met2 ( 614330 16660 ) via2_FR
+    NEW met1 ( 710930 21250 ) M1M2_PR
+    NEW met2 ( 710930 18700 ) via2_FR
+    NEW li1 ( 808450 21250 ) L1M1_PR_MR
+    NEW li1 ( 808450 19550 ) L1M1_PR_MR
+    NEW li1 ( 854450 19550 ) L1M1_PR_MR
+    NEW li1 ( 854450 21250 ) L1M1_PR_MR
+    NEW li1 ( 1007630 22270 ) L1M1_PR_MR
+    NEW li1 ( 1007630 18190 ) L1M1_PR_MR
+    NEW li1 ( 1025110 18190 ) L1M1_PR_MR
+    NEW li1 ( 1025110 21250 ) L1M1_PR_MR
+    NEW li1 ( 1098250 21250 ) L1M1_PR_MR
+    NEW li1 ( 1098250 19550 ) L1M1_PR_MR
+    NEW li1 ( 1144250 19550 ) L1M1_PR_MR
+    NEW li1 ( 1144250 21250 ) L1M1_PR_MR
+    NEW met1 ( 1187950 1628770 ) M1M2_PR
+    NEW met1 ( 1193010 1628770 ) M1M2_PR
+    NEW met1 ( 929890 19550 ) M1M2_PR
+    NEW met2 ( 929890 18700 ) via2_FR
++ USE SIGNAL ;
+- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) 
+  + ROUTED met2 ( 198030 2380 0 ) ( 198030 18530 )
+    NEW li1 ( 1169550 17510 ) ( 1169550 18530 )
+    NEW met1 ( 1169550 17510 ) ( 1194850 17510 )
+    NEW met2 ( 1194850 1690140 ) ( 1195310 1690140 0 )
+    NEW met2 ( 1194850 17510 ) ( 1194850 1690140 )
+    NEW met1 ( 198030 18530 ) ( 1169550 18530 )
+    NEW met1 ( 198030 18530 ) M1M2_PR
+    NEW li1 ( 1169550 18530 ) L1M1_PR_MR
+    NEW li1 ( 1169550 17510 ) L1M1_PR_MR
+    NEW met1 ( 1194850 17510 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) 
+  + ROUTED met2 ( 215510 2380 0 ) ( 215510 19550 )
+    NEW li1 ( 855830 19550 ) ( 856290 19550 )
+    NEW li1 ( 856290 17170 ) ( 856290 19550 )
+    NEW li1 ( 952430 18190 ) ( 952430 19550 )
+    NEW met1 ( 952430 18190 ) ( 1000270 18190 )
+    NEW li1 ( 1000270 18190 ) ( 1000270 19550 )
+    NEW met2 ( 1049030 18190 ) ( 1049030 19550 )
+    NEW met1 ( 1049030 18190 ) ( 1096410 18190 )
+    NEW li1 ( 1096410 18190 ) ( 1096870 18190 )
+    NEW li1 ( 1096870 18190 ) ( 1096870 19550 )
+    NEW li1 ( 1169550 19550 ) ( 1169550 19890 )
+    NEW li1 ( 1169550 19890 ) ( 1170470 19890 )
+    NEW met2 ( 807990 18700 ) ( 807990 19550 )
+    NEW met2 ( 807990 18700 ) ( 808450 18700 )
+    NEW met2 ( 808450 18190 ) ( 808450 18700 )
+    NEW met2 ( 808450 18190 ) ( 808910 18190 )
+    NEW met1 ( 808910 18190 ) ( 853990 18190 )
+    NEW li1 ( 853990 18190 ) ( 853990 19210 )
+    NEW li1 ( 853990 19210 ) ( 855370 19210 )
+    NEW li1 ( 855370 19210 ) ( 855370 19550 )
+    NEW met1 ( 215510 19550 ) ( 807990 19550 )
+    NEW met1 ( 855370 19550 ) ( 855830 19550 )
+    NEW met1 ( 1000270 19550 ) ( 1049030 19550 )
+    NEW li1 ( 1097330 17850 ) ( 1097330 19550 )
+    NEW li1 ( 1097330 17850 ) ( 1098250 17850 )
+    NEW met1 ( 1098250 17850 ) ( 1144250 17850 )
+    NEW li1 ( 1144250 17850 ) ( 1145170 17850 )
+    NEW li1 ( 1145170 17850 ) ( 1145170 19550 )
+    NEW met1 ( 1096870 19550 ) ( 1097330 19550 )
+    NEW met1 ( 1145170 19550 ) ( 1169550 19550 )
+    NEW met1 ( 1170470 19890 ) ( 1197610 19890 )
+    NEW met2 ( 1196920 1689460 ) ( 1197150 1689460 )
+    NEW met2 ( 1196920 1689460 ) ( 1196920 1690140 0 )
+    NEW met2 ( 1197610 19890 ) ( 1197610 1607700 )
+    NEW met1 ( 1197150 1632510 ) ( 1198070 1632510 )
+    NEW met2 ( 1198070 1607700 ) ( 1198070 1632510 )
+    NEW met2 ( 1197610 1607700 ) ( 1198070 1607700 )
+    NEW met2 ( 1197150 1632510 ) ( 1197150 1689460 )
+    NEW li1 ( 883430 17170 ) ( 883430 21250 )
+    NEW met1 ( 883430 21250 ) ( 930350 21250 )
+    NEW met2 ( 930350 19890 ) ( 930350 21250 )
+    NEW met1 ( 930350 19890 ) ( 930810 19890 )
+    NEW met1 ( 930810 19550 ) ( 930810 19890 )
+    NEW met1 ( 856290 17170 ) ( 883430 17170 )
+    NEW met1 ( 930810 19550 ) ( 952430 19550 )
+    NEW met1 ( 215510 19550 ) M1M2_PR
+    NEW li1 ( 855830 19550 ) L1M1_PR_MR
+    NEW li1 ( 856290 17170 ) L1M1_PR_MR
+    NEW li1 ( 952430 19550 ) L1M1_PR_MR
+    NEW li1 ( 952430 18190 ) L1M1_PR_MR
+    NEW li1 ( 1000270 18190 ) L1M1_PR_MR
+    NEW li1 ( 1000270 19550 ) L1M1_PR_MR
+    NEW met1 ( 1049030 19550 ) M1M2_PR
+    NEW met1 ( 1049030 18190 ) M1M2_PR
+    NEW li1 ( 1096410 18190 ) L1M1_PR_MR
+    NEW li1 ( 1096870 19550 ) L1M1_PR_MR
+    NEW li1 ( 1169550 19550 ) L1M1_PR_MR
+    NEW li1 ( 1170470 19890 ) L1M1_PR_MR
+    NEW met1 ( 807990 19550 ) M1M2_PR
+    NEW met1 ( 808910 18190 ) M1M2_PR
+    NEW li1 ( 853990 18190 ) L1M1_PR_MR
+    NEW li1 ( 855370 19550 ) L1M1_PR_MR
+    NEW li1 ( 1097330 19550 ) L1M1_PR_MR
+    NEW li1 ( 1098250 17850 ) L1M1_PR_MR
+    NEW li1 ( 1144250 17850 ) L1M1_PR_MR
+    NEW li1 ( 1145170 19550 ) L1M1_PR_MR
+    NEW met1 ( 1197610 19890 ) M1M2_PR
+    NEW met1 ( 1197150 1632510 ) M1M2_PR
+    NEW met1 ( 1198070 1632510 ) M1M2_PR
+    NEW li1 ( 883430 17170 ) L1M1_PR_MR
+    NEW li1 ( 883430 21250 ) L1M1_PR_MR
+    NEW met1 ( 930350 21250 ) M1M2_PR
+    NEW met1 ( 930350 19890 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) 
+  + ROUTED li1 ( 759230 18190 ) ( 759230 19890 )
+    NEW met1 ( 759230 18190 ) ( 806150 18190 )
+    NEW li1 ( 806150 17850 ) ( 806150 18190 )
+    NEW li1 ( 806150 17850 ) ( 807070 17850 )
+    NEW li1 ( 807070 17850 ) ( 807070 19890 )
+    NEW li1 ( 856290 19890 ) ( 856290 22270 )
+    NEW li1 ( 952890 17170 ) ( 952890 19890 )
+    NEW met1 ( 952890 17170 ) ( 999810 17170 )
+    NEW li1 ( 999810 17170 ) ( 999810 19890 )
+    NEW li1 ( 1049490 17170 ) ( 1049490 19890 )
+    NEW met1 ( 1049490 17170 ) ( 1095950 17170 )
+    NEW li1 ( 1095950 17170 ) ( 1095950 18530 )
+    NEW li1 ( 1095950 18530 ) ( 1096410 18530 )
+    NEW li1 ( 1096410 18530 ) ( 1096410 19890 )
+    NEW met1 ( 1170010 19550 ) ( 1170010 19890 )
+    NEW met2 ( 233450 2380 0 ) ( 233450 20230 )
+    NEW met1 ( 233450 20230 ) ( 276000 20230 )
+    NEW met1 ( 276000 19890 ) ( 276000 20230 )
+    NEW li1 ( 710930 18190 ) ( 710930 19890 )
+    NEW li1 ( 710930 18190 ) ( 711390 18190 )
+    NEW met1 ( 711390 18190 ) ( 757850 18190 )
+    NEW li1 ( 757850 18190 ) ( 758770 18190 )
+    NEW li1 ( 758770 18190 ) ( 758770 19890 )
+    NEW met1 ( 276000 19890 ) ( 710930 19890 )
+    NEW met1 ( 758770 19890 ) ( 759230 19890 )
+    NEW li1 ( 807530 17850 ) ( 807530 19890 )
+    NEW li1 ( 807530 17850 ) ( 808450 17850 )
+    NEW met1 ( 808450 17850 ) ( 854450 17850 )
+    NEW met2 ( 854450 17850 ) ( 854450 19890 )
+    NEW met2 ( 854450 19890 ) ( 854910 19890 )
+    NEW met1 ( 807070 19890 ) ( 807530 19890 )
+    NEW met1 ( 854910 19890 ) ( 856290 19890 )
+    NEW met1 ( 999810 19890 ) ( 1049490 19890 )
+    NEW met2 ( 1097790 19890 ) ( 1097790 20060 )
+    NEW met2 ( 1097790 20060 ) ( 1099170 20060 )
+    NEW met2 ( 1099170 18190 ) ( 1099170 20060 )
+    NEW met1 ( 1099170 18190 ) ( 1144710 18190 )
+    NEW li1 ( 1144710 18190 ) ( 1144710 19890 )
+    NEW met1 ( 1096410 19890 ) ( 1097790 19890 )
+    NEW met1 ( 1144710 19890 ) ( 1170010 19890 )
+    NEW met1 ( 1170010 19550 ) ( 1196230 19550 )
+    NEW met2 ( 1196230 19550 ) ( 1196230 34500 )
+    NEW met2 ( 1196230 34500 ) ( 1196690 34500 )
+    NEW met1 ( 1196690 1688950 ) ( 1198760 1688950 )
+    NEW met2 ( 1198760 1688950 ) ( 1198760 1690140 0 )
+    NEW met2 ( 1196690 34500 ) ( 1196690 1688950 )
+    NEW li1 ( 931270 19890 ) ( 931270 22270 )
+    NEW met1 ( 856290 22270 ) ( 931270 22270 )
+    NEW met1 ( 931270 19890 ) ( 952890 19890 )
+    NEW li1 ( 759230 19890 ) L1M1_PR_MR
+    NEW li1 ( 759230 18190 ) L1M1_PR_MR
+    NEW li1 ( 806150 18190 ) L1M1_PR_MR
+    NEW li1 ( 807070 19890 ) L1M1_PR_MR
+    NEW li1 ( 856290 19890 ) L1M1_PR_MR
+    NEW li1 ( 856290 22270 ) L1M1_PR_MR
+    NEW li1 ( 952890 19890 ) L1M1_PR_MR
+    NEW li1 ( 952890 17170 ) L1M1_PR_MR
+    NEW li1 ( 999810 17170 ) L1M1_PR_MR
+    NEW li1 ( 999810 19890 ) L1M1_PR_MR
+    NEW li1 ( 1049490 19890 ) L1M1_PR_MR
+    NEW li1 ( 1049490 17170 ) L1M1_PR_MR
+    NEW li1 ( 1095950 17170 ) L1M1_PR_MR
+    NEW li1 ( 1096410 19890 ) L1M1_PR_MR
+    NEW met1 ( 233450 20230 ) M1M2_PR
+    NEW li1 ( 710930 19890 ) L1M1_PR_MR
+    NEW li1 ( 711390 18190 ) L1M1_PR_MR
+    NEW li1 ( 757850 18190 ) L1M1_PR_MR
+    NEW li1 ( 758770 19890 ) L1M1_PR_MR
+    NEW li1 ( 807530 19890 ) L1M1_PR_MR
+    NEW li1 ( 808450 17850 ) L1M1_PR_MR
+    NEW met1 ( 854450 17850 ) M1M2_PR
+    NEW met1 ( 854910 19890 ) M1M2_PR
+    NEW met1 ( 1097790 19890 ) M1M2_PR
+    NEW met1 ( 1099170 18190 ) M1M2_PR
+    NEW li1 ( 1144710 18190 ) L1M1_PR_MR
+    NEW li1 ( 1144710 19890 ) L1M1_PR_MR
+    NEW met1 ( 1196230 19550 ) M1M2_PR
+    NEW met1 ( 1196690 1688950 ) M1M2_PR
+    NEW met1 ( 1198760 1688950 ) M1M2_PR
+    NEW li1 ( 931270 22270 ) L1M1_PR_MR
+    NEW li1 ( 931270 19890 ) L1M1_PR_MR
++ USE SIGNAL ;
+- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) 
+  + ROUTED li1 ( 1156210 1680450 ) ( 1156210 1681470 )
+    NEW met1 ( 1156210 1681470 ) ( 1180590 1681470 )
+    NEW met2 ( 1180590 1681470 ) ( 1180590 1690140 0 )
+    NEW met2 ( 55890 2380 0 ) ( 55890 17170 )
+    NEW met1 ( 55890 17170 ) ( 79350 17170 )
+    NEW met2 ( 79350 17170 ) ( 79350 1680450 )
+    NEW met1 ( 79350 1680450 ) ( 1156210 1680450 )
+    NEW li1 ( 1156210 1680450 ) L1M1_PR_MR
+    NEW li1 ( 1156210 1681470 ) L1M1_PR_MR
+    NEW met1 ( 1180590 1681470 ) M1M2_PR
+    NEW met1 ( 55890 17170 ) M1M2_PR
+    NEW met1 ( 79350 17170 ) M1M2_PR
+    NEW met1 ( 79350 1680450 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) 
+  + ROUTED met2 ( 79810 2380 0 ) ( 79810 20060 )
+    NEW met3 ( 79810 20060 ) ( 1182430 20060 )
+    NEW met2 ( 1182430 1632340 ) ( 1182890 1632340 )
+    NEW met2 ( 1182430 20060 ) ( 1182430 1632340 )
+    NEW met2 ( 1182890 1632340 ) ( 1182890 1690140 0 )
+    NEW met2 ( 1182430 20060 ) via2_FR
+    NEW met2 ( 79810 20060 ) via2_FR
++ USE SIGNAL ;
+- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) 
+  + ROUTED met2 ( 103270 2380 0 ) ( 103270 15470 )
+    NEW li1 ( 1185190 1681810 ) ( 1185190 1683850 )
+    NEW met2 ( 1185190 1683850 ) ( 1185190 1690140 0 )
+    NEW met1 ( 103270 15470 ) ( 141450 15470 )
+    NEW met2 ( 141450 15470 ) ( 141450 1681810 )
+    NEW met1 ( 141450 1681810 ) ( 1185190 1681810 )
+    NEW met1 ( 103270 15470 ) M1M2_PR
+    NEW li1 ( 1185190 1681810 ) L1M1_PR_MR
+    NEW li1 ( 1185190 1683850 ) L1M1_PR_MR
+    NEW met1 ( 1185190 1683850 ) M1M2_PR
+    NEW met1 ( 141450 15470 ) M1M2_PR
+    NEW met1 ( 141450 1681810 ) M1M2_PR
+    NEW met1 ( 1185190 1683850 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) 
+  + ROUTED met2 ( 126730 2380 0 ) ( 126730 17170 )
+    NEW met2 ( 855830 16660 ) ( 855830 17170 )
+    NEW met2 ( 952430 16660 ) ( 952430 17170 )
+    NEW met3 ( 952430 16660 ) ( 1000270 16660 )
+    NEW met2 ( 1000270 16660 ) ( 1000270 17170 )
+    NEW met2 ( 1049030 17170 ) ( 1049030 17340 )
+    NEW met2 ( 1049030 17340 ) ( 1049490 17340 )
+    NEW met3 ( 1049490 17340 ) ( 1092500 17340 )
+    NEW met3 ( 1092500 16660 ) ( 1092500 17340 )
+    NEW met3 ( 1092500 16660 ) ( 1096870 16660 )
+    NEW met2 ( 1096870 16660 ) ( 1096870 17170 )
+    NEW met2 ( 1145630 16660 ) ( 1145630 17170 )
+    NEW met3 ( 1145630 16660 ) ( 1177830 16660 )
+    NEW met2 ( 1177830 16660 ) ( 1177830 17170 )
+    NEW met1 ( 1177830 17170 ) ( 1190250 17170 )
+    NEW met2 ( 1190250 82800 ) ( 1190710 82800 )
+    NEW met2 ( 1190250 17170 ) ( 1190250 82800 )
+    NEW met2 ( 1187720 1689460 ) ( 1187950 1689460 )
+    NEW met2 ( 1187720 1689460 ) ( 1187720 1690140 0 )
+    NEW li1 ( 171810 17170 ) ( 173190 17170 )
+    NEW met1 ( 126730 17170 ) ( 171810 17170 )
+    NEW met1 ( 173190 17170 ) ( 855830 17170 )
+    NEW met1 ( 1000270 17170 ) ( 1049030 17170 )
+    NEW met1 ( 1096870 17170 ) ( 1145630 17170 )
+    NEW li1 ( 1187950 1629790 ) ( 1187950 1633530 )
+    NEW met1 ( 1187950 1629790 ) ( 1190710 1629790 )
+    NEW met2 ( 1187950 1633530 ) ( 1187950 1689460 )
+    NEW met2 ( 1190710 82800 ) ( 1190710 1629790 )
+    NEW met2 ( 883890 16660 ) ( 883890 17170 )
+    NEW met3 ( 855830 16660 ) ( 883890 16660 )
+    NEW met1 ( 883890 17170 ) ( 952430 17170 )
+    NEW met1 ( 126730 17170 ) M1M2_PR
+    NEW met1 ( 855830 17170 ) M1M2_PR
+    NEW met2 ( 855830 16660 ) via2_FR
+    NEW met1 ( 952430 17170 ) M1M2_PR
+    NEW met2 ( 952430 16660 ) via2_FR
+    NEW met2 ( 1000270 16660 ) via2_FR
+    NEW met1 ( 1000270 17170 ) M1M2_PR
+    NEW met1 ( 1049030 17170 ) M1M2_PR
+    NEW met2 ( 1049490 17340 ) via2_FR
+    NEW met2 ( 1096870 16660 ) via2_FR
+    NEW met1 ( 1096870 17170 ) M1M2_PR
+    NEW met1 ( 1145630 17170 ) M1M2_PR
+    NEW met2 ( 1145630 16660 ) via2_FR
+    NEW met2 ( 1177830 16660 ) via2_FR
+    NEW met1 ( 1177830 17170 ) M1M2_PR
+    NEW met1 ( 1190250 17170 ) M1M2_PR
+    NEW li1 ( 171810 17170 ) L1M1_PR_MR
+    NEW li1 ( 173190 17170 ) L1M1_PR_MR
+    NEW li1 ( 1187950 1633530 ) L1M1_PR_MR
+    NEW met1 ( 1187950 1633530 ) M1M2_PR
+    NEW li1 ( 1187950 1629790 ) L1M1_PR_MR
+    NEW met1 ( 1190710 1629790 ) M1M2_PR
+    NEW met2 ( 883890 16660 ) via2_FR
+    NEW met1 ( 883890 17170 ) M1M2_PR
+    NEW met1 ( 1187950 1633530 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) 
+  + ROUTED met2 ( 26450 2380 0 ) ( 26450 17170 )
+    NEW met1 ( 1161270 1680110 ) ( 1161270 1680450 )
+    NEW met1 ( 1161270 1680450 ) ( 1177370 1680450 )
+    NEW met2 ( 1177370 1680450 ) ( 1177370 1688780 )
+    NEW met2 ( 1177370 1688780 ) ( 1177600 1688780 )
+    NEW met2 ( 1177600 1688780 ) ( 1177600 1690140 0 )
+    NEW met1 ( 26450 17170 ) ( 51750 17170 )
+    NEW met2 ( 51750 17170 ) ( 51750 1680110 )
+    NEW met1 ( 51750 1680110 ) ( 1161270 1680110 )
+    NEW met1 ( 26450 17170 ) M1M2_PR
+    NEW met1 ( 1177370 1680450 ) M1M2_PR
+    NEW met1 ( 51750 17170 ) M1M2_PR
+    NEW met1 ( 51750 1680110 ) M1M2_PR
++ USE SIGNAL ;
+- wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) 
+  + ROUTED met2 ( 32430 2380 0 ) ( 32430 17340 )
+    NEW met2 ( 1072950 16660 ) ( 1072950 18700 )
+    NEW met3 ( 1072950 18700 ) ( 1093190 18700 )
+    NEW met2 ( 1093190 17340 ) ( 1093190 18700 )
+    NEW met1 ( 1174150 1689630 ) ( 1178060 1689630 )
+    NEW met2 ( 1178060 1689630 ) ( 1178060 1690140 0 )
+    NEW met3 ( 1048800 16660 ) ( 1072950 16660 )
+    NEW met3 ( 1048800 16660 ) ( 1048800 17340 )
+    NEW met3 ( 1093190 17340 ) ( 1174150 17340 )
+    NEW met2 ( 1174150 17340 ) ( 1174150 1689630 )
+    NEW met3 ( 32430 17340 ) ( 1048800 17340 )
+    NEW met2 ( 32430 17340 ) via2_FR
+    NEW met2 ( 1072950 16660 ) via2_FR
+    NEW met2 ( 1072950 18700 ) via2_FR
+    NEW met2 ( 1093190 18700 ) via2_FR
+    NEW met2 ( 1093190 17340 ) via2_FR
+    NEW met2 ( 1174150 17340 ) via2_FR
+    NEW met1 ( 1174150 1689630 ) M1M2_PR
+    NEW met1 ( 1178060 1689630 ) M1M2_PR
++ USE SIGNAL ;
+=======
     - analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
     - analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
     - analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
@@ -14294,5 +94177,6 @@
       NEW met3 ( 32430 16660 ) ( 1181510 * )
       NEW met2 ( 32430 16660 ) M2M3_PR_M
       NEW met2 ( 1181510 16660 ) M2M3_PR_M ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 END NETS
 END DESIGN
diff --git a/docs/source/_static/user_proj_example.gds.png b/docs/source/_static/user_proj_example.gds.png
new file mode 100644
index 0000000..2de1d52
--- /dev/null
+++ b/docs/source/_static/user_proj_example.gds.png
Binary files differ
diff --git a/env.sh b/env.sh
new file mode 100644
index 0000000..d08f02d
--- /dev/null
+++ b/env.sh
@@ -0,0 +1,10 @@
+export STD_CELL_LIBRARY=sky130_fd_sc_ls
+export STDCELLLIB=/home/philipp/libresilicon/StdCellLib
+export OPENLANE_ROOT=$(readlink -f $(pwd)/../openlane )
+export OPENLANE_TAG=v0.15
+export CARAVEL=$(pwd)
+export CARAVEL_ROOT=$(pwd)/caravel
+export PDK_ROOT=$(readlink -f $(pwd)/../pdk )
+#export PDK_ROOT=$(pwd)/../pdk
+export PATH=$PATH:$(readlink -f $(pwd)../openlane_summary/ )
+
diff --git a/gds/user_proj_example.gds.gz b/gds/user_proj_example.gds.gz
new file mode 100644
index 0000000..a075ef6
--- /dev/null
+++ b/gds/user_proj_example.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
new file mode 100644
index 0000000..179f72b
--- /dev/null
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/info.yaml b/info.yaml
new file mode 100644
index 0000000..5c2d879
--- /dev/null
+++ b/info.yaml
@@ -0,0 +1,23 @@
+---
+project:
+  description: "At Libresilicon we have been working for several years on making chipdesign and production available to a wider public. One big step is now to automatically generate standard cell libraries just from the DRC rules and a given or even generated netlist."
+  foundry: "SkyWater"
+  git_url: "https://github.com/thesourcerer8/caravel_stdcelllib_stdcells_project.git"
+  organization: "Libresilicon Association"
+  organization_url: "http://libresilicon.com"
+  owner: "Philipp Guehring"
+  process: "SKY130"
+  project_name: "automaticstandardcelllibrary"
+  project_id: "00000150"
+  tags:
+    - "Open MPW"
+    - "Test Wafer"
+    - "MPW2"
+    - "Libresilicon"
+    - "Librecell"
+    - "StdCellLib"
+  category: "Test Wafer"
+  top_level_netlist: "caravel/verilog/gl/caravel.v"
+  user_level_netlist: "verilog/gl/user_project_wrapper.v"
+  version: "1.00"
+  cover_image: "docs/source/_static/user_proj_example.gds.png"
diff --git a/lef/user_proj_example.lef b/lef/user_proj_example.lef
index 0297dcc..b73ae8c 100644
--- a/lef/user_proj_example.lef
+++ b/lef/user_proj_example.lef
@@ -6,13 +6,13 @@
   CLASS BLOCK ;
   FOREIGN user_proj_example ;
   ORIGIN 0.000 0.000 ;
-  SIZE 900.000 BY 600.000 ;
+  SIZE 300.000 BY 300.000 ;
   PIN io_in[0]
     DIRECTION INPUT ;
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 3.770 596.000 4.050 600.000 ;
+        RECT 1.060 296.000 1.340 300.000 ;
     END
   END io_in[0]
   PIN io_in[10]
@@ -20,7 +20,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 240.670 596.000 240.950 600.000 ;
+        RECT 79.780 296.000 80.060 300.000 ;
     END
   END io_in[10]
   PIN io_in[11]
@@ -28,7 +28,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 264.130 596.000 264.410 600.000 ;
+        RECT 87.460 296.000 87.740 300.000 ;
     END
   END io_in[11]
   PIN io_in[12]
@@ -36,7 +36,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 288.050 596.000 288.330 600.000 ;
+        RECT 95.620 296.000 95.900 300.000 ;
     END
   END io_in[12]
   PIN io_in[13]
@@ -44,7 +44,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 311.510 596.000 311.790 600.000 ;
+        RECT 103.300 296.000 103.580 300.000 ;
     END
   END io_in[13]
   PIN io_in[14]
@@ -52,7 +52,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 335.430 596.000 335.710 600.000 ;
+        RECT 111.460 296.000 111.740 300.000 ;
     END
   END io_in[14]
   PIN io_in[15]
@@ -60,7 +60,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 358.890 596.000 359.170 600.000 ;
+        RECT 119.140 296.000 119.420 300.000 ;
     END
   END io_in[15]
   PIN io_in[16]
@@ -68,7 +68,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 382.810 596.000 383.090 600.000 ;
+        RECT 127.300 296.000 127.580 300.000 ;
     END
   END io_in[16]
   PIN io_in[17]
@@ -76,7 +76,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 406.270 596.000 406.550 600.000 ;
+        RECT 134.980 296.000 135.260 300.000 ;
     END
   END io_in[17]
   PIN io_in[18]
@@ -84,7 +84,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 430.190 596.000 430.470 600.000 ;
+        RECT 143.140 296.000 143.420 300.000 ;
     END
   END io_in[18]
   PIN io_in[19]
@@ -92,7 +92,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 453.650 596.000 453.930 600.000 ;
+        RECT 150.820 296.000 151.100 300.000 ;
     END
   END io_in[19]
   PIN io_in[1]
@@ -100,7 +100,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 27.230 596.000 27.510 600.000 ;
+        RECT 8.740 296.000 9.020 300.000 ;
     END
   END io_in[1]
   PIN io_in[20]
@@ -108,7 +108,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 477.570 596.000 477.850 600.000 ;
+        RECT 158.500 296.000 158.780 300.000 ;
     END
   END io_in[20]
   PIN io_in[21]
@@ -116,7 +116,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 501.030 596.000 501.310 600.000 ;
+        RECT 166.660 296.000 166.940 300.000 ;
     END
   END io_in[21]
   PIN io_in[22]
@@ -124,7 +124,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 524.950 596.000 525.230 600.000 ;
+        RECT 174.340 296.000 174.620 300.000 ;
     END
   END io_in[22]
   PIN io_in[23]
@@ -132,7 +132,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 548.410 596.000 548.690 600.000 ;
+        RECT 182.500 296.000 182.780 300.000 ;
     END
   END io_in[23]
   PIN io_in[24]
@@ -140,7 +140,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 572.330 596.000 572.610 600.000 ;
+        RECT 190.180 296.000 190.460 300.000 ;
     END
   END io_in[24]
   PIN io_in[25]
@@ -148,7 +148,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 595.790 596.000 596.070 600.000 ;
+        RECT 198.340 296.000 198.620 300.000 ;
     END
   END io_in[25]
   PIN io_in[26]
@@ -156,7 +156,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 619.710 596.000 619.990 600.000 ;
+        RECT 206.020 296.000 206.300 300.000 ;
     END
   END io_in[26]
   PIN io_in[27]
@@ -164,7 +164,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 643.170 596.000 643.450 600.000 ;
+        RECT 214.180 296.000 214.460 300.000 ;
     END
   END io_in[27]
   PIN io_in[28]
@@ -172,7 +172,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 667.090 596.000 667.370 600.000 ;
+        RECT 221.860 296.000 222.140 300.000 ;
     END
   END io_in[28]
   PIN io_in[29]
@@ -180,7 +180,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 690.550 596.000 690.830 600.000 ;
+        RECT 229.540 296.000 229.820 300.000 ;
     END
   END io_in[29]
   PIN io_in[2]
@@ -188,7 +188,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 51.150 596.000 51.430 600.000 ;
+        RECT 16.420 296.000 16.700 300.000 ;
     END
   END io_in[2]
   PIN io_in[30]
@@ -196,7 +196,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 714.470 596.000 714.750 600.000 ;
+        RECT 237.700 296.000 237.980 300.000 ;
     END
   END io_in[30]
   PIN io_in[31]
@@ -204,7 +204,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 737.930 596.000 738.210 600.000 ;
+        RECT 245.380 296.000 245.660 300.000 ;
     END
   END io_in[31]
   PIN io_in[32]
@@ -212,7 +212,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 761.850 596.000 762.130 600.000 ;
+        RECT 253.540 296.000 253.820 300.000 ;
     END
   END io_in[32]
   PIN io_in[33]
@@ -220,7 +220,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 785.310 596.000 785.590 600.000 ;
+        RECT 261.220 296.000 261.500 300.000 ;
     END
   END io_in[33]
   PIN io_in[34]
@@ -228,7 +228,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 809.230 596.000 809.510 600.000 ;
+        RECT 269.380 296.000 269.660 300.000 ;
     END
   END io_in[34]
   PIN io_in[35]
@@ -236,7 +236,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 832.690 596.000 832.970 600.000 ;
+        RECT 277.060 296.000 277.340 300.000 ;
     END
   END io_in[35]
   PIN io_in[36]
@@ -244,7 +244,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 856.610 596.000 856.890 600.000 ;
+        RECT 285.220 296.000 285.500 300.000 ;
     END
   END io_in[36]
   PIN io_in[37]
@@ -252,7 +252,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 880.070 596.000 880.350 600.000 ;
+        RECT 292.900 296.000 293.180 300.000 ;
     END
   END io_in[37]
   PIN io_in[3]
@@ -260,7 +260,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 74.610 596.000 74.890 600.000 ;
+        RECT 24.580 296.000 24.860 300.000 ;
     END
   END io_in[3]
   PIN io_in[4]
@@ -268,7 +268,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 98.530 596.000 98.810 600.000 ;
+        RECT 32.260 296.000 32.540 300.000 ;
     END
   END io_in[4]
   PIN io_in[5]
@@ -276,7 +276,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 121.990 596.000 122.270 600.000 ;
+        RECT 40.420 296.000 40.700 300.000 ;
     END
   END io_in[5]
   PIN io_in[6]
@@ -284,7 +284,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 145.910 596.000 146.190 600.000 ;
+        RECT 48.100 296.000 48.380 300.000 ;
     END
   END io_in[6]
   PIN io_in[7]
@@ -292,7 +292,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 169.370 596.000 169.650 600.000 ;
+        RECT 56.260 296.000 56.540 300.000 ;
     END
   END io_in[7]
   PIN io_in[8]
@@ -300,7 +300,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 193.290 596.000 193.570 600.000 ;
+        RECT 63.940 296.000 64.220 300.000 ;
     END
   END io_in[8]
   PIN io_in[9]
@@ -308,7 +308,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 216.750 596.000 217.030 600.000 ;
+        RECT 72.100 296.000 72.380 300.000 ;
     END
   END io_in[9]
   PIN io_oeb[0]
@@ -316,7 +316,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 11.590 596.000 11.870 600.000 ;
+        RECT 3.460 296.000 3.740 300.000 ;
     END
   END io_oeb[0]
   PIN io_oeb[10]
@@ -324,7 +324,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 248.490 596.000 248.770 600.000 ;
+        RECT 82.180 296.000 82.460 300.000 ;
     END
   END io_oeb[10]
   PIN io_oeb[11]
@@ -332,7 +332,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 271.950 596.000 272.230 600.000 ;
+        RECT 90.340 296.000 90.620 300.000 ;
     END
   END io_oeb[11]
   PIN io_oeb[12]
@@ -340,7 +340,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 295.870 596.000 296.150 600.000 ;
+        RECT 98.020 296.000 98.300 300.000 ;
     END
   END io_oeb[12]
   PIN io_oeb[13]
@@ -348,7 +348,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 319.330 596.000 319.610 600.000 ;
+        RECT 106.180 296.000 106.460 300.000 ;
     END
   END io_oeb[13]
   PIN io_oeb[14]
@@ -356,7 +356,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 343.250 596.000 343.530 600.000 ;
+        RECT 113.860 296.000 114.140 300.000 ;
     END
   END io_oeb[14]
   PIN io_oeb[15]
@@ -364,7 +364,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 366.710 596.000 366.990 600.000 ;
+        RECT 122.020 296.000 122.300 300.000 ;
     END
   END io_oeb[15]
   PIN io_oeb[16]
@@ -372,7 +372,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 390.630 596.000 390.910 600.000 ;
+        RECT 129.700 296.000 129.980 300.000 ;
     END
   END io_oeb[16]
   PIN io_oeb[17]
@@ -380,7 +380,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 414.090 596.000 414.370 600.000 ;
+        RECT 137.860 296.000 138.140 300.000 ;
     END
   END io_oeb[17]
   PIN io_oeb[18]
@@ -388,7 +388,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 438.010 596.000 438.290 600.000 ;
+        RECT 145.540 296.000 145.820 300.000 ;
     END
   END io_oeb[18]
   PIN io_oeb[19]
@@ -396,7 +396,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 461.470 596.000 461.750 600.000 ;
+        RECT 153.220 296.000 153.500 300.000 ;
     END
   END io_oeb[19]
   PIN io_oeb[1]
@@ -404,7 +404,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 35.050 596.000 35.330 600.000 ;
+        RECT 11.140 296.000 11.420 300.000 ;
     END
   END io_oeb[1]
   PIN io_oeb[20]
@@ -412,7 +412,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 485.390 596.000 485.670 600.000 ;
+        RECT 161.380 296.000 161.660 300.000 ;
     END
   END io_oeb[20]
   PIN io_oeb[21]
@@ -420,7 +420,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 508.850 596.000 509.130 600.000 ;
+        RECT 169.060 296.000 169.340 300.000 ;
     END
   END io_oeb[21]
   PIN io_oeb[22]
@@ -428,7 +428,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 532.770 596.000 533.050 600.000 ;
+        RECT 177.220 296.000 177.500 300.000 ;
     END
   END io_oeb[22]
   PIN io_oeb[23]
@@ -436,7 +436,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 556.230 596.000 556.510 600.000 ;
+        RECT 184.900 296.000 185.180 300.000 ;
     END
   END io_oeb[23]
   PIN io_oeb[24]
@@ -444,7 +444,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 580.150 596.000 580.430 600.000 ;
+        RECT 193.060 296.000 193.340 300.000 ;
     END
   END io_oeb[24]
   PIN io_oeb[25]
@@ -452,7 +452,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 603.610 596.000 603.890 600.000 ;
+        RECT 200.740 296.000 201.020 300.000 ;
     END
   END io_oeb[25]
   PIN io_oeb[26]
@@ -460,7 +460,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 627.530 596.000 627.810 600.000 ;
+        RECT 208.900 296.000 209.180 300.000 ;
     END
   END io_oeb[26]
   PIN io_oeb[27]
@@ -468,7 +468,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 650.990 596.000 651.270 600.000 ;
+        RECT 216.580 296.000 216.860 300.000 ;
     END
   END io_oeb[27]
   PIN io_oeb[28]
@@ -476,7 +476,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 674.910 596.000 675.190 600.000 ;
+        RECT 224.740 296.000 225.020 300.000 ;
     END
   END io_oeb[28]
   PIN io_oeb[29]
@@ -484,7 +484,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 698.370 596.000 698.650 600.000 ;
+        RECT 232.420 296.000 232.700 300.000 ;
     END
   END io_oeb[29]
   PIN io_oeb[2]
@@ -492,7 +492,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 58.970 596.000 59.250 600.000 ;
+        RECT 19.300 296.000 19.580 300.000 ;
     END
   END io_oeb[2]
   PIN io_oeb[30]
@@ -500,7 +500,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 722.290 596.000 722.570 600.000 ;
+        RECT 240.100 296.000 240.380 300.000 ;
     END
   END io_oeb[30]
   PIN io_oeb[31]
@@ -508,7 +508,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 745.750 596.000 746.030 600.000 ;
+        RECT 248.260 296.000 248.540 300.000 ;
     END
   END io_oeb[31]
   PIN io_oeb[32]
@@ -516,7 +516,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 769.670 596.000 769.950 600.000 ;
+        RECT 255.940 296.000 256.220 300.000 ;
     END
   END io_oeb[32]
   PIN io_oeb[33]
@@ -524,7 +524,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 793.130 596.000 793.410 600.000 ;
+        RECT 264.100 296.000 264.380 300.000 ;
     END
   END io_oeb[33]
   PIN io_oeb[34]
@@ -532,7 +532,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 817.050 596.000 817.330 600.000 ;
+        RECT 271.780 296.000 272.060 300.000 ;
     END
   END io_oeb[34]
   PIN io_oeb[35]
@@ -540,7 +540,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 840.510 596.000 840.790 600.000 ;
+        RECT 279.940 296.000 280.220 300.000 ;
     END
   END io_oeb[35]
   PIN io_oeb[36]
@@ -548,7 +548,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 864.430 596.000 864.710 600.000 ;
+        RECT 287.620 296.000 287.900 300.000 ;
     END
   END io_oeb[36]
   PIN io_oeb[37]
@@ -556,7 +556,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 887.890 596.000 888.170 600.000 ;
+        RECT 295.780 296.000 296.060 300.000 ;
     END
   END io_oeb[37]
   PIN io_oeb[3]
@@ -564,7 +564,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 82.430 596.000 82.710 600.000 ;
+        RECT 26.980 296.000 27.260 300.000 ;
     END
   END io_oeb[3]
   PIN io_oeb[4]
@@ -572,7 +572,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 106.350 596.000 106.630 600.000 ;
+        RECT 35.140 296.000 35.420 300.000 ;
     END
   END io_oeb[4]
   PIN io_oeb[5]
@@ -580,7 +580,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 129.810 596.000 130.090 600.000 ;
+        RECT 42.820 296.000 43.100 300.000 ;
     END
   END io_oeb[5]
   PIN io_oeb[6]
@@ -588,7 +588,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 153.730 596.000 154.010 600.000 ;
+        RECT 50.980 296.000 51.260 300.000 ;
     END
   END io_oeb[6]
   PIN io_oeb[7]
@@ -596,7 +596,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 177.190 596.000 177.470 600.000 ;
+        RECT 58.660 296.000 58.940 300.000 ;
     END
   END io_oeb[7]
   PIN io_oeb[8]
@@ -604,7 +604,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 201.110 596.000 201.390 600.000 ;
+        RECT 66.820 296.000 67.100 300.000 ;
     END
   END io_oeb[8]
   PIN io_oeb[9]
@@ -612,7 +612,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 224.570 596.000 224.850 600.000 ;
+        RECT 74.500 296.000 74.780 300.000 ;
     END
   END io_oeb[9]
   PIN io_out[0]
@@ -620,7 +620,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 19.410 596.000 19.690 600.000 ;
+        RECT 5.860 296.000 6.140 300.000 ;
     END
   END io_out[0]
   PIN io_out[10]
@@ -628,7 +628,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 256.310 596.000 256.590 600.000 ;
+        RECT 85.060 296.000 85.340 300.000 ;
     END
   END io_out[10]
   PIN io_out[11]
@@ -636,7 +636,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 279.770 596.000 280.050 600.000 ;
+        RECT 92.740 296.000 93.020 300.000 ;
     END
   END io_out[11]
   PIN io_out[12]
@@ -644,7 +644,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 303.690 596.000 303.970 600.000 ;
+        RECT 100.900 296.000 101.180 300.000 ;
     END
   END io_out[12]
   PIN io_out[13]
@@ -652,7 +652,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 327.150 596.000 327.430 600.000 ;
+        RECT 108.580 296.000 108.860 300.000 ;
     END
   END io_out[13]
   PIN io_out[14]
@@ -660,7 +660,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 351.070 596.000 351.350 600.000 ;
+        RECT 116.740 296.000 117.020 300.000 ;
     END
   END io_out[14]
   PIN io_out[15]
@@ -668,7 +668,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 374.530 596.000 374.810 600.000 ;
+        RECT 124.420 296.000 124.700 300.000 ;
     END
   END io_out[15]
   PIN io_out[16]
@@ -676,7 +676,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 398.450 596.000 398.730 600.000 ;
+        RECT 132.580 296.000 132.860 300.000 ;
     END
   END io_out[16]
   PIN io_out[17]
@@ -684,7 +684,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 421.910 596.000 422.190 600.000 ;
+        RECT 140.260 296.000 140.540 300.000 ;
     END
   END io_out[17]
   PIN io_out[18]
@@ -692,7 +692,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 445.830 596.000 446.110 600.000 ;
+        RECT 148.420 296.000 148.700 300.000 ;
     END
   END io_out[18]
   PIN io_out[19]
@@ -700,7 +700,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 469.290 596.000 469.570 600.000 ;
+        RECT 156.100 296.000 156.380 300.000 ;
     END
   END io_out[19]
   PIN io_out[1]
@@ -708,7 +708,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 42.870 596.000 43.150 600.000 ;
+        RECT 14.020 296.000 14.300 300.000 ;
     END
   END io_out[1]
   PIN io_out[20]
@@ -716,7 +716,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 493.210 596.000 493.490 600.000 ;
+        RECT 163.780 296.000 164.060 300.000 ;
     END
   END io_out[20]
   PIN io_out[21]
@@ -724,7 +724,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 516.670 596.000 516.950 600.000 ;
+        RECT 171.940 296.000 172.220 300.000 ;
     END
   END io_out[21]
   PIN io_out[22]
@@ -732,7 +732,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 540.590 596.000 540.870 600.000 ;
+        RECT 179.620 296.000 179.900 300.000 ;
     END
   END io_out[22]
   PIN io_out[23]
@@ -740,7 +740,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 564.050 596.000 564.330 600.000 ;
+        RECT 187.780 296.000 188.060 300.000 ;
     END
   END io_out[23]
   PIN io_out[24]
@@ -748,7 +748,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 587.970 596.000 588.250 600.000 ;
+        RECT 195.460 296.000 195.740 300.000 ;
     END
   END io_out[24]
   PIN io_out[25]
@@ -756,7 +756,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 611.430 596.000 611.710 600.000 ;
+        RECT 203.620 296.000 203.900 300.000 ;
     END
   END io_out[25]
   PIN io_out[26]
@@ -764,7 +764,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 635.350 596.000 635.630 600.000 ;
+        RECT 211.300 296.000 211.580 300.000 ;
     END
   END io_out[26]
   PIN io_out[27]
@@ -772,7 +772,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 658.810 596.000 659.090 600.000 ;
+        RECT 219.460 296.000 219.740 300.000 ;
     END
   END io_out[27]
   PIN io_out[28]
@@ -780,7 +780,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 682.730 596.000 683.010 600.000 ;
+        RECT 227.140 296.000 227.420 300.000 ;
     END
   END io_out[28]
   PIN io_out[29]
@@ -788,7 +788,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 706.190 596.000 706.470 600.000 ;
+        RECT 234.820 296.000 235.100 300.000 ;
     END
   END io_out[29]
   PIN io_out[2]
@@ -796,7 +796,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 66.790 596.000 67.070 600.000 ;
+        RECT 21.700 296.000 21.980 300.000 ;
     END
   END io_out[2]
   PIN io_out[30]
@@ -804,7 +804,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 730.110 596.000 730.390 600.000 ;
+        RECT 242.980 296.000 243.260 300.000 ;
     END
   END io_out[30]
   PIN io_out[31]
@@ -812,7 +812,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 753.570 596.000 753.850 600.000 ;
+        RECT 250.660 296.000 250.940 300.000 ;
     END
   END io_out[31]
   PIN io_out[32]
@@ -820,7 +820,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 777.490 596.000 777.770 600.000 ;
+        RECT 258.820 296.000 259.100 300.000 ;
     END
   END io_out[32]
   PIN io_out[33]
@@ -828,7 +828,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 800.950 596.000 801.230 600.000 ;
+        RECT 266.500 296.000 266.780 300.000 ;
     END
   END io_out[33]
   PIN io_out[34]
@@ -836,7 +836,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 824.870 596.000 825.150 600.000 ;
+        RECT 274.660 296.000 274.940 300.000 ;
     END
   END io_out[34]
   PIN io_out[35]
@@ -844,7 +844,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 848.330 596.000 848.610 600.000 ;
+        RECT 282.340 296.000 282.620 300.000 ;
     END
   END io_out[35]
   PIN io_out[36]
@@ -852,7 +852,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 872.250 596.000 872.530 600.000 ;
+        RECT 290.500 296.000 290.780 300.000 ;
     END
   END io_out[36]
   PIN io_out[37]
@@ -860,7 +860,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 895.710 596.000 895.990 600.000 ;
+        RECT 298.180 296.000 298.460 300.000 ;
     END
   END io_out[37]
   PIN io_out[3]
@@ -868,7 +868,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 90.250 596.000 90.530 600.000 ;
+        RECT 29.860 296.000 30.140 300.000 ;
     END
   END io_out[3]
   PIN io_out[4]
@@ -876,7 +876,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 114.170 596.000 114.450 600.000 ;
+        RECT 37.540 296.000 37.820 300.000 ;
     END
   END io_out[4]
   PIN io_out[5]
@@ -884,7 +884,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 137.630 596.000 137.910 600.000 ;
+        RECT 45.700 296.000 45.980 300.000 ;
     END
   END io_out[5]
   PIN io_out[6]
@@ -892,7 +892,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 161.550 596.000 161.830 600.000 ;
+        RECT 53.380 296.000 53.660 300.000 ;
     END
   END io_out[6]
   PIN io_out[7]
@@ -900,7 +900,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 185.010 596.000 185.290 600.000 ;
+        RECT 61.540 296.000 61.820 300.000 ;
     END
   END io_out[7]
   PIN io_out[8]
@@ -908,7 +908,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 208.930 596.000 209.210 600.000 ;
+        RECT 69.220 296.000 69.500 300.000 ;
     END
   END io_out[8]
   PIN io_out[9]
@@ -916,31 +916,46 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 232.390 596.000 232.670 600.000 ;
+        RECT 76.900 296.000 77.180 300.000 ;
     END
   END io_out[9]
   PIN irq[0]
     DIRECTION OUTPUT TRISTATE ;
     USE SIGNAL ;
     PORT
+<<<<<<< HEAD
+      LAYER met3 ;
+        RECT 0.000 74.810 4.000 75.410 ;
+=======
       LAYER met2 ;
         RECT 895.250 0.000 895.530 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END irq[0]
   PIN irq[1]
     DIRECTION OUTPUT TRISTATE ;
     USE SIGNAL ;
     PORT
+<<<<<<< HEAD
+      LAYER met3 ;
+        RECT 296.000 149.550 300.000 150.150 ;
+=======
       LAYER met2 ;
         RECT 897.090 0.000 897.370 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END irq[1]
   PIN irq[2]
     DIRECTION OUTPUT TRISTATE ;
     USE SIGNAL ;
     PORT
+<<<<<<< HEAD
+      LAYER met3 ;
+        RECT 0.000 224.290 4.000 224.890 ;
+=======
       LAYER met2 ;
         RECT 898.930 0.000 899.210 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END irq[2]
   PIN la_data_in[0]
@@ -948,7 +963,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 64.900 0.000 65.180 4.000 ;
+=======
         RECT 193.750 0.000 194.030 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[0]
   PIN la_data_in[100]
@@ -956,7 +975,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 248.260 0.000 248.540 4.000 ;
+=======
         RECT 741.610 0.000 741.890 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[100]
   PIN la_data_in[101]
@@ -964,7 +987,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 250.180 0.000 250.460 4.000 ;
+=======
         RECT 747.130 0.000 747.410 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[101]
   PIN la_data_in[102]
@@ -972,7 +999,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 252.100 0.000 252.380 4.000 ;
+=======
         RECT 752.650 0.000 752.930 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[102]
   PIN la_data_in[103]
@@ -980,7 +1011,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 254.020 0.000 254.300 4.000 ;
+=======
         RECT 758.170 0.000 758.450 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[103]
   PIN la_data_in[104]
@@ -988,7 +1023,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 255.940 0.000 256.220 4.000 ;
+=======
         RECT 763.690 0.000 763.970 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[104]
   PIN la_data_in[105]
@@ -996,7 +1035,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 257.380 0.000 257.660 4.000 ;
+=======
         RECT 769.210 0.000 769.490 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[105]
   PIN la_data_in[106]
@@ -1004,7 +1047,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 259.300 0.000 259.580 4.000 ;
+=======
         RECT 774.730 0.000 775.010 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[106]
   PIN la_data_in[107]
@@ -1012,7 +1059,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 261.220 0.000 261.500 4.000 ;
+=======
         RECT 780.250 0.000 780.530 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[107]
   PIN la_data_in[108]
@@ -1020,7 +1071,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 263.140 0.000 263.420 4.000 ;
+=======
         RECT 785.310 0.000 785.590 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[108]
   PIN la_data_in[109]
@@ -1028,7 +1083,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 265.060 0.000 265.340 4.000 ;
+=======
         RECT 790.830 0.000 791.110 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[109]
   PIN la_data_in[10]
@@ -1036,7 +1095,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 83.140 0.000 83.420 4.000 ;
+=======
         RECT 248.490 0.000 248.770 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[10]
   PIN la_data_in[110]
@@ -1044,7 +1107,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 266.980 0.000 267.260 4.000 ;
+=======
         RECT 796.350 0.000 796.630 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[110]
   PIN la_data_in[111]
@@ -1052,7 +1119,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 268.420 0.000 268.700 4.000 ;
+=======
         RECT 801.870 0.000 802.150 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[111]
   PIN la_data_in[112]
@@ -1060,7 +1131,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 270.340 0.000 270.620 4.000 ;
+=======
         RECT 807.390 0.000 807.670 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[112]
   PIN la_data_in[113]
@@ -1068,7 +1143,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 272.260 0.000 272.540 4.000 ;
+=======
         RECT 812.910 0.000 813.190 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[113]
   PIN la_data_in[114]
@@ -1076,7 +1155,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 274.180 0.000 274.460 4.000 ;
+=======
         RECT 818.430 0.000 818.710 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[114]
   PIN la_data_in[115]
@@ -1084,7 +1167,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 276.100 0.000 276.380 4.000 ;
+=======
         RECT 823.950 0.000 824.230 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[115]
   PIN la_data_in[116]
@@ -1092,7 +1179,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 278.020 0.000 278.300 4.000 ;
+=======
         RECT 829.470 0.000 829.750 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[116]
   PIN la_data_in[117]
@@ -1100,7 +1191,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 279.460 0.000 279.740 4.000 ;
+=======
         RECT 834.990 0.000 835.270 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[117]
   PIN la_data_in[118]
@@ -1108,7 +1203,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 281.380 0.000 281.660 4.000 ;
+=======
         RECT 840.510 0.000 840.790 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[118]
   PIN la_data_in[119]
@@ -1116,7 +1215,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 283.300 0.000 283.580 4.000 ;
+=======
         RECT 845.570 0.000 845.850 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[119]
   PIN la_data_in[11]
@@ -1124,7 +1227,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 85.060 0.000 85.340 4.000 ;
+=======
         RECT 254.010 0.000 254.290 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[11]
   PIN la_data_in[120]
@@ -1132,7 +1239,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 285.220 0.000 285.500 4.000 ;
+=======
         RECT 851.090 0.000 851.370 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[120]
   PIN la_data_in[121]
@@ -1140,7 +1251,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 287.140 0.000 287.420 4.000 ;
+=======
         RECT 856.610 0.000 856.890 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[121]
   PIN la_data_in[122]
@@ -1148,7 +1263,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 289.060 0.000 289.340 4.000 ;
+=======
         RECT 862.130 0.000 862.410 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[122]
   PIN la_data_in[123]
@@ -1156,7 +1275,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 290.500 0.000 290.780 4.000 ;
+=======
         RECT 867.650 0.000 867.930 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[123]
   PIN la_data_in[124]
@@ -1164,7 +1287,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 292.420 0.000 292.700 4.000 ;
+=======
         RECT 873.170 0.000 873.450 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[124]
   PIN la_data_in[125]
@@ -1172,7 +1299,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 294.340 0.000 294.620 4.000 ;
+=======
         RECT 878.690 0.000 878.970 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[125]
   PIN la_data_in[126]
@@ -1180,7 +1311,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 296.260 0.000 296.540 4.000 ;
+=======
         RECT 884.210 0.000 884.490 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[126]
   PIN la_data_in[127]
@@ -1188,7 +1323,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 298.180 0.000 298.460 4.000 ;
+=======
         RECT 889.730 0.000 890.010 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[127]
   PIN la_data_in[12]
@@ -1196,7 +1335,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 86.980 0.000 87.260 4.000 ;
+=======
         RECT 259.530 0.000 259.810 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[12]
   PIN la_data_in[13]
@@ -1204,7 +1347,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 88.420 0.000 88.700 4.000 ;
+=======
         RECT 265.050 0.000 265.330 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[13]
   PIN la_data_in[14]
@@ -1212,7 +1359,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 90.340 0.000 90.620 4.000 ;
+=======
         RECT 270.570 0.000 270.850 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[14]
   PIN la_data_in[15]
@@ -1220,7 +1371,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 92.260 0.000 92.540 4.000 ;
+=======
         RECT 276.090 0.000 276.370 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[15]
   PIN la_data_in[16]
@@ -1228,7 +1383,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 94.180 0.000 94.460 4.000 ;
+=======
         RECT 281.610 0.000 281.890 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[16]
   PIN la_data_in[17]
@@ -1236,7 +1395,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 96.100 0.000 96.380 4.000 ;
+=======
         RECT 287.130 0.000 287.410 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[17]
   PIN la_data_in[18]
@@ -1244,7 +1407,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 98.020 0.000 98.300 4.000 ;
+=======
         RECT 292.650 0.000 292.930 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[18]
   PIN la_data_in[19]
@@ -1252,7 +1419,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 99.460 0.000 99.740 4.000 ;
+=======
         RECT 298.170 0.000 298.450 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[19]
   PIN la_data_in[1]
@@ -1260,7 +1431,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 66.820 0.000 67.100 4.000 ;
+=======
         RECT 199.270 0.000 199.550 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[1]
   PIN la_data_in[20]
@@ -1268,7 +1443,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 101.380 0.000 101.660 4.000 ;
+=======
         RECT 303.230 0.000 303.510 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[20]
   PIN la_data_in[21]
@@ -1276,7 +1455,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 103.300 0.000 103.580 4.000 ;
+=======
         RECT 308.750 0.000 309.030 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[21]
   PIN la_data_in[22]
@@ -1284,7 +1467,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 105.220 0.000 105.500 4.000 ;
+=======
         RECT 314.270 0.000 314.550 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[22]
   PIN la_data_in[23]
@@ -1292,7 +1479,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 107.140 0.000 107.420 4.000 ;
+=======
         RECT 319.790 0.000 320.070 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[23]
   PIN la_data_in[24]
@@ -1300,7 +1491,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 109.060 0.000 109.340 4.000 ;
+=======
         RECT 325.310 0.000 325.590 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[24]
   PIN la_data_in[25]
@@ -1308,7 +1503,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 110.500 0.000 110.780 4.000 ;
+=======
         RECT 330.830 0.000 331.110 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[25]
   PIN la_data_in[26]
@@ -1316,7 +1515,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 112.420 0.000 112.700 4.000 ;
+=======
         RECT 336.350 0.000 336.630 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[26]
   PIN la_data_in[27]
@@ -1324,7 +1527,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 114.340 0.000 114.620 4.000 ;
+=======
         RECT 341.870 0.000 342.150 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[27]
   PIN la_data_in[28]
@@ -1332,7 +1539,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 116.260 0.000 116.540 4.000 ;
+=======
         RECT 347.390 0.000 347.670 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[28]
   PIN la_data_in[29]
@@ -1340,7 +1551,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 118.180 0.000 118.460 4.000 ;
+=======
         RECT 352.910 0.000 353.190 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[29]
   PIN la_data_in[2]
@@ -1348,7 +1563,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 68.260 0.000 68.540 4.000 ;
+=======
         RECT 204.790 0.000 205.070 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[2]
   PIN la_data_in[30]
@@ -1356,7 +1575,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 120.100 0.000 120.380 4.000 ;
+=======
         RECT 358.430 0.000 358.710 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[30]
   PIN la_data_in[31]
@@ -1364,7 +1587,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 121.540 0.000 121.820 4.000 ;
+=======
         RECT 363.490 0.000 363.770 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[31]
   PIN la_data_in[32]
@@ -1372,7 +1599,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 123.460 0.000 123.740 4.000 ;
+=======
         RECT 369.010 0.000 369.290 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[32]
   PIN la_data_in[33]
@@ -1380,7 +1611,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 125.380 0.000 125.660 4.000 ;
+=======
         RECT 374.530 0.000 374.810 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[33]
   PIN la_data_in[34]
@@ -1388,7 +1623,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 127.300 0.000 127.580 4.000 ;
+=======
         RECT 380.050 0.000 380.330 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[34]
   PIN la_data_in[35]
@@ -1396,7 +1635,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 129.220 0.000 129.500 4.000 ;
+=======
         RECT 385.570 0.000 385.850 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[35]
   PIN la_data_in[36]
@@ -1404,7 +1647,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 130.660 0.000 130.940 4.000 ;
+=======
         RECT 391.090 0.000 391.370 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[36]
   PIN la_data_in[37]
@@ -1412,7 +1659,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 132.580 0.000 132.860 4.000 ;
+=======
         RECT 396.610 0.000 396.890 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[37]
   PIN la_data_in[38]
@@ -1420,7 +1671,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 134.500 0.000 134.780 4.000 ;
+=======
         RECT 402.130 0.000 402.410 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[38]
   PIN la_data_in[39]
@@ -1428,7 +1683,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 136.420 0.000 136.700 4.000 ;
+=======
         RECT 407.650 0.000 407.930 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[39]
   PIN la_data_in[3]
@@ -1436,7 +1695,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 70.180 0.000 70.460 4.000 ;
+=======
         RECT 210.310 0.000 210.590 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[3]
   PIN la_data_in[40]
@@ -1444,7 +1707,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 138.340 0.000 138.620 4.000 ;
+=======
         RECT 413.170 0.000 413.450 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[40]
   PIN la_data_in[41]
@@ -1452,7 +1719,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 140.260 0.000 140.540 4.000 ;
+=======
         RECT 418.690 0.000 418.970 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[41]
   PIN la_data_in[42]
@@ -1460,7 +1731,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 141.700 0.000 141.980 4.000 ;
+=======
         RECT 423.750 0.000 424.030 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[42]
   PIN la_data_in[43]
@@ -1468,7 +1743,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 143.620 0.000 143.900 4.000 ;
+=======
         RECT 429.270 0.000 429.550 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[43]
   PIN la_data_in[44]
@@ -1476,7 +1755,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 145.540 0.000 145.820 4.000 ;
+=======
         RECT 434.790 0.000 435.070 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[44]
   PIN la_data_in[45]
@@ -1484,7 +1767,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 147.460 0.000 147.740 4.000 ;
+=======
         RECT 440.310 0.000 440.590 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[45]
   PIN la_data_in[46]
@@ -1492,7 +1779,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 149.380 0.000 149.660 4.000 ;
+=======
         RECT 445.830 0.000 446.110 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[46]
   PIN la_data_in[47]
@@ -1500,7 +1791,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 151.300 0.000 151.580 4.000 ;
+=======
         RECT 451.350 0.000 451.630 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[47]
   PIN la_data_in[48]
@@ -1508,7 +1803,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 152.740 0.000 153.020 4.000 ;
+=======
         RECT 456.870 0.000 457.150 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[48]
   PIN la_data_in[49]
@@ -1516,7 +1815,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 154.660 0.000 154.940 4.000 ;
+=======
         RECT 462.390 0.000 462.670 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[49]
   PIN la_data_in[4]
@@ -1524,7 +1827,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 72.100 0.000 72.380 4.000 ;
+=======
         RECT 215.830 0.000 216.110 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[4]
   PIN la_data_in[50]
@@ -1532,7 +1839,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 156.580 0.000 156.860 4.000 ;
+=======
         RECT 467.910 0.000 468.190 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[50]
   PIN la_data_in[51]
@@ -1540,7 +1851,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 158.500 0.000 158.780 4.000 ;
+=======
         RECT 473.430 0.000 473.710 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[51]
   PIN la_data_in[52]
@@ -1548,7 +1863,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 160.420 0.000 160.700 4.000 ;
+=======
         RECT 478.950 0.000 479.230 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[52]
   PIN la_data_in[53]
@@ -1556,7 +1875,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 162.340 0.000 162.620 4.000 ;
+=======
         RECT 484.010 0.000 484.290 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[53]
   PIN la_data_in[54]
@@ -1564,7 +1887,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 163.780 0.000 164.060 4.000 ;
+=======
         RECT 489.530 0.000 489.810 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[54]
   PIN la_data_in[55]
@@ -1572,7 +1899,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 165.700 0.000 165.980 4.000 ;
+=======
         RECT 495.050 0.000 495.330 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[55]
   PIN la_data_in[56]
@@ -1580,7 +1911,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 167.620 0.000 167.900 4.000 ;
+=======
         RECT 500.570 0.000 500.850 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[56]
   PIN la_data_in[57]
@@ -1588,7 +1923,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 169.540 0.000 169.820 4.000 ;
+=======
         RECT 506.090 0.000 506.370 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[57]
   PIN la_data_in[58]
@@ -1596,7 +1935,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 171.460 0.000 171.740 4.000 ;
+=======
         RECT 511.610 0.000 511.890 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[58]
   PIN la_data_in[59]
@@ -1604,7 +1947,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 172.900 0.000 173.180 4.000 ;
+=======
         RECT 517.130 0.000 517.410 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[59]
   PIN la_data_in[5]
@@ -1612,7 +1959,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 74.020 0.000 74.300 4.000 ;
+=======
         RECT 221.350 0.000 221.630 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[5]
   PIN la_data_in[60]
@@ -1620,7 +1971,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 174.820 0.000 175.100 4.000 ;
+=======
         RECT 522.650 0.000 522.930 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[60]
   PIN la_data_in[61]
@@ -1628,7 +1983,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 176.740 0.000 177.020 4.000 ;
+=======
         RECT 528.170 0.000 528.450 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[61]
   PIN la_data_in[62]
@@ -1636,7 +1995,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 178.660 0.000 178.940 4.000 ;
+=======
         RECT 533.690 0.000 533.970 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[62]
   PIN la_data_in[63]
@@ -1644,7 +2007,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 180.580 0.000 180.860 4.000 ;
+=======
         RECT 539.210 0.000 539.490 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[63]
   PIN la_data_in[64]
@@ -1652,7 +2019,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 182.500 0.000 182.780 4.000 ;
+=======
         RECT 544.270 0.000 544.550 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[64]
   PIN la_data_in[65]
@@ -1660,7 +2031,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 183.940 0.000 184.220 4.000 ;
+=======
         RECT 549.790 0.000 550.070 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[65]
   PIN la_data_in[66]
@@ -1668,7 +2043,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 185.860 0.000 186.140 4.000 ;
+=======
         RECT 555.310 0.000 555.590 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[66]
   PIN la_data_in[67]
@@ -1676,7 +2055,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 187.780 0.000 188.060 4.000 ;
+=======
         RECT 560.830 0.000 561.110 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[67]
   PIN la_data_in[68]
@@ -1684,7 +2067,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 189.700 0.000 189.980 4.000 ;
+=======
         RECT 566.350 0.000 566.630 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[68]
   PIN la_data_in[69]
@@ -1692,7 +2079,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 191.620 0.000 191.900 4.000 ;
+=======
         RECT 571.870 0.000 572.150 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[69]
   PIN la_data_in[6]
@@ -1700,7 +2091,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 75.940 0.000 76.220 4.000 ;
+=======
         RECT 226.870 0.000 227.150 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[6]
   PIN la_data_in[70]
@@ -1708,7 +2103,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 193.540 0.000 193.820 4.000 ;
+=======
         RECT 577.390 0.000 577.670 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[70]
   PIN la_data_in[71]
@@ -1716,7 +2115,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 194.980 0.000 195.260 4.000 ;
+=======
         RECT 582.910 0.000 583.190 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[71]
   PIN la_data_in[72]
@@ -1724,7 +2127,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 196.900 0.000 197.180 4.000 ;
+=======
         RECT 588.430 0.000 588.710 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[72]
   PIN la_data_in[73]
@@ -1732,7 +2139,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 198.820 0.000 199.100 4.000 ;
+=======
         RECT 593.950 0.000 594.230 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[73]
   PIN la_data_in[74]
@@ -1740,7 +2151,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 200.740 0.000 201.020 4.000 ;
+=======
         RECT 599.470 0.000 599.750 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[74]
   PIN la_data_in[75]
@@ -1748,7 +2163,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 202.660 0.000 202.940 4.000 ;
+=======
         RECT 604.530 0.000 604.810 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[75]
   PIN la_data_in[76]
@@ -1756,7 +2175,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 204.580 0.000 204.860 4.000 ;
+=======
         RECT 610.050 0.000 610.330 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[76]
   PIN la_data_in[77]
@@ -1764,7 +2187,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 206.020 0.000 206.300 4.000 ;
+=======
         RECT 615.570 0.000 615.850 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[77]
   PIN la_data_in[78]
@@ -1772,7 +2199,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 207.940 0.000 208.220 4.000 ;
+=======
         RECT 621.090 0.000 621.370 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[78]
   PIN la_data_in[79]
@@ -1780,7 +2211,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 209.860 0.000 210.140 4.000 ;
+=======
         RECT 626.610 0.000 626.890 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[79]
   PIN la_data_in[7]
@@ -1788,7 +2223,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 77.380 0.000 77.660 4.000 ;
+=======
         RECT 232.390 0.000 232.670 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[7]
   PIN la_data_in[80]
@@ -1796,7 +2235,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 211.780 0.000 212.060 4.000 ;
+=======
         RECT 632.130 0.000 632.410 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[80]
   PIN la_data_in[81]
@@ -1804,7 +2247,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 213.700 0.000 213.980 4.000 ;
+=======
         RECT 637.650 0.000 637.930 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[81]
   PIN la_data_in[82]
@@ -1812,7 +2259,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 215.140 0.000 215.420 4.000 ;
+=======
         RECT 643.170 0.000 643.450 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[82]
   PIN la_data_in[83]
@@ -1820,7 +2271,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 217.060 0.000 217.340 4.000 ;
+=======
         RECT 648.690 0.000 648.970 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[83]
   PIN la_data_in[84]
@@ -1828,7 +2283,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 218.980 0.000 219.260 4.000 ;
+=======
         RECT 654.210 0.000 654.490 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[84]
   PIN la_data_in[85]
@@ -1836,7 +2295,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 220.900 0.000 221.180 4.000 ;
+=======
         RECT 659.730 0.000 660.010 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[85]
   PIN la_data_in[86]
@@ -1844,7 +2307,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 222.820 0.000 223.100 4.000 ;
+=======
         RECT 664.790 0.000 665.070 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[86]
   PIN la_data_in[87]
@@ -1852,7 +2319,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 224.740 0.000 225.020 4.000 ;
+=======
         RECT 670.310 0.000 670.590 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[87]
   PIN la_data_in[88]
@@ -1860,7 +2331,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 226.180 0.000 226.460 4.000 ;
+=======
         RECT 675.830 0.000 676.110 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[88]
   PIN la_data_in[89]
@@ -1868,7 +2343,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 228.100 0.000 228.380 4.000 ;
+=======
         RECT 681.350 0.000 681.630 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[89]
   PIN la_data_in[8]
@@ -1876,7 +2355,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 79.300 0.000 79.580 4.000 ;
+=======
         RECT 237.910 0.000 238.190 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[8]
   PIN la_data_in[90]
@@ -1884,7 +2367,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 230.020 0.000 230.300 4.000 ;
+=======
         RECT 686.870 0.000 687.150 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[90]
   PIN la_data_in[91]
@@ -1892,7 +2379,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 231.940 0.000 232.220 4.000 ;
+=======
         RECT 692.390 0.000 692.670 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[91]
   PIN la_data_in[92]
@@ -1900,7 +2391,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 233.860 0.000 234.140 4.000 ;
+=======
         RECT 697.910 0.000 698.190 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[92]
   PIN la_data_in[93]
@@ -1908,7 +2403,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 235.780 0.000 236.060 4.000 ;
+=======
         RECT 703.430 0.000 703.710 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[93]
   PIN la_data_in[94]
@@ -1916,7 +2415,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 237.220 0.000 237.500 4.000 ;
+=======
         RECT 708.950 0.000 709.230 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[94]
   PIN la_data_in[95]
@@ -1924,7 +2427,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 239.140 0.000 239.420 4.000 ;
+=======
         RECT 714.470 0.000 714.750 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[95]
   PIN la_data_in[96]
@@ -1932,7 +2439,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 241.060 0.000 241.340 4.000 ;
+=======
         RECT 719.990 0.000 720.270 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[96]
   PIN la_data_in[97]
@@ -1940,7 +2451,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 242.980 0.000 243.260 4.000 ;
+=======
         RECT 725.050 0.000 725.330 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[97]
   PIN la_data_in[98]
@@ -1948,7 +2463,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 244.900 0.000 245.180 4.000 ;
+=======
         RECT 730.570 0.000 730.850 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[98]
   PIN la_data_in[99]
@@ -1956,7 +2475,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 246.820 0.000 247.100 4.000 ;
+=======
         RECT 736.090 0.000 736.370 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[99]
   PIN la_data_in[9]
@@ -1964,7 +2487,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 81.220 0.000 81.500 4.000 ;
+=======
         RECT 242.970 0.000 243.250 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_in[9]
   PIN la_data_out[0]
@@ -1972,7 +2499,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 65.380 0.000 65.660 4.000 ;
+=======
         RECT 195.590 0.000 195.870 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[0]
   PIN la_data_out[100]
@@ -1980,7 +2511,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 249.220 0.000 249.500 4.000 ;
+=======
         RECT 743.450 0.000 743.730 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[100]
   PIN la_data_out[101]
@@ -1988,7 +2523,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 250.660 0.000 250.940 4.000 ;
+=======
         RECT 748.970 0.000 749.250 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[101]
   PIN la_data_out[102]
@@ -1996,7 +2535,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 252.580 0.000 252.860 4.000 ;
+=======
         RECT 754.490 0.000 754.770 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[102]
   PIN la_data_out[103]
@@ -2004,7 +2547,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 254.500 0.000 254.780 4.000 ;
+=======
         RECT 760.010 0.000 760.290 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[103]
   PIN la_data_out[104]
@@ -2012,7 +2559,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 256.420 0.000 256.700 4.000 ;
+=======
         RECT 765.530 0.000 765.810 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[104]
   PIN la_data_out[105]
@@ -2020,7 +2571,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 258.340 0.000 258.620 4.000 ;
+=======
         RECT 771.050 0.000 771.330 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[105]
   PIN la_data_out[106]
@@ -2028,7 +2583,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 260.260 0.000 260.540 4.000 ;
+=======
         RECT 776.570 0.000 776.850 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[106]
   PIN la_data_out[107]
@@ -2036,7 +2595,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 261.700 0.000 261.980 4.000 ;
+=======
         RECT 781.630 0.000 781.910 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[107]
   PIN la_data_out[108]
@@ -2044,7 +2607,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 263.620 0.000 263.900 4.000 ;
+=======
         RECT 787.150 0.000 787.430 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[108]
   PIN la_data_out[109]
@@ -2052,7 +2619,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 265.540 0.000 265.820 4.000 ;
+=======
         RECT 792.670 0.000 792.950 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[109]
   PIN la_data_out[10]
@@ -2060,7 +2631,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 83.620 0.000 83.900 4.000 ;
+=======
         RECT 250.330 0.000 250.610 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[10]
   PIN la_data_out[110]
@@ -2068,7 +2643,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 267.460 0.000 267.740 4.000 ;
+=======
         RECT 798.190 0.000 798.470 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[110]
   PIN la_data_out[111]
@@ -2076,7 +2655,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 269.380 0.000 269.660 4.000 ;
+=======
         RECT 803.710 0.000 803.990 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[111]
   PIN la_data_out[112]
@@ -2084,7 +2667,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 271.300 0.000 271.580 4.000 ;
+=======
         RECT 809.230 0.000 809.510 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[112]
   PIN la_data_out[113]
@@ -2092,7 +2679,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 272.740 0.000 273.020 4.000 ;
+=======
         RECT 814.750 0.000 815.030 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[113]
   PIN la_data_out[114]
@@ -2100,7 +2691,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 274.660 0.000 274.940 4.000 ;
+=======
         RECT 820.270 0.000 820.550 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[114]
   PIN la_data_out[115]
@@ -2108,7 +2703,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 276.580 0.000 276.860 4.000 ;
+=======
         RECT 825.790 0.000 826.070 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[115]
   PIN la_data_out[116]
@@ -2116,7 +2715,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 278.500 0.000 278.780 4.000 ;
+=======
         RECT 831.310 0.000 831.590 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[116]
   PIN la_data_out[117]
@@ -2124,7 +2727,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 280.420 0.000 280.700 4.000 ;
+=======
         RECT 836.830 0.000 837.110 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[117]
   PIN la_data_out[118]
@@ -2132,7 +2739,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 282.340 0.000 282.620 4.000 ;
+=======
         RECT 841.890 0.000 842.170 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[118]
   PIN la_data_out[119]
@@ -2140,7 +2751,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 283.780 0.000 284.060 4.000 ;
+=======
         RECT 847.410 0.000 847.690 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[119]
   PIN la_data_out[11]
@@ -2148,7 +2763,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 85.540 0.000 85.820 4.000 ;
+=======
         RECT 255.850 0.000 256.130 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[11]
   PIN la_data_out[120]
@@ -2156,7 +2775,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 285.700 0.000 285.980 4.000 ;
+=======
         RECT 852.930 0.000 853.210 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[120]
   PIN la_data_out[121]
@@ -2164,7 +2787,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 287.620 0.000 287.900 4.000 ;
+=======
         RECT 858.450 0.000 858.730 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[121]
   PIN la_data_out[122]
@@ -2172,7 +2799,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 289.540 0.000 289.820 4.000 ;
+=======
         RECT 863.970 0.000 864.250 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[122]
   PIN la_data_out[123]
@@ -2180,7 +2811,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 291.460 0.000 291.740 4.000 ;
+=======
         RECT 869.490 0.000 869.770 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[123]
   PIN la_data_out[124]
@@ -2188,7 +2823,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 292.900 0.000 293.180 4.000 ;
+=======
         RECT 875.010 0.000 875.290 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[124]
   PIN la_data_out[125]
@@ -2196,7 +2835,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 294.820 0.000 295.100 4.000 ;
+=======
         RECT 880.530 0.000 880.810 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[125]
   PIN la_data_out[126]
@@ -2204,7 +2847,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 296.740 0.000 297.020 4.000 ;
+=======
         RECT 886.050 0.000 886.330 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[126]
   PIN la_data_out[127]
@@ -2212,7 +2859,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 298.660 0.000 298.940 4.000 ;
+=======
         RECT 891.570 0.000 891.850 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[127]
   PIN la_data_out[12]
@@ -2220,7 +2871,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 87.460 0.000 87.740 4.000 ;
+=======
         RECT 261.370 0.000 261.650 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[12]
   PIN la_data_out[13]
@@ -2228,7 +2883,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 89.380 0.000 89.660 4.000 ;
+=======
         RECT 266.890 0.000 267.170 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[13]
   PIN la_data_out[14]
@@ -2236,7 +2895,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 91.300 0.000 91.580 4.000 ;
+=======
         RECT 272.410 0.000 272.690 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[14]
   PIN la_data_out[15]
@@ -2244,7 +2907,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 92.740 0.000 93.020 4.000 ;
+=======
         RECT 277.930 0.000 278.210 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[15]
   PIN la_data_out[16]
@@ -2252,7 +2919,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 94.660 0.000 94.940 4.000 ;
+=======
         RECT 283.450 0.000 283.730 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[16]
   PIN la_data_out[17]
@@ -2260,7 +2931,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 96.580 0.000 96.860 4.000 ;
+=======
         RECT 288.970 0.000 289.250 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[17]
   PIN la_data_out[18]
@@ -2268,7 +2943,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 98.500 0.000 98.780 4.000 ;
+=======
         RECT 294.490 0.000 294.770 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[18]
   PIN la_data_out[19]
@@ -2276,7 +2955,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 100.420 0.000 100.700 4.000 ;
+=======
         RECT 300.010 0.000 300.290 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[19]
   PIN la_data_out[1]
@@ -2284,7 +2967,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 67.300 0.000 67.580 4.000 ;
+=======
         RECT 201.110 0.000 201.390 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[1]
   PIN la_data_out[20]
@@ -2292,7 +2979,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 102.340 0.000 102.620 4.000 ;
+=======
         RECT 305.070 0.000 305.350 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[20]
   PIN la_data_out[21]
@@ -2300,7 +2991,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 103.780 0.000 104.060 4.000 ;
+=======
         RECT 310.590 0.000 310.870 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[21]
   PIN la_data_out[22]
@@ -2308,7 +3003,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 105.700 0.000 105.980 4.000 ;
+=======
         RECT 316.110 0.000 316.390 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[22]
   PIN la_data_out[23]
@@ -2316,7 +3015,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 107.620 0.000 107.900 4.000 ;
+=======
         RECT 321.630 0.000 321.910 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[23]
   PIN la_data_out[24]
@@ -2324,7 +3027,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 109.540 0.000 109.820 4.000 ;
+=======
         RECT 327.150 0.000 327.430 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[24]
   PIN la_data_out[25]
@@ -2332,7 +3039,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 111.460 0.000 111.740 4.000 ;
+=======
         RECT 332.670 0.000 332.950 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[25]
   PIN la_data_out[26]
@@ -2340,7 +3051,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 112.900 0.000 113.180 4.000 ;
+=======
         RECT 338.190 0.000 338.470 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[26]
   PIN la_data_out[27]
@@ -2348,7 +3063,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 114.820 0.000 115.100 4.000 ;
+=======
         RECT 343.710 0.000 343.990 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[27]
   PIN la_data_out[28]
@@ -2356,7 +3075,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 116.740 0.000 117.020 4.000 ;
+=======
         RECT 349.230 0.000 349.510 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[28]
   PIN la_data_out[29]
@@ -2364,7 +3087,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 118.660 0.000 118.940 4.000 ;
+=======
         RECT 354.750 0.000 355.030 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[29]
   PIN la_data_out[2]
@@ -2372,7 +3099,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 69.220 0.000 69.500 4.000 ;
+=======
         RECT 206.630 0.000 206.910 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[2]
   PIN la_data_out[30]
@@ -2380,7 +3111,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 120.580 0.000 120.860 4.000 ;
+=======
         RECT 360.270 0.000 360.550 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[30]
   PIN la_data_out[31]
@@ -2388,7 +3123,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 122.500 0.000 122.780 4.000 ;
+=======
         RECT 365.330 0.000 365.610 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[31]
   PIN la_data_out[32]
@@ -2396,7 +3135,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 123.940 0.000 124.220 4.000 ;
+=======
         RECT 370.850 0.000 371.130 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[32]
   PIN la_data_out[33]
@@ -2404,7 +3147,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 125.860 0.000 126.140 4.000 ;
+=======
         RECT 376.370 0.000 376.650 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[33]
   PIN la_data_out[34]
@@ -2412,7 +3159,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 127.780 0.000 128.060 4.000 ;
+=======
         RECT 381.890 0.000 382.170 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[34]
   PIN la_data_out[35]
@@ -2420,7 +3171,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 129.700 0.000 129.980 4.000 ;
+=======
         RECT 387.410 0.000 387.690 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[35]
   PIN la_data_out[36]
@@ -2428,7 +3183,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 131.620 0.000 131.900 4.000 ;
+=======
         RECT 392.930 0.000 393.210 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[36]
   PIN la_data_out[37]
@@ -2436,7 +3195,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 133.540 0.000 133.820 4.000 ;
+=======
         RECT 398.450 0.000 398.730 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[37]
   PIN la_data_out[38]
@@ -2444,7 +3207,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 134.980 0.000 135.260 4.000 ;
+=======
         RECT 403.970 0.000 404.250 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[38]
   PIN la_data_out[39]
@@ -2452,7 +3219,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 136.900 0.000 137.180 4.000 ;
+=======
         RECT 409.490 0.000 409.770 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[39]
   PIN la_data_out[3]
@@ -2460,7 +3231,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 70.660 0.000 70.940 4.000 ;
+=======
         RECT 212.150 0.000 212.430 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[3]
   PIN la_data_out[40]
@@ -2468,7 +3243,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 138.820 0.000 139.100 4.000 ;
+=======
         RECT 415.010 0.000 415.290 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[40]
   PIN la_data_out[41]
@@ -2476,7 +3255,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 140.740 0.000 141.020 4.000 ;
+=======
         RECT 420.530 0.000 420.810 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[41]
   PIN la_data_out[42]
@@ -2484,7 +3267,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 142.660 0.000 142.940 4.000 ;
+=======
         RECT 425.590 0.000 425.870 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[42]
   PIN la_data_out[43]
@@ -2492,7 +3279,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 144.580 0.000 144.860 4.000 ;
+=======
         RECT 431.110 0.000 431.390 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[43]
   PIN la_data_out[44]
@@ -2500,7 +3291,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 146.020 0.000 146.300 4.000 ;
+=======
         RECT 436.630 0.000 436.910 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[44]
   PIN la_data_out[45]
@@ -2508,7 +3303,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 147.940 0.000 148.220 4.000 ;
+=======
         RECT 442.150 0.000 442.430 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[45]
   PIN la_data_out[46]
@@ -2516,7 +3315,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 149.860 0.000 150.140 4.000 ;
+=======
         RECT 447.670 0.000 447.950 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[46]
   PIN la_data_out[47]
@@ -2524,7 +3327,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 151.780 0.000 152.060 4.000 ;
+=======
         RECT 453.190 0.000 453.470 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[47]
   PIN la_data_out[48]
@@ -2532,7 +3339,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 153.700 0.000 153.980 4.000 ;
+=======
         RECT 458.710 0.000 458.990 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[48]
   PIN la_data_out[49]
@@ -2540,7 +3351,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 155.140 0.000 155.420 4.000 ;
+=======
         RECT 464.230 0.000 464.510 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[49]
   PIN la_data_out[4]
@@ -2548,7 +3363,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 72.580 0.000 72.860 4.000 ;
+=======
         RECT 217.670 0.000 217.950 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[4]
   PIN la_data_out[50]
@@ -2556,7 +3375,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 157.060 0.000 157.340 4.000 ;
+=======
         RECT 469.750 0.000 470.030 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[50]
   PIN la_data_out[51]
@@ -2564,7 +3387,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 158.980 0.000 159.260 4.000 ;
+=======
         RECT 475.270 0.000 475.550 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[51]
   PIN la_data_out[52]
@@ -2572,7 +3399,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 160.900 0.000 161.180 4.000 ;
+=======
         RECT 480.330 0.000 480.610 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[52]
   PIN la_data_out[53]
@@ -2580,7 +3411,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 162.820 0.000 163.100 4.000 ;
+=======
         RECT 485.850 0.000 486.130 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[53]
   PIN la_data_out[54]
@@ -2588,7 +3423,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 164.740 0.000 165.020 4.000 ;
+=======
         RECT 491.370 0.000 491.650 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[54]
   PIN la_data_out[55]
@@ -2596,7 +3435,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 166.180 0.000 166.460 4.000 ;
+=======
         RECT 496.890 0.000 497.170 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[55]
   PIN la_data_out[56]
@@ -2604,7 +3447,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 168.100 0.000 168.380 4.000 ;
+=======
         RECT 502.410 0.000 502.690 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[56]
   PIN la_data_out[57]
@@ -2612,7 +3459,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 170.020 0.000 170.300 4.000 ;
+=======
         RECT 507.930 0.000 508.210 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[57]
   PIN la_data_out[58]
@@ -2620,7 +3471,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 171.940 0.000 172.220 4.000 ;
+=======
         RECT 513.450 0.000 513.730 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[58]
   PIN la_data_out[59]
@@ -2628,7 +3483,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 173.860 0.000 174.140 4.000 ;
+=======
         RECT 518.970 0.000 519.250 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[59]
   PIN la_data_out[5]
@@ -2636,7 +3495,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 74.500 0.000 74.780 4.000 ;
+=======
         RECT 223.190 0.000 223.470 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[5]
   PIN la_data_out[60]
@@ -2644,7 +3507,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 175.780 0.000 176.060 4.000 ;
+=======
         RECT 524.490 0.000 524.770 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[60]
   PIN la_data_out[61]
@@ -2652,7 +3519,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 177.220 0.000 177.500 4.000 ;
+=======
         RECT 530.010 0.000 530.290 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[61]
   PIN la_data_out[62]
@@ -2660,7 +3531,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 179.140 0.000 179.420 4.000 ;
+=======
         RECT 535.530 0.000 535.810 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[62]
   PIN la_data_out[63]
@@ -2668,7 +3543,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 181.060 0.000 181.340 4.000 ;
+=======
         RECT 540.590 0.000 540.870 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[63]
   PIN la_data_out[64]
@@ -2676,7 +3555,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 182.980 0.000 183.260 4.000 ;
+=======
         RECT 546.110 0.000 546.390 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[64]
   PIN la_data_out[65]
@@ -2684,7 +3567,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 184.900 0.000 185.180 4.000 ;
+=======
         RECT 551.630 0.000 551.910 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[65]
   PIN la_data_out[66]
@@ -2692,7 +3579,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 186.820 0.000 187.100 4.000 ;
+=======
         RECT 557.150 0.000 557.430 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[66]
   PIN la_data_out[67]
@@ -2700,7 +3591,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 188.260 0.000 188.540 4.000 ;
+=======
         RECT 562.670 0.000 562.950 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[67]
   PIN la_data_out[68]
@@ -2708,7 +3603,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 190.180 0.000 190.460 4.000 ;
+=======
         RECT 568.190 0.000 568.470 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[68]
   PIN la_data_out[69]
@@ -2716,7 +3615,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 192.100 0.000 192.380 4.000 ;
+=======
         RECT 573.710 0.000 573.990 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[69]
   PIN la_data_out[6]
@@ -2724,7 +3627,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 76.420 0.000 76.700 4.000 ;
+=======
         RECT 228.710 0.000 228.990 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[6]
   PIN la_data_out[70]
@@ -2732,7 +3639,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 194.020 0.000 194.300 4.000 ;
+=======
         RECT 579.230 0.000 579.510 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[70]
   PIN la_data_out[71]
@@ -2740,7 +3651,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 195.940 0.000 196.220 4.000 ;
+=======
         RECT 584.750 0.000 585.030 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[71]
   PIN la_data_out[72]
@@ -2748,7 +3663,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 197.380 0.000 197.660 4.000 ;
+=======
         RECT 590.270 0.000 590.550 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[72]
   PIN la_data_out[73]
@@ -2756,7 +3675,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 199.300 0.000 199.580 4.000 ;
+=======
         RECT 595.790 0.000 596.070 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[73]
   PIN la_data_out[74]
@@ -2764,7 +3687,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 201.220 0.000 201.500 4.000 ;
+=======
         RECT 600.850 0.000 601.130 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[74]
   PIN la_data_out[75]
@@ -2772,7 +3699,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 203.140 0.000 203.420 4.000 ;
+=======
         RECT 606.370 0.000 606.650 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[75]
   PIN la_data_out[76]
@@ -2780,7 +3711,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 205.060 0.000 205.340 4.000 ;
+=======
         RECT 611.890 0.000 612.170 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[76]
   PIN la_data_out[77]
@@ -2788,7 +3723,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 206.980 0.000 207.260 4.000 ;
+=======
         RECT 617.410 0.000 617.690 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[77]
   PIN la_data_out[78]
@@ -2796,7 +3735,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 208.420 0.000 208.700 4.000 ;
+=======
         RECT 622.930 0.000 623.210 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[78]
   PIN la_data_out[79]
@@ -2804,7 +3747,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 210.340 0.000 210.620 4.000 ;
+=======
         RECT 628.450 0.000 628.730 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[79]
   PIN la_data_out[7]
@@ -2812,7 +3759,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 78.340 0.000 78.620 4.000 ;
+=======
         RECT 234.230 0.000 234.510 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[7]
   PIN la_data_out[80]
@@ -2820,7 +3771,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 212.260 0.000 212.540 4.000 ;
+=======
         RECT 633.970 0.000 634.250 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[80]
   PIN la_data_out[81]
@@ -2828,7 +3783,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 214.180 0.000 214.460 4.000 ;
+=======
         RECT 639.490 0.000 639.770 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[81]
   PIN la_data_out[82]
@@ -2836,7 +3795,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 216.100 0.000 216.380 4.000 ;
+=======
         RECT 645.010 0.000 645.290 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[82]
   PIN la_data_out[83]
@@ -2844,7 +3807,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 218.020 0.000 218.300 4.000 ;
+=======
         RECT 650.530 0.000 650.810 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[83]
   PIN la_data_out[84]
@@ -2852,7 +3819,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 219.460 0.000 219.740 4.000 ;
+=======
         RECT 656.050 0.000 656.330 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[84]
   PIN la_data_out[85]
@@ -2860,7 +3831,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 221.380 0.000 221.660 4.000 ;
+=======
         RECT 661.110 0.000 661.390 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[85]
   PIN la_data_out[86]
@@ -2868,7 +3843,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 223.300 0.000 223.580 4.000 ;
+=======
         RECT 666.630 0.000 666.910 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[86]
   PIN la_data_out[87]
@@ -2876,7 +3855,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 225.220 0.000 225.500 4.000 ;
+=======
         RECT 672.150 0.000 672.430 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[87]
   PIN la_data_out[88]
@@ -2884,7 +3867,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 227.140 0.000 227.420 4.000 ;
+=======
         RECT 677.670 0.000 677.950 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[88]
   PIN la_data_out[89]
@@ -2892,7 +3879,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 229.060 0.000 229.340 4.000 ;
+=======
         RECT 683.190 0.000 683.470 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[89]
   PIN la_data_out[8]
@@ -2900,7 +3891,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 80.260 0.000 80.540 4.000 ;
+=======
         RECT 239.750 0.000 240.030 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[8]
   PIN la_data_out[90]
@@ -2908,7 +3903,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 230.500 0.000 230.780 4.000 ;
+=======
         RECT 688.710 0.000 688.990 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[90]
   PIN la_data_out[91]
@@ -2916,7 +3915,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 232.420 0.000 232.700 4.000 ;
+=======
         RECT 694.230 0.000 694.510 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[91]
   PIN la_data_out[92]
@@ -2924,7 +3927,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 234.340 0.000 234.620 4.000 ;
+=======
         RECT 699.750 0.000 700.030 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[92]
   PIN la_data_out[93]
@@ -2932,7 +3939,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 236.260 0.000 236.540 4.000 ;
+=======
         RECT 705.270 0.000 705.550 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[93]
   PIN la_data_out[94]
@@ -2940,7 +3951,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 238.180 0.000 238.460 4.000 ;
+=======
         RECT 710.790 0.000 711.070 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[94]
   PIN la_data_out[95]
@@ -2948,7 +3963,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 240.100 0.000 240.380 4.000 ;
+=======
         RECT 716.310 0.000 716.590 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[95]
   PIN la_data_out[96]
@@ -2956,7 +3975,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 241.540 0.000 241.820 4.000 ;
+=======
         RECT 721.370 0.000 721.650 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[96]
   PIN la_data_out[97]
@@ -2964,7 +3987,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 243.460 0.000 243.740 4.000 ;
+=======
         RECT 726.890 0.000 727.170 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[97]
   PIN la_data_out[98]
@@ -2972,7 +3999,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 245.380 0.000 245.660 4.000 ;
+=======
         RECT 732.410 0.000 732.690 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[98]
   PIN la_data_out[99]
@@ -2980,7 +4011,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 247.300 0.000 247.580 4.000 ;
+=======
         RECT 737.930 0.000 738.210 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[99]
   PIN la_data_out[9]
@@ -2988,7 +4023,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 81.700 0.000 81.980 4.000 ;
+=======
         RECT 244.810 0.000 245.090 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_data_out[9]
   PIN la_oenb[0]
@@ -2996,7 +4035,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 65.860 0.000 66.140 4.000 ;
+=======
         RECT 197.430 0.000 197.710 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[0]
   PIN la_oenb[100]
@@ -3004,7 +4047,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 249.700 0.000 249.980 4.000 ;
+=======
         RECT 745.290 0.000 745.570 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[100]
   PIN la_oenb[101]
@@ -3012,7 +4059,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 251.620 0.000 251.900 4.000 ;
+=======
         RECT 750.810 0.000 751.090 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[101]
   PIN la_oenb[102]
@@ -3020,7 +4071,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 253.540 0.000 253.820 4.000 ;
+=======
         RECT 756.330 0.000 756.610 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[102]
   PIN la_oenb[103]
@@ -3028,7 +4083,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 254.980 0.000 255.260 4.000 ;
+=======
         RECT 761.850 0.000 762.130 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[103]
   PIN la_oenb[104]
@@ -3036,7 +4095,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 256.900 0.000 257.180 4.000 ;
+=======
         RECT 767.370 0.000 767.650 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[104]
   PIN la_oenb[105]
@@ -3044,7 +4107,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 258.820 0.000 259.100 4.000 ;
+=======
         RECT 772.890 0.000 773.170 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[105]
   PIN la_oenb[106]
@@ -3052,7 +4119,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 260.740 0.000 261.020 4.000 ;
+=======
         RECT 778.410 0.000 778.690 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[106]
   PIN la_oenb[107]
@@ -3060,7 +4131,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 262.660 0.000 262.940 4.000 ;
+=======
         RECT 783.470 0.000 783.750 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[107]
   PIN la_oenb[108]
@@ -3068,7 +4143,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 264.580 0.000 264.860 4.000 ;
+=======
         RECT 788.990 0.000 789.270 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[108]
   PIN la_oenb[109]
@@ -3076,7 +4155,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 266.020 0.000 266.300 4.000 ;
+=======
         RECT 794.510 0.000 794.790 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[109]
   PIN la_oenb[10]
@@ -3084,7 +4167,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 84.580 0.000 84.860 4.000 ;
+=======
         RECT 252.170 0.000 252.450 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[10]
   PIN la_oenb[110]
@@ -3092,7 +4179,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 267.940 0.000 268.220 4.000 ;
+=======
         RECT 800.030 0.000 800.310 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[110]
   PIN la_oenb[111]
@@ -3100,7 +4191,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 269.860 0.000 270.140 4.000 ;
+=======
         RECT 805.550 0.000 805.830 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[111]
   PIN la_oenb[112]
@@ -3108,7 +4203,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 271.780 0.000 272.060 4.000 ;
+=======
         RECT 811.070 0.000 811.350 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[112]
   PIN la_oenb[113]
@@ -3116,7 +4215,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 273.700 0.000 273.980 4.000 ;
+=======
         RECT 816.590 0.000 816.870 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[113]
   PIN la_oenb[114]
@@ -3124,7 +4227,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 275.140 0.000 275.420 4.000 ;
+=======
         RECT 822.110 0.000 822.390 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[114]
   PIN la_oenb[115]
@@ -3132,7 +4239,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 277.060 0.000 277.340 4.000 ;
+=======
         RECT 827.630 0.000 827.910 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[115]
   PIN la_oenb[116]
@@ -3140,7 +4251,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 278.980 0.000 279.260 4.000 ;
+=======
         RECT 833.150 0.000 833.430 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[116]
   PIN la_oenb[117]
@@ -3148,7 +4263,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 280.900 0.000 281.180 4.000 ;
+=======
         RECT 838.670 0.000 838.950 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[117]
   PIN la_oenb[118]
@@ -3156,7 +4275,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 282.820 0.000 283.100 4.000 ;
+=======
         RECT 843.730 0.000 844.010 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[118]
   PIN la_oenb[119]
@@ -3164,7 +4287,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 284.740 0.000 285.020 4.000 ;
+=======
         RECT 849.250 0.000 849.530 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[119]
   PIN la_oenb[11]
@@ -3172,7 +4299,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 86.020 0.000 86.300 4.000 ;
+=======
         RECT 257.690 0.000 257.970 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[11]
   PIN la_oenb[120]
@@ -3180,7 +4311,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 286.180 0.000 286.460 4.000 ;
+=======
         RECT 854.770 0.000 855.050 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[120]
   PIN la_oenb[121]
@@ -3188,7 +4323,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 288.100 0.000 288.380 4.000 ;
+=======
         RECT 860.290 0.000 860.570 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[121]
   PIN la_oenb[122]
@@ -3196,7 +4335,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 290.020 0.000 290.300 4.000 ;
+=======
         RECT 865.810 0.000 866.090 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[122]
   PIN la_oenb[123]
@@ -3204,7 +4347,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 291.940 0.000 292.220 4.000 ;
+=======
         RECT 871.330 0.000 871.610 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[123]
   PIN la_oenb[124]
@@ -3212,7 +4359,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 293.860 0.000 294.140 4.000 ;
+=======
         RECT 876.850 0.000 877.130 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[124]
   PIN la_oenb[125]
@@ -3220,7 +4371,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 295.780 0.000 296.060 4.000 ;
+=======
         RECT 882.370 0.000 882.650 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[125]
   PIN la_oenb[126]
@@ -3228,7 +4383,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 297.220 0.000 297.500 4.000 ;
+=======
         RECT 887.890 0.000 888.170 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[126]
   PIN la_oenb[127]
@@ -3236,7 +4395,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 299.140 0.000 299.420 4.000 ;
+=======
         RECT 893.410 0.000 893.690 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[127]
   PIN la_oenb[12]
@@ -3244,7 +4407,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 87.940 0.000 88.220 4.000 ;
+=======
         RECT 263.210 0.000 263.490 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[12]
   PIN la_oenb[13]
@@ -3252,7 +4419,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 89.860 0.000 90.140 4.000 ;
+=======
         RECT 268.730 0.000 269.010 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[13]
   PIN la_oenb[14]
@@ -3260,7 +4431,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 91.780 0.000 92.060 4.000 ;
+=======
         RECT 274.250 0.000 274.530 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[14]
   PIN la_oenb[15]
@@ -3268,7 +4443,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 93.700 0.000 93.980 4.000 ;
+=======
         RECT 279.770 0.000 280.050 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[15]
   PIN la_oenb[16]
@@ -3276,7 +4455,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 95.140 0.000 95.420 4.000 ;
+=======
         RECT 285.290 0.000 285.570 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[16]
   PIN la_oenb[17]
@@ -3284,7 +4467,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 97.060 0.000 97.340 4.000 ;
+=======
         RECT 290.810 0.000 291.090 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[17]
   PIN la_oenb[18]
@@ -3292,7 +4479,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 98.980 0.000 99.260 4.000 ;
+=======
         RECT 296.330 0.000 296.610 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[18]
   PIN la_oenb[19]
@@ -3300,7 +4491,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 100.900 0.000 101.180 4.000 ;
+=======
         RECT 301.390 0.000 301.670 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[19]
   PIN la_oenb[1]
@@ -3308,7 +4503,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 67.780 0.000 68.060 4.000 ;
+=======
         RECT 202.950 0.000 203.230 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[1]
   PIN la_oenb[20]
@@ -3316,7 +4515,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 102.820 0.000 103.100 4.000 ;
+=======
         RECT 306.910 0.000 307.190 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[20]
   PIN la_oenb[21]
@@ -3324,7 +4527,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 104.740 0.000 105.020 4.000 ;
+=======
         RECT 312.430 0.000 312.710 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[21]
   PIN la_oenb[22]
@@ -3332,7 +4539,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 106.180 0.000 106.460 4.000 ;
+=======
         RECT 317.950 0.000 318.230 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[22]
   PIN la_oenb[23]
@@ -3340,7 +4551,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 108.100 0.000 108.380 4.000 ;
+=======
         RECT 323.470 0.000 323.750 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[23]
   PIN la_oenb[24]
@@ -3348,7 +4563,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 110.020 0.000 110.300 4.000 ;
+=======
         RECT 328.990 0.000 329.270 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[24]
   PIN la_oenb[25]
@@ -3356,7 +4575,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 111.940 0.000 112.220 4.000 ;
+=======
         RECT 334.510 0.000 334.790 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[25]
   PIN la_oenb[26]
@@ -3364,7 +4587,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 113.860 0.000 114.140 4.000 ;
+=======
         RECT 340.030 0.000 340.310 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[26]
   PIN la_oenb[27]
@@ -3372,7 +4599,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 115.780 0.000 116.060 4.000 ;
+=======
         RECT 345.550 0.000 345.830 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[27]
   PIN la_oenb[28]
@@ -3380,7 +4611,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 117.220 0.000 117.500 4.000 ;
+=======
         RECT 351.070 0.000 351.350 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[28]
   PIN la_oenb[29]
@@ -3388,7 +4623,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 119.140 0.000 119.420 4.000 ;
+=======
         RECT 356.590 0.000 356.870 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[29]
   PIN la_oenb[2]
@@ -3396,7 +4635,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 69.700 0.000 69.980 4.000 ;
+=======
         RECT 208.470 0.000 208.750 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[2]
   PIN la_oenb[30]
@@ -3404,7 +4647,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 121.060 0.000 121.340 4.000 ;
+=======
         RECT 361.650 0.000 361.930 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[30]
   PIN la_oenb[31]
@@ -3412,7 +4659,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 122.980 0.000 123.260 4.000 ;
+=======
         RECT 367.170 0.000 367.450 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[31]
   PIN la_oenb[32]
@@ -3420,7 +4671,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 124.900 0.000 125.180 4.000 ;
+=======
         RECT 372.690 0.000 372.970 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[32]
   PIN la_oenb[33]
@@ -3428,7 +4683,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 126.820 0.000 127.100 4.000 ;
+=======
         RECT 378.210 0.000 378.490 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[33]
   PIN la_oenb[34]
@@ -3436,7 +4695,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 128.260 0.000 128.540 4.000 ;
+=======
         RECT 383.730 0.000 384.010 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[34]
   PIN la_oenb[35]
@@ -3444,7 +4707,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 130.180 0.000 130.460 4.000 ;
+=======
         RECT 389.250 0.000 389.530 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[35]
   PIN la_oenb[36]
@@ -3452,7 +4719,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 132.100 0.000 132.380 4.000 ;
+=======
         RECT 394.770 0.000 395.050 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[36]
   PIN la_oenb[37]
@@ -3460,7 +4731,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 134.020 0.000 134.300 4.000 ;
+=======
         RECT 400.290 0.000 400.570 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[37]
   PIN la_oenb[38]
@@ -3468,7 +4743,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 135.940 0.000 136.220 4.000 ;
+=======
         RECT 405.810 0.000 406.090 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[38]
   PIN la_oenb[39]
@@ -3476,7 +4755,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 137.380 0.000 137.660 4.000 ;
+=======
         RECT 411.330 0.000 411.610 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[39]
   PIN la_oenb[3]
@@ -3484,7 +4767,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 71.620 0.000 71.900 4.000 ;
+=======
         RECT 213.990 0.000 214.270 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[3]
   PIN la_oenb[40]
@@ -3492,7 +4779,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 139.300 0.000 139.580 4.000 ;
+=======
         RECT 416.850 0.000 417.130 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[40]
   PIN la_oenb[41]
@@ -3500,7 +4791,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 141.220 0.000 141.500 4.000 ;
+=======
         RECT 421.910 0.000 422.190 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[41]
   PIN la_oenb[42]
@@ -3508,7 +4803,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 143.140 0.000 143.420 4.000 ;
+=======
         RECT 427.430 0.000 427.710 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[42]
   PIN la_oenb[43]
@@ -3516,7 +4815,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 145.060 0.000 145.340 4.000 ;
+=======
         RECT 432.950 0.000 433.230 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[43]
   PIN la_oenb[44]
@@ -3524,7 +4827,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 146.980 0.000 147.260 4.000 ;
+=======
         RECT 438.470 0.000 438.750 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[44]
   PIN la_oenb[45]
@@ -3532,7 +4839,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 148.420 0.000 148.700 4.000 ;
+=======
         RECT 443.990 0.000 444.270 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[45]
   PIN la_oenb[46]
@@ -3540,7 +4851,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 150.340 0.000 150.620 4.000 ;
+=======
         RECT 449.510 0.000 449.790 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[46]
   PIN la_oenb[47]
@@ -3548,7 +4863,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 152.260 0.000 152.540 4.000 ;
+=======
         RECT 455.030 0.000 455.310 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[47]
   PIN la_oenb[48]
@@ -3556,7 +4875,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 154.180 0.000 154.460 4.000 ;
+=======
         RECT 460.550 0.000 460.830 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[48]
   PIN la_oenb[49]
@@ -3564,7 +4887,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 156.100 0.000 156.380 4.000 ;
+=======
         RECT 466.070 0.000 466.350 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[49]
   PIN la_oenb[4]
@@ -3572,7 +4899,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 73.540 0.000 73.820 4.000 ;
+=======
         RECT 219.510 0.000 219.790 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[4]
   PIN la_oenb[50]
@@ -3580,7 +4911,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 158.020 0.000 158.300 4.000 ;
+=======
         RECT 471.590 0.000 471.870 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[50]
   PIN la_oenb[51]
@@ -3588,7 +4923,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 159.460 0.000 159.740 4.000 ;
+=======
         RECT 477.110 0.000 477.390 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[51]
   PIN la_oenb[52]
@@ -3596,7 +4935,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 161.380 0.000 161.660 4.000 ;
+=======
         RECT 482.170 0.000 482.450 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[52]
   PIN la_oenb[53]
@@ -3604,7 +4947,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 163.300 0.000 163.580 4.000 ;
+=======
         RECT 487.690 0.000 487.970 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[53]
   PIN la_oenb[54]
@@ -3612,7 +4959,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 165.220 0.000 165.500 4.000 ;
+=======
         RECT 493.210 0.000 493.490 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[54]
   PIN la_oenb[55]
@@ -3620,7 +4971,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 167.140 0.000 167.420 4.000 ;
+=======
         RECT 498.730 0.000 499.010 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[55]
   PIN la_oenb[56]
@@ -3628,7 +4983,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 169.060 0.000 169.340 4.000 ;
+=======
         RECT 504.250 0.000 504.530 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[56]
   PIN la_oenb[57]
@@ -3636,7 +4995,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 170.500 0.000 170.780 4.000 ;
+=======
         RECT 509.770 0.000 510.050 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[57]
   PIN la_oenb[58]
@@ -3644,7 +5007,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 172.420 0.000 172.700 4.000 ;
+=======
         RECT 515.290 0.000 515.570 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[58]
   PIN la_oenb[59]
@@ -3652,7 +5019,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 174.340 0.000 174.620 4.000 ;
+=======
         RECT 520.810 0.000 521.090 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[59]
   PIN la_oenb[5]
@@ -3660,7 +5031,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 74.980 0.000 75.260 4.000 ;
+=======
         RECT 225.030 0.000 225.310 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[5]
   PIN la_oenb[60]
@@ -3668,7 +5043,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 176.260 0.000 176.540 4.000 ;
+=======
         RECT 526.330 0.000 526.610 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[60]
   PIN la_oenb[61]
@@ -3676,7 +5055,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 178.180 0.000 178.460 4.000 ;
+=======
         RECT 531.850 0.000 532.130 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[61]
   PIN la_oenb[62]
@@ -3684,7 +5067,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 180.100 0.000 180.380 4.000 ;
+=======
         RECT 537.370 0.000 537.650 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[62]
   PIN la_oenb[63]
@@ -3692,7 +5079,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 181.540 0.000 181.820 4.000 ;
+=======
         RECT 542.430 0.000 542.710 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[63]
   PIN la_oenb[64]
@@ -3700,7 +5091,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 183.460 0.000 183.740 4.000 ;
+=======
         RECT 547.950 0.000 548.230 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[64]
   PIN la_oenb[65]
@@ -3708,7 +5103,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 185.380 0.000 185.660 4.000 ;
+=======
         RECT 553.470 0.000 553.750 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[65]
   PIN la_oenb[66]
@@ -3716,7 +5115,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 187.300 0.000 187.580 4.000 ;
+=======
         RECT 558.990 0.000 559.270 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[66]
   PIN la_oenb[67]
@@ -3724,7 +5127,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 189.220 0.000 189.500 4.000 ;
+=======
         RECT 564.510 0.000 564.790 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[67]
   PIN la_oenb[68]
@@ -3732,7 +5139,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 190.660 0.000 190.940 4.000 ;
+=======
         RECT 570.030 0.000 570.310 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[68]
   PIN la_oenb[69]
@@ -3740,7 +5151,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 192.580 0.000 192.860 4.000 ;
+=======
         RECT 575.550 0.000 575.830 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[69]
   PIN la_oenb[6]
@@ -3748,7 +5163,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 76.900 0.000 77.180 4.000 ;
+=======
         RECT 230.550 0.000 230.830 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[6]
   PIN la_oenb[70]
@@ -3756,7 +5175,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 194.500 0.000 194.780 4.000 ;
+=======
         RECT 581.070 0.000 581.350 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[70]
   PIN la_oenb[71]
@@ -3764,7 +5187,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 196.420 0.000 196.700 4.000 ;
+=======
         RECT 586.590 0.000 586.870 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[71]
   PIN la_oenb[72]
@@ -3772,7 +5199,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 198.340 0.000 198.620 4.000 ;
+=======
         RECT 592.110 0.000 592.390 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[72]
   PIN la_oenb[73]
@@ -3780,7 +5211,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 200.260 0.000 200.540 4.000 ;
+=======
         RECT 597.630 0.000 597.910 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[73]
   PIN la_oenb[74]
@@ -3788,7 +5223,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 201.700 0.000 201.980 4.000 ;
+=======
         RECT 602.690 0.000 602.970 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[74]
   PIN la_oenb[75]
@@ -3796,7 +5235,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 203.620 0.000 203.900 4.000 ;
+=======
         RECT 608.210 0.000 608.490 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[75]
   PIN la_oenb[76]
@@ -3804,7 +5247,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 205.540 0.000 205.820 4.000 ;
+=======
         RECT 613.730 0.000 614.010 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[76]
   PIN la_oenb[77]
@@ -3812,7 +5259,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 207.460 0.000 207.740 4.000 ;
+=======
         RECT 619.250 0.000 619.530 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[77]
   PIN la_oenb[78]
@@ -3820,7 +5271,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 209.380 0.000 209.660 4.000 ;
+=======
         RECT 624.770 0.000 625.050 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[78]
   PIN la_oenb[79]
@@ -3828,7 +5283,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 211.300 0.000 211.580 4.000 ;
+=======
         RECT 630.290 0.000 630.570 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[79]
   PIN la_oenb[7]
@@ -3836,7 +5295,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 78.820 0.000 79.100 4.000 ;
+=======
         RECT 236.070 0.000 236.350 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[7]
   PIN la_oenb[80]
@@ -3844,7 +5307,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 212.740 0.000 213.020 4.000 ;
+=======
         RECT 635.810 0.000 636.090 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[80]
   PIN la_oenb[81]
@@ -3852,7 +5319,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 214.660 0.000 214.940 4.000 ;
+=======
         RECT 641.330 0.000 641.610 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[81]
   PIN la_oenb[82]
@@ -3860,7 +5331,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 216.580 0.000 216.860 4.000 ;
+=======
         RECT 646.850 0.000 647.130 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[82]
   PIN la_oenb[83]
@@ -3868,7 +5343,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 218.500 0.000 218.780 4.000 ;
+=======
         RECT 652.370 0.000 652.650 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[83]
   PIN la_oenb[84]
@@ -3876,7 +5355,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 220.420 0.000 220.700 4.000 ;
+=======
         RECT 657.890 0.000 658.170 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[84]
   PIN la_oenb[85]
@@ -3884,7 +5367,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 222.340 0.000 222.620 4.000 ;
+=======
         RECT 662.950 0.000 663.230 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[85]
   PIN la_oenb[86]
@@ -3892,7 +5379,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 223.780 0.000 224.060 4.000 ;
+=======
         RECT 668.470 0.000 668.750 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[86]
   PIN la_oenb[87]
@@ -3900,7 +5391,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 225.700 0.000 225.980 4.000 ;
+=======
         RECT 673.990 0.000 674.270 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[87]
   PIN la_oenb[88]
@@ -3908,7 +5403,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 227.620 0.000 227.900 4.000 ;
+=======
         RECT 679.510 0.000 679.790 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[88]
   PIN la_oenb[89]
@@ -3916,7 +5415,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 229.540 0.000 229.820 4.000 ;
+=======
         RECT 685.030 0.000 685.310 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[89]
   PIN la_oenb[8]
@@ -3924,7 +5427,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 80.740 0.000 81.020 4.000 ;
+=======
         RECT 241.130 0.000 241.410 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[8]
   PIN la_oenb[90]
@@ -3932,7 +5439,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 231.460 0.000 231.740 4.000 ;
+=======
         RECT 690.550 0.000 690.830 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[90]
   PIN la_oenb[91]
@@ -3940,7 +5451,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 232.900 0.000 233.180 4.000 ;
+=======
         RECT 696.070 0.000 696.350 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[91]
   PIN la_oenb[92]
@@ -3948,7 +5463,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 234.820 0.000 235.100 4.000 ;
+=======
         RECT 701.590 0.000 701.870 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[92]
   PIN la_oenb[93]
@@ -3956,7 +5475,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 236.740 0.000 237.020 4.000 ;
+=======
         RECT 707.110 0.000 707.390 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[93]
   PIN la_oenb[94]
@@ -3964,7 +5487,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 238.660 0.000 238.940 4.000 ;
+=======
         RECT 712.630 0.000 712.910 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[94]
   PIN la_oenb[95]
@@ -3972,7 +5499,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 240.580 0.000 240.860 4.000 ;
+=======
         RECT 718.150 0.000 718.430 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[95]
   PIN la_oenb[96]
@@ -3980,7 +5511,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 242.500 0.000 242.780 4.000 ;
+=======
         RECT 723.210 0.000 723.490 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[96]
   PIN la_oenb[97]
@@ -3988,7 +5523,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 243.940 0.000 244.220 4.000 ;
+=======
         RECT 728.730 0.000 729.010 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[97]
   PIN la_oenb[98]
@@ -3996,7 +5535,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 245.860 0.000 246.140 4.000 ;
+=======
         RECT 734.250 0.000 734.530 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[98]
   PIN la_oenb[99]
@@ -4004,7 +5547,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 247.780 0.000 248.060 4.000 ;
+=======
         RECT 739.770 0.000 740.050 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[99]
   PIN la_oenb[9]
@@ -4012,7 +5559,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 82.660 0.000 82.940 4.000 ;
+=======
         RECT 246.650 0.000 246.930 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END la_oenb[9]
   PIN vccd1
@@ -4036,7 +5587,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 0.550 0.000 0.830 4.000 ;
+        RECT 0.100 0.000 0.380 4.000 ;
     END
   END wb_clk_i
   PIN wb_rst_i
@@ -4044,7 +5595,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1.930 0.000 2.210 4.000 ;
+        RECT 0.580 0.000 0.860 4.000 ;
     END
   END wb_rst_i
   PIN wbs_ack_o
@@ -4052,7 +5603,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 3.770 0.000 4.050 4.000 ;
+        RECT 1.060 0.000 1.340 4.000 ;
     END
   END wbs_ack_o
   PIN wbs_adr_i[0]
@@ -4060,7 +5611,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 11.130 0.000 11.410 4.000 ;
+        RECT 3.460 0.000 3.740 4.000 ;
     END
   END wbs_adr_i[0]
   PIN wbs_adr_i[10]
@@ -4068,7 +5619,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 24.580 0.000 24.860 4.000 ;
+=======
         RECT 73.230 0.000 73.510 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[10]
   PIN wbs_adr_i[11]
@@ -4076,7 +5631,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 26.020 0.000 26.300 4.000 ;
+=======
         RECT 78.750 0.000 79.030 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[11]
   PIN wbs_adr_i[12]
@@ -4084,7 +5643,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 27.940 0.000 28.220 4.000 ;
+=======
         RECT 84.270 0.000 84.550 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[12]
   PIN wbs_adr_i[13]
@@ -4092,7 +5655,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 29.860 0.000 30.140 4.000 ;
+=======
         RECT 89.790 0.000 90.070 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[13]
   PIN wbs_adr_i[14]
@@ -4100,7 +5667,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 31.780 0.000 32.060 4.000 ;
+=======
         RECT 95.310 0.000 95.590 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[14]
   PIN wbs_adr_i[15]
@@ -4108,7 +5679,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 33.700 0.000 33.980 4.000 ;
+=======
         RECT 100.830 0.000 101.110 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[15]
   PIN wbs_adr_i[16]
@@ -4116,7 +5691,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 35.140 0.000 35.420 4.000 ;
+=======
         RECT 106.350 0.000 106.630 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[16]
   PIN wbs_adr_i[17]
@@ -4124,7 +5703,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 37.060 0.000 37.340 4.000 ;
+=======
         RECT 111.870 0.000 112.150 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[17]
   PIN wbs_adr_i[18]
@@ -4132,7 +5715,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 38.980 0.000 39.260 4.000 ;
+=======
         RECT 117.390 0.000 117.670 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[18]
   PIN wbs_adr_i[19]
@@ -4140,7 +5727,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 40.900 0.000 41.180 4.000 ;
+=======
         RECT 122.450 0.000 122.730 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[19]
   PIN wbs_adr_i[1]
@@ -4148,7 +5739,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 18.490 0.000 18.770 4.000 ;
+        RECT 5.860 0.000 6.140 4.000 ;
     END
   END wbs_adr_i[1]
   PIN wbs_adr_i[20]
@@ -4156,7 +5747,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 42.820 0.000 43.100 4.000 ;
+=======
         RECT 127.970 0.000 128.250 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[20]
   PIN wbs_adr_i[21]
@@ -4164,7 +5759,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 44.740 0.000 45.020 4.000 ;
+=======
         RECT 133.490 0.000 133.770 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[21]
   PIN wbs_adr_i[22]
@@ -4172,7 +5771,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 46.180 0.000 46.460 4.000 ;
+=======
         RECT 139.010 0.000 139.290 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[22]
   PIN wbs_adr_i[23]
@@ -4180,7 +5783,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 48.100 0.000 48.380 4.000 ;
+=======
         RECT 144.530 0.000 144.810 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[23]
   PIN wbs_adr_i[24]
@@ -4188,7 +5795,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 50.020 0.000 50.300 4.000 ;
+=======
         RECT 150.050 0.000 150.330 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[24]
   PIN wbs_adr_i[25]
@@ -4196,7 +5807,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 51.940 0.000 52.220 4.000 ;
+=======
         RECT 155.570 0.000 155.850 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[25]
   PIN wbs_adr_i[26]
@@ -4204,7 +5819,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 53.860 0.000 54.140 4.000 ;
+=======
         RECT 161.090 0.000 161.370 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[26]
   PIN wbs_adr_i[27]
@@ -4212,7 +5831,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 55.780 0.000 56.060 4.000 ;
+=======
         RECT 166.610 0.000 166.890 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[27]
   PIN wbs_adr_i[28]
@@ -4220,7 +5843,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 57.220 0.000 57.500 4.000 ;
+=======
         RECT 172.130 0.000 172.410 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[28]
   PIN wbs_adr_i[29]
@@ -4228,7 +5855,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 59.140 0.000 59.420 4.000 ;
+=======
         RECT 177.650 0.000 177.930 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[29]
   PIN wbs_adr_i[2]
@@ -4236,7 +5867,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 25.850 0.000 26.130 4.000 ;
+        RECT 8.260 0.000 8.540 4.000 ;
     END
   END wbs_adr_i[2]
   PIN wbs_adr_i[30]
@@ -4244,7 +5875,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 61.060 0.000 61.340 4.000 ;
+=======
         RECT 182.710 0.000 182.990 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[30]
   PIN wbs_adr_i[31]
@@ -4252,7 +5887,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 62.980 0.000 63.260 4.000 ;
+=======
         RECT 188.230 0.000 188.510 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[31]
   PIN wbs_adr_i[3]
@@ -4260,7 +5899,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 33.210 0.000 33.490 4.000 ;
+        RECT 10.660 0.000 10.940 4.000 ;
     END
   END wbs_adr_i[3]
   PIN wbs_adr_i[4]
@@ -4268,7 +5907,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 40.570 0.000 40.850 4.000 ;
+        RECT 13.540 0.000 13.820 4.000 ;
     END
   END wbs_adr_i[4]
   PIN wbs_adr_i[5]
@@ -4276,7 +5915,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 46.090 0.000 46.370 4.000 ;
+        RECT 14.980 0.000 15.260 4.000 ;
     END
   END wbs_adr_i[5]
   PIN wbs_adr_i[6]
@@ -4284,7 +5923,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 51.610 0.000 51.890 4.000 ;
+        RECT 16.900 0.000 17.180 4.000 ;
     END
   END wbs_adr_i[6]
   PIN wbs_adr_i[7]
@@ -4292,7 +5931,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 57.130 0.000 57.410 4.000 ;
+        RECT 18.820 0.000 19.100 4.000 ;
     END
   END wbs_adr_i[7]
   PIN wbs_adr_i[8]
@@ -4300,7 +5939,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 20.740 0.000 21.020 4.000 ;
+=======
         RECT 62.190 0.000 62.470 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[8]
   PIN wbs_adr_i[9]
@@ -4308,7 +5951,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 22.660 0.000 22.940 4.000 ;
+=======
         RECT 67.710 0.000 67.990 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_adr_i[9]
   PIN wbs_cyc_i
@@ -4316,7 +5963,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 5.610 0.000 5.890 4.000 ;
+        RECT 1.540 0.000 1.820 4.000 ;
     END
   END wbs_cyc_i
   PIN wbs_dat_i[0]
@@ -4324,7 +5971,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 12.970 0.000 13.250 4.000 ;
+        RECT 3.940 0.000 4.220 4.000 ;
     END
   END wbs_dat_i[0]
   PIN wbs_dat_i[10]
@@ -4332,7 +5979,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 25.060 0.000 25.340 4.000 ;
+=======
         RECT 75.070 0.000 75.350 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[10]
   PIN wbs_dat_i[11]
@@ -4340,7 +5991,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 26.980 0.000 27.260 4.000 ;
+=======
         RECT 80.590 0.000 80.870 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[11]
   PIN wbs_dat_i[12]
@@ -4348,7 +6003,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 28.420 0.000 28.700 4.000 ;
+=======
         RECT 86.110 0.000 86.390 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[12]
   PIN wbs_dat_i[13]
@@ -4356,7 +6015,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 30.340 0.000 30.620 4.000 ;
+=======
         RECT 91.630 0.000 91.910 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[13]
   PIN wbs_dat_i[14]
@@ -4364,7 +6027,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 32.260 0.000 32.540 4.000 ;
+=======
         RECT 97.150 0.000 97.430 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[14]
   PIN wbs_dat_i[15]
@@ -4372,7 +6039,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 34.180 0.000 34.460 4.000 ;
+=======
         RECT 102.670 0.000 102.950 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[15]
   PIN wbs_dat_i[16]
@@ -4380,7 +6051,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 36.100 0.000 36.380 4.000 ;
+=======
         RECT 108.190 0.000 108.470 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[16]
   PIN wbs_dat_i[17]
@@ -4388,7 +6063,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 38.020 0.000 38.300 4.000 ;
+=======
         RECT 113.710 0.000 113.990 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[17]
   PIN wbs_dat_i[18]
@@ -4396,7 +6075,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 39.460 0.000 39.740 4.000 ;
+=======
         RECT 119.230 0.000 119.510 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[18]
   PIN wbs_dat_i[19]
@@ -4404,7 +6087,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 41.380 0.000 41.660 4.000 ;
+=======
         RECT 124.290 0.000 124.570 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[19]
   PIN wbs_dat_i[1]
@@ -4412,7 +6099,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 20.330 0.000 20.610 4.000 ;
+        RECT 6.820 0.000 7.100 4.000 ;
     END
   END wbs_dat_i[1]
   PIN wbs_dat_i[20]
@@ -4420,7 +6107,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 43.300 0.000 43.580 4.000 ;
+=======
         RECT 129.810 0.000 130.090 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[20]
   PIN wbs_dat_i[21]
@@ -4428,7 +6119,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 45.220 0.000 45.500 4.000 ;
+=======
         RECT 135.330 0.000 135.610 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[21]
   PIN wbs_dat_i[22]
@@ -4436,7 +6131,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 47.140 0.000 47.420 4.000 ;
+=======
         RECT 140.850 0.000 141.130 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[22]
   PIN wbs_dat_i[23]
@@ -4444,7 +6143,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 49.060 0.000 49.340 4.000 ;
+=======
         RECT 146.370 0.000 146.650 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[23]
   PIN wbs_dat_i[24]
@@ -4452,7 +6155,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 50.500 0.000 50.780 4.000 ;
+=======
         RECT 151.890 0.000 152.170 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[24]
   PIN wbs_dat_i[25]
@@ -4460,7 +6167,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 52.420 0.000 52.700 4.000 ;
+=======
         RECT 157.410 0.000 157.690 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[25]
   PIN wbs_dat_i[26]
@@ -4468,7 +6179,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 54.340 0.000 54.620 4.000 ;
+=======
         RECT 162.930 0.000 163.210 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[26]
   PIN wbs_dat_i[27]
@@ -4476,7 +6191,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 56.260 0.000 56.540 4.000 ;
+=======
         RECT 168.450 0.000 168.730 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[27]
   PIN wbs_dat_i[28]
@@ -4484,7 +6203,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 58.180 0.000 58.460 4.000 ;
+=======
         RECT 173.970 0.000 174.250 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[28]
   PIN wbs_dat_i[29]
@@ -4492,7 +6215,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 60.100 0.000 60.380 4.000 ;
+=======
         RECT 179.490 0.000 179.770 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[29]
   PIN wbs_dat_i[2]
@@ -4500,7 +6227,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 27.690 0.000 27.970 4.000 ;
+        RECT 9.220 0.000 9.500 4.000 ;
     END
   END wbs_dat_i[2]
   PIN wbs_dat_i[30]
@@ -4508,7 +6235,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 61.540 0.000 61.820 4.000 ;
+=======
         RECT 184.550 0.000 184.830 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[30]
   PIN wbs_dat_i[31]
@@ -4516,7 +6247,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 63.460 0.000 63.740 4.000 ;
+=======
         RECT 190.070 0.000 190.350 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[31]
   PIN wbs_dat_i[3]
@@ -4524,7 +6259,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 35.050 0.000 35.330 4.000 ;
+        RECT 11.620 0.000 11.900 4.000 ;
     END
   END wbs_dat_i[3]
   PIN wbs_dat_i[4]
@@ -4532,7 +6267,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 42.410 0.000 42.690 4.000 ;
+        RECT 14.020 0.000 14.300 4.000 ;
     END
   END wbs_dat_i[4]
   PIN wbs_dat_i[5]
@@ -4540,7 +6275,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 47.930 0.000 48.210 4.000 ;
+        RECT 15.940 0.000 16.220 4.000 ;
     END
   END wbs_dat_i[5]
   PIN wbs_dat_i[6]
@@ -4548,7 +6283,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 53.450 0.000 53.730 4.000 ;
+        RECT 17.380 0.000 17.660 4.000 ;
     END
   END wbs_dat_i[6]
   PIN wbs_dat_i[7]
@@ -4556,7 +6291,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 58.970 0.000 59.250 4.000 ;
+        RECT 19.300 0.000 19.580 4.000 ;
     END
   END wbs_dat_i[7]
   PIN wbs_dat_i[8]
@@ -4564,7 +6299,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 21.220 0.000 21.500 4.000 ;
+=======
         RECT 64.030 0.000 64.310 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[8]
   PIN wbs_dat_i[9]
@@ -4572,7 +6311,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 23.140 0.000 23.420 4.000 ;
+=======
         RECT 69.550 0.000 69.830 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_i[9]
   PIN wbs_dat_o[0]
@@ -4580,7 +6323,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 14.810 0.000 15.090 4.000 ;
+        RECT 4.900 0.000 5.180 4.000 ;
     END
   END wbs_dat_o[0]
   PIN wbs_dat_o[10]
@@ -4588,7 +6331,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 25.540 0.000 25.820 4.000 ;
+=======
         RECT 76.910 0.000 77.190 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[10]
   PIN wbs_dat_o[11]
@@ -4596,7 +6343,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 27.460 0.000 27.740 4.000 ;
+=======
         RECT 82.430 0.000 82.710 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[11]
   PIN wbs_dat_o[12]
@@ -4604,7 +6355,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 29.380 0.000 29.660 4.000 ;
+=======
         RECT 87.950 0.000 88.230 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[12]
   PIN wbs_dat_o[13]
@@ -4612,7 +6367,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 31.300 0.000 31.580 4.000 ;
+=======
         RECT 93.470 0.000 93.750 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[13]
   PIN wbs_dat_o[14]
@@ -4620,7 +6379,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 32.740 0.000 33.020 4.000 ;
+=======
         RECT 98.990 0.000 99.270 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[14]
   PIN wbs_dat_o[15]
@@ -4628,7 +6391,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 34.660 0.000 34.940 4.000 ;
+=======
         RECT 104.510 0.000 104.790 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[15]
   PIN wbs_dat_o[16]
@@ -4636,7 +6403,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 36.580 0.000 36.860 4.000 ;
+=======
         RECT 110.030 0.000 110.310 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[16]
   PIN wbs_dat_o[17]
@@ -4644,7 +6415,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 38.500 0.000 38.780 4.000 ;
+=======
         RECT 115.550 0.000 115.830 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[17]
   PIN wbs_dat_o[18]
@@ -4652,7 +6427,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 40.420 0.000 40.700 4.000 ;
+=======
         RECT 120.610 0.000 120.890 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[18]
   PIN wbs_dat_o[19]
@@ -4660,7 +6439,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 42.340 0.000 42.620 4.000 ;
+=======
         RECT 126.130 0.000 126.410 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[19]
   PIN wbs_dat_o[1]
@@ -4668,7 +6451,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 22.170 0.000 22.450 4.000 ;
+        RECT 7.300 0.000 7.580 4.000 ;
     END
   END wbs_dat_o[1]
   PIN wbs_dat_o[20]
@@ -4676,7 +6459,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 43.780 0.000 44.060 4.000 ;
+=======
         RECT 131.650 0.000 131.930 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[20]
   PIN wbs_dat_o[21]
@@ -4684,7 +6471,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 45.700 0.000 45.980 4.000 ;
+=======
         RECT 137.170 0.000 137.450 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[21]
   PIN wbs_dat_o[22]
@@ -4692,7 +6483,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 47.620 0.000 47.900 4.000 ;
+=======
         RECT 142.690 0.000 142.970 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[22]
   PIN wbs_dat_o[23]
@@ -4700,7 +6495,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 49.540 0.000 49.820 4.000 ;
+=======
         RECT 148.210 0.000 148.490 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[23]
   PIN wbs_dat_o[24]
@@ -4708,7 +6507,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 51.460 0.000 51.740 4.000 ;
+=======
         RECT 153.730 0.000 154.010 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[24]
   PIN wbs_dat_o[25]
@@ -4716,7 +6519,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 52.900 0.000 53.180 4.000 ;
+=======
         RECT 159.250 0.000 159.530 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[25]
   PIN wbs_dat_o[26]
@@ -4724,7 +6531,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 54.820 0.000 55.100 4.000 ;
+=======
         RECT 164.770 0.000 165.050 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[26]
   PIN wbs_dat_o[27]
@@ -4732,7 +6543,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 56.740 0.000 57.020 4.000 ;
+=======
         RECT 170.290 0.000 170.570 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[27]
   PIN wbs_dat_o[28]
@@ -4740,7 +6555,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 58.660 0.000 58.940 4.000 ;
+=======
         RECT 175.810 0.000 176.090 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[28]
   PIN wbs_dat_o[29]
@@ -4748,7 +6567,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 60.580 0.000 60.860 4.000 ;
+=======
         RECT 180.870 0.000 181.150 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[29]
   PIN wbs_dat_o[2]
@@ -4756,7 +6579,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 29.530 0.000 29.810 4.000 ;
+        RECT 9.700 0.000 9.980 4.000 ;
     END
   END wbs_dat_o[2]
   PIN wbs_dat_o[30]
@@ -4764,7 +6587,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 62.500 0.000 62.780 4.000 ;
+=======
         RECT 186.390 0.000 186.670 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[30]
   PIN wbs_dat_o[31]
@@ -4772,7 +6599,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 63.940 0.000 64.220 4.000 ;
+=======
         RECT 191.910 0.000 192.190 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[31]
   PIN wbs_dat_o[3]
@@ -4780,7 +6611,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 36.890 0.000 37.170 4.000 ;
+        RECT 12.100 0.000 12.380 4.000 ;
     END
   END wbs_dat_o[3]
   PIN wbs_dat_o[4]
@@ -4788,7 +6619,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 44.250 0.000 44.530 4.000 ;
+        RECT 14.500 0.000 14.780 4.000 ;
     END
   END wbs_dat_o[4]
   PIN wbs_dat_o[5]
@@ -4796,7 +6627,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 49.770 0.000 50.050 4.000 ;
+        RECT 16.420 0.000 16.700 4.000 ;
     END
   END wbs_dat_o[5]
   PIN wbs_dat_o[6]
@@ -4804,7 +6635,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 55.290 0.000 55.570 4.000 ;
+        RECT 18.340 0.000 18.620 4.000 ;
     END
   END wbs_dat_o[6]
   PIN wbs_dat_o[7]
@@ -4812,7 +6643,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 20.260 0.000 20.540 4.000 ;
+=======
         RECT 60.350 0.000 60.630 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[7]
   PIN wbs_dat_o[8]
@@ -4820,7 +6655,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 21.700 0.000 21.980 4.000 ;
+=======
         RECT 65.870 0.000 66.150 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[8]
   PIN wbs_dat_o[9]
@@ -4828,7 +6667,11 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
+<<<<<<< HEAD
+        RECT 23.620 0.000 23.900 4.000 ;
+=======
         RECT 71.390 0.000 71.670 4.000 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     END
   END wbs_dat_o[9]
   PIN wbs_sel_i[0]
@@ -4836,7 +6679,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 16.650 0.000 16.930 4.000 ;
+        RECT 5.380 0.000 5.660 4.000 ;
     END
   END wbs_sel_i[0]
   PIN wbs_sel_i[1]
@@ -4844,7 +6687,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 24.010 0.000 24.290 4.000 ;
+        RECT 7.780 0.000 8.060 4.000 ;
     END
   END wbs_sel_i[1]
   PIN wbs_sel_i[2]
@@ -4852,7 +6695,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 31.370 0.000 31.650 4.000 ;
+        RECT 10.180 0.000 10.460 4.000 ;
     END
   END wbs_sel_i[2]
   PIN wbs_sel_i[3]
@@ -4860,7 +6703,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 38.730 0.000 39.010 4.000 ;
+        RECT 12.580 0.000 12.860 4.000 ;
     END
   END wbs_sel_i[3]
   PIN wbs_stb_i
@@ -4868,7 +6711,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 7.450 0.000 7.730 4.000 ;
+        RECT 2.500 0.000 2.780 4.000 ;
     END
   END wbs_stb_i
   PIN wbs_we_i
@@ -4876,13 +6719,406 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 9.290 0.000 9.570 4.000 ;
+        RECT 2.980 0.000 3.260 4.000 ;
     END
   END wbs_we_i
+<<<<<<< HEAD
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 174.880 13.080 176.480 286.620 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 21.280 13.080 22.880 286.620 ;
+    END
+  END vccd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 251.680 13.080 253.280 286.620 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 98.080 13.080 99.680 286.620 ;
+    END
+  END vssd1
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 178.180 13.320 179.780 286.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 24.580 13.320 26.180 286.380 ;
+    END
+  END vccd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 254.980 13.320 256.580 286.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 101.380 13.320 102.980 286.380 ;
+    END
+  END vssd2
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 181.480 13.320 183.080 286.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 27.880 13.320 29.480 286.380 ;
+    END
+  END vdda1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 258.280 13.320 259.880 286.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 104.680 13.320 106.280 286.380 ;
+    END
+  END vssa1
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 184.780 13.320 186.380 286.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 31.180 13.320 32.780 286.380 ;
+    END
+  END vdda2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 261.580 13.320 263.180 286.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 107.980 13.320 109.580 286.380 ;
+    END
+  END vssa2
+=======
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
   OBS
       LAYER li1 ;
-        RECT 5.520 6.885 894.240 587.605 ;
+        RECT 5.760 13.235 294.240 286.465 ;
       LAYER met1 ;
+<<<<<<< HEAD
+        RECT 0.080 7.085 299.440 286.625 ;
+      LAYER met2 ;
+        RECT 0.110 295.720 0.780 296.000 ;
+        RECT 1.620 295.720 3.180 296.000 ;
+        RECT 4.020 295.720 5.580 296.000 ;
+        RECT 6.420 295.720 8.460 296.000 ;
+        RECT 9.300 295.720 10.860 296.000 ;
+        RECT 11.700 295.720 13.740 296.000 ;
+        RECT 14.580 295.720 16.140 296.000 ;
+        RECT 16.980 295.720 19.020 296.000 ;
+        RECT 19.860 295.720 21.420 296.000 ;
+        RECT 22.260 295.720 24.300 296.000 ;
+        RECT 25.140 295.720 26.700 296.000 ;
+        RECT 27.540 295.720 29.580 296.000 ;
+        RECT 30.420 295.720 31.980 296.000 ;
+        RECT 32.820 295.720 34.860 296.000 ;
+        RECT 35.700 295.720 37.260 296.000 ;
+        RECT 38.100 295.720 40.140 296.000 ;
+        RECT 40.980 295.720 42.540 296.000 ;
+        RECT 43.380 295.720 45.420 296.000 ;
+        RECT 46.260 295.720 47.820 296.000 ;
+        RECT 48.660 295.720 50.700 296.000 ;
+        RECT 51.540 295.720 53.100 296.000 ;
+        RECT 53.940 295.720 55.980 296.000 ;
+        RECT 56.820 295.720 58.380 296.000 ;
+        RECT 59.220 295.720 61.260 296.000 ;
+        RECT 62.100 295.720 63.660 296.000 ;
+        RECT 64.500 295.720 66.540 296.000 ;
+        RECT 67.380 295.720 68.940 296.000 ;
+        RECT 69.780 295.720 71.820 296.000 ;
+        RECT 72.660 295.720 74.220 296.000 ;
+        RECT 75.060 295.720 76.620 296.000 ;
+        RECT 77.460 295.720 79.500 296.000 ;
+        RECT 80.340 295.720 81.900 296.000 ;
+        RECT 82.740 295.720 84.780 296.000 ;
+        RECT 85.620 295.720 87.180 296.000 ;
+        RECT 88.020 295.720 90.060 296.000 ;
+        RECT 90.900 295.720 92.460 296.000 ;
+        RECT 93.300 295.720 95.340 296.000 ;
+        RECT 96.180 295.720 97.740 296.000 ;
+        RECT 98.580 295.720 100.620 296.000 ;
+        RECT 101.460 295.720 103.020 296.000 ;
+        RECT 103.860 295.720 105.900 296.000 ;
+        RECT 106.740 295.720 108.300 296.000 ;
+        RECT 109.140 295.720 111.180 296.000 ;
+        RECT 112.020 295.720 113.580 296.000 ;
+        RECT 114.420 295.720 116.460 296.000 ;
+        RECT 117.300 295.720 118.860 296.000 ;
+        RECT 119.700 295.720 121.740 296.000 ;
+        RECT 122.580 295.720 124.140 296.000 ;
+        RECT 124.980 295.720 127.020 296.000 ;
+        RECT 127.860 295.720 129.420 296.000 ;
+        RECT 130.260 295.720 132.300 296.000 ;
+        RECT 133.140 295.720 134.700 296.000 ;
+        RECT 135.540 295.720 137.580 296.000 ;
+        RECT 138.420 295.720 139.980 296.000 ;
+        RECT 140.820 295.720 142.860 296.000 ;
+        RECT 143.700 295.720 145.260 296.000 ;
+        RECT 146.100 295.720 148.140 296.000 ;
+        RECT 148.980 295.720 150.540 296.000 ;
+        RECT 151.380 295.720 152.940 296.000 ;
+        RECT 153.780 295.720 155.820 296.000 ;
+        RECT 156.660 295.720 158.220 296.000 ;
+        RECT 159.060 295.720 161.100 296.000 ;
+        RECT 161.940 295.720 163.500 296.000 ;
+        RECT 164.340 295.720 166.380 296.000 ;
+        RECT 167.220 295.720 168.780 296.000 ;
+        RECT 169.620 295.720 171.660 296.000 ;
+        RECT 172.500 295.720 174.060 296.000 ;
+        RECT 174.900 295.720 176.940 296.000 ;
+        RECT 177.780 295.720 179.340 296.000 ;
+        RECT 180.180 295.720 182.220 296.000 ;
+        RECT 183.060 295.720 184.620 296.000 ;
+        RECT 185.460 295.720 187.500 296.000 ;
+        RECT 188.340 295.720 189.900 296.000 ;
+        RECT 190.740 295.720 192.780 296.000 ;
+        RECT 193.620 295.720 195.180 296.000 ;
+        RECT 196.020 295.720 198.060 296.000 ;
+        RECT 198.900 295.720 200.460 296.000 ;
+        RECT 201.300 295.720 203.340 296.000 ;
+        RECT 204.180 295.720 205.740 296.000 ;
+        RECT 206.580 295.720 208.620 296.000 ;
+        RECT 209.460 295.720 211.020 296.000 ;
+        RECT 211.860 295.720 213.900 296.000 ;
+        RECT 214.740 295.720 216.300 296.000 ;
+        RECT 217.140 295.720 219.180 296.000 ;
+        RECT 220.020 295.720 221.580 296.000 ;
+        RECT 222.420 295.720 224.460 296.000 ;
+        RECT 225.300 295.720 226.860 296.000 ;
+        RECT 227.700 295.720 229.260 296.000 ;
+        RECT 230.100 295.720 232.140 296.000 ;
+        RECT 232.980 295.720 234.540 296.000 ;
+        RECT 235.380 295.720 237.420 296.000 ;
+        RECT 238.260 295.720 239.820 296.000 ;
+        RECT 240.660 295.720 242.700 296.000 ;
+        RECT 243.540 295.720 245.100 296.000 ;
+        RECT 245.940 295.720 247.980 296.000 ;
+        RECT 248.820 295.720 250.380 296.000 ;
+        RECT 251.220 295.720 253.260 296.000 ;
+        RECT 254.100 295.720 255.660 296.000 ;
+        RECT 256.500 295.720 258.540 296.000 ;
+        RECT 259.380 295.720 260.940 296.000 ;
+        RECT 261.780 295.720 263.820 296.000 ;
+        RECT 264.660 295.720 266.220 296.000 ;
+        RECT 267.060 295.720 269.100 296.000 ;
+        RECT 269.940 295.720 271.500 296.000 ;
+        RECT 272.340 295.720 274.380 296.000 ;
+        RECT 275.220 295.720 276.780 296.000 ;
+        RECT 277.620 295.720 279.660 296.000 ;
+        RECT 280.500 295.720 282.060 296.000 ;
+        RECT 282.900 295.720 284.940 296.000 ;
+        RECT 285.780 295.720 287.340 296.000 ;
+        RECT 288.180 295.720 290.220 296.000 ;
+        RECT 291.060 295.720 292.620 296.000 ;
+        RECT 293.460 295.720 295.500 296.000 ;
+        RECT 296.340 295.720 297.900 296.000 ;
+        RECT 298.740 295.720 299.410 296.000 ;
+        RECT 0.110 4.280 299.410 295.720 ;
+        RECT 2.100 4.000 2.220 4.280 ;
+        RECT 4.500 4.000 4.620 4.280 ;
+        RECT 6.420 4.000 6.540 4.280 ;
+        RECT 8.820 4.000 8.940 4.280 ;
+        RECT 11.220 4.000 11.340 4.280 ;
+        RECT 13.140 4.000 13.260 4.280 ;
+        RECT 15.540 4.000 15.660 4.280 ;
+        RECT 17.940 4.000 18.060 4.280 ;
+        RECT 19.860 4.000 19.980 4.280 ;
+        RECT 22.260 4.000 22.380 4.280 ;
+        RECT 24.180 4.000 24.300 4.280 ;
+        RECT 26.580 4.000 26.700 4.280 ;
+        RECT 28.980 4.000 29.100 4.280 ;
+        RECT 30.900 4.000 31.020 4.280 ;
+        RECT 33.300 4.000 33.420 4.280 ;
+        RECT 35.700 4.000 35.820 4.280 ;
+        RECT 37.620 4.000 37.740 4.280 ;
+        RECT 40.020 4.000 40.140 4.280 ;
+        RECT 41.940 4.000 42.060 4.280 ;
+        RECT 44.340 4.000 44.460 4.280 ;
+        RECT 46.740 4.000 46.860 4.280 ;
+        RECT 48.660 4.000 48.780 4.280 ;
+        RECT 51.060 4.000 51.180 4.280 ;
+        RECT 53.460 4.000 53.580 4.280 ;
+        RECT 55.380 4.000 55.500 4.280 ;
+        RECT 57.780 4.000 57.900 4.280 ;
+        RECT 59.700 4.000 59.820 4.280 ;
+        RECT 62.100 4.000 62.220 4.280 ;
+        RECT 64.500 4.000 64.620 4.280 ;
+        RECT 66.420 4.000 66.540 4.280 ;
+        RECT 68.820 4.000 68.940 4.280 ;
+        RECT 71.220 4.000 71.340 4.280 ;
+        RECT 73.140 4.000 73.260 4.280 ;
+        RECT 75.540 4.000 75.660 4.280 ;
+        RECT 77.940 4.000 78.060 4.280 ;
+        RECT 79.860 4.000 79.980 4.280 ;
+        RECT 82.260 4.000 82.380 4.280 ;
+        RECT 84.180 4.000 84.300 4.280 ;
+        RECT 86.580 4.000 86.700 4.280 ;
+        RECT 88.980 4.000 89.100 4.280 ;
+        RECT 90.900 4.000 91.020 4.280 ;
+        RECT 93.300 4.000 93.420 4.280 ;
+        RECT 95.700 4.000 95.820 4.280 ;
+        RECT 97.620 4.000 97.740 4.280 ;
+        RECT 100.020 4.000 100.140 4.280 ;
+        RECT 101.940 4.000 102.060 4.280 ;
+        RECT 104.340 4.000 104.460 4.280 ;
+        RECT 106.740 4.000 106.860 4.280 ;
+        RECT 108.660 4.000 108.780 4.280 ;
+        RECT 111.060 4.000 111.180 4.280 ;
+        RECT 113.460 4.000 113.580 4.280 ;
+        RECT 115.380 4.000 115.500 4.280 ;
+        RECT 117.780 4.000 117.900 4.280 ;
+        RECT 119.700 4.000 119.820 4.280 ;
+        RECT 122.100 4.000 122.220 4.280 ;
+        RECT 124.500 4.000 124.620 4.280 ;
+        RECT 126.420 4.000 126.540 4.280 ;
+        RECT 128.820 4.000 128.940 4.280 ;
+        RECT 131.220 4.000 131.340 4.280 ;
+        RECT 133.140 4.000 133.260 4.280 ;
+        RECT 135.540 4.000 135.660 4.280 ;
+        RECT 137.940 4.000 138.060 4.280 ;
+        RECT 139.860 4.000 139.980 4.280 ;
+        RECT 142.260 4.000 142.380 4.280 ;
+        RECT 144.180 4.000 144.300 4.280 ;
+        RECT 146.580 4.000 146.700 4.280 ;
+        RECT 148.980 4.000 149.100 4.280 ;
+        RECT 150.900 4.000 151.020 4.280 ;
+        RECT 153.300 4.000 153.420 4.280 ;
+        RECT 155.700 4.000 155.820 4.280 ;
+        RECT 157.620 4.000 157.740 4.280 ;
+        RECT 160.020 4.000 160.140 4.280 ;
+        RECT 161.940 4.000 162.060 4.280 ;
+        RECT 164.340 4.000 164.460 4.280 ;
+        RECT 166.740 4.000 166.860 4.280 ;
+        RECT 168.660 4.000 168.780 4.280 ;
+        RECT 171.060 4.000 171.180 4.280 ;
+        RECT 173.460 4.000 173.580 4.280 ;
+        RECT 175.380 4.000 175.500 4.280 ;
+        RECT 177.780 4.000 177.900 4.280 ;
+        RECT 179.700 4.000 179.820 4.280 ;
+        RECT 182.100 4.000 182.220 4.280 ;
+        RECT 184.500 4.000 184.620 4.280 ;
+        RECT 186.420 4.000 186.540 4.280 ;
+        RECT 188.820 4.000 188.940 4.280 ;
+        RECT 191.220 4.000 191.340 4.280 ;
+        RECT 193.140 4.000 193.260 4.280 ;
+        RECT 195.540 4.000 195.660 4.280 ;
+        RECT 197.940 4.000 198.060 4.280 ;
+        RECT 199.860 4.000 199.980 4.280 ;
+        RECT 202.260 4.000 202.380 4.280 ;
+        RECT 204.180 4.000 204.300 4.280 ;
+        RECT 206.580 4.000 206.700 4.280 ;
+        RECT 208.980 4.000 209.100 4.280 ;
+        RECT 210.900 4.000 211.020 4.280 ;
+        RECT 213.300 4.000 213.420 4.280 ;
+        RECT 215.700 4.000 215.820 4.280 ;
+        RECT 217.620 4.000 217.740 4.280 ;
+        RECT 220.020 4.000 220.140 4.280 ;
+        RECT 221.940 4.000 222.060 4.280 ;
+        RECT 224.340 4.000 224.460 4.280 ;
+        RECT 226.740 4.000 226.860 4.280 ;
+        RECT 228.660 4.000 228.780 4.280 ;
+        RECT 231.060 4.000 231.180 4.280 ;
+        RECT 233.460 4.000 233.580 4.280 ;
+        RECT 235.380 4.000 235.500 4.280 ;
+        RECT 237.780 4.000 237.900 4.280 ;
+        RECT 239.700 4.000 239.820 4.280 ;
+        RECT 242.100 4.000 242.220 4.280 ;
+        RECT 244.500 4.000 244.620 4.280 ;
+        RECT 246.420 4.000 246.540 4.280 ;
+        RECT 248.820 4.000 248.940 4.280 ;
+        RECT 251.220 4.000 251.340 4.280 ;
+        RECT 253.140 4.000 253.260 4.280 ;
+        RECT 255.540 4.000 255.660 4.280 ;
+        RECT 257.940 4.000 258.060 4.280 ;
+        RECT 259.860 4.000 259.980 4.280 ;
+        RECT 262.260 4.000 262.380 4.280 ;
+        RECT 264.180 4.000 264.300 4.280 ;
+        RECT 266.580 4.000 266.700 4.280 ;
+        RECT 268.980 4.000 269.100 4.280 ;
+        RECT 270.900 4.000 271.020 4.280 ;
+        RECT 273.300 4.000 273.420 4.280 ;
+        RECT 275.700 4.000 275.820 4.280 ;
+        RECT 277.620 4.000 277.740 4.280 ;
+        RECT 280.020 4.000 280.140 4.280 ;
+        RECT 281.940 4.000 282.060 4.280 ;
+        RECT 284.340 4.000 284.460 4.280 ;
+        RECT 286.740 4.000 286.860 4.280 ;
+        RECT 288.660 4.000 288.780 4.280 ;
+        RECT 291.060 4.000 291.180 4.280 ;
+        RECT 293.460 4.000 293.580 4.280 ;
+        RECT 295.380 4.000 295.500 4.280 ;
+        RECT 297.780 4.000 297.900 4.280 ;
+      LAYER met3 ;
+        RECT 4.000 225.290 296.000 286.545 ;
+        RECT 4.400 223.890 296.000 225.290 ;
+        RECT 4.000 150.550 296.000 223.890 ;
+        RECT 4.000 149.150 295.600 150.550 ;
+        RECT 4.000 75.810 296.000 149.150 ;
+        RECT 4.400 74.410 296.000 75.810 ;
+        RECT 4.000 12.045 296.000 74.410 ;
+=======
         RECT 0.530 6.160 899.230 587.760 ;
       LAYER met2 ;
         RECT 0.560 595.720 3.490 596.090 ;
@@ -5497,6 +7733,7 @@
         RECT 8.345 9.015 867.440 587.685 ;
       LAYER met4 ;
         RECT 174.640 9.015 867.440 587.760 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
   END
 END user_proj_example
 END LIBRARY
diff --git a/lef/user_project_wrapper.lef b/lef/user_project_wrapper.lef
index f5854c3..9cf3f20 100644
--- a/lef/user_project_wrapper.lef
+++ b/lef/user_project_wrapper.lef
@@ -5167,9 +5167,2671 @@
         RECT 32.150 -4.800 32.710 2.400 ;
     END
   END wbs_we_i
+<<<<<<< HEAD
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2889.020 -9.320 2892.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2709.020 -9.320 2712.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2529.020 -9.320 2532.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2349.020 -9.320 2352.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2169.020 -9.320 2172.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1989.020 -9.320 1992.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1809.020 -9.320 1812.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1629.020 -9.320 1632.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1449.020 1999.760 1452.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1269.020 1999.760 1272.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1089.020 -9.320 1092.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 909.020 -9.320 912.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 729.020 -9.320 732.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 549.020 -9.320 552.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 369.020 -9.320 372.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 189.020 -9.320 192.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 9.020 -9.320 12.020 3529.000 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2926.600 -4.620 2929.600 3524.300 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT -9.980 -4.620 -6.980 3524.300 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1449.020 -9.320 1452.020 1680.240 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1269.020 -9.320 1272.020 1680.240 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -9.980 3521.300 2929.600 3524.300 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 3434.140 2934.300 3437.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 3254.140 2934.300 3257.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 3074.140 2934.300 3077.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 2894.140 2934.300 2897.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 2714.140 2934.300 2717.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 2534.140 2934.300 2537.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 2354.140 2934.300 2357.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 2174.140 2934.300 2177.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1994.140 2934.300 1997.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1814.140 2934.300 1817.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1634.140 2934.300 1637.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1454.140 2934.300 1457.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1274.140 2934.300 1277.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1094.140 2934.300 1097.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 914.140 2934.300 917.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 734.140 2934.300 737.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 554.140 2934.300 557.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 374.140 2934.300 377.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 194.140 2934.300 197.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 14.140 2934.300 17.140 ;
+    END
+  END vccd1
+  PIN vccd1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -9.980 -4.620 2929.600 -1.620 ;
+    END
+  END vccd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2931.300 -9.320 2934.300 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2799.020 -9.320 2802.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2619.020 -9.320 2622.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2439.020 -9.320 2442.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2259.020 -9.320 2262.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2079.020 -9.320 2082.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1899.020 -9.320 1902.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1719.020 -9.320 1722.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1539.020 -9.320 1542.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1359.020 1999.760 1362.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1179.020 1999.760 1182.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 999.020 -9.320 1002.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 819.020 -9.320 822.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 639.020 -9.320 642.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 459.020 -9.320 462.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 279.020 -9.320 282.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 99.020 -9.320 102.020 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT -14.680 -9.320 -11.680 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1359.020 -9.320 1362.020 1680.240 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1179.020 -9.320 1182.020 1680.240 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 3526.000 2934.300 3529.000 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 3344.140 2934.300 3347.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 3164.140 2934.300 3167.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 2984.140 2934.300 2987.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 2804.140 2934.300 2807.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 2624.140 2934.300 2627.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 2444.140 2934.300 2447.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 2264.140 2934.300 2267.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 2084.140 2934.300 2087.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1904.140 2934.300 1907.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1724.140 2934.300 1727.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1544.140 2934.300 1547.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1364.140 2934.300 1367.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1184.140 2934.300 1187.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 1004.140 2934.300 1007.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 824.140 2934.300 827.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 644.140 2934.300 647.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 464.140 2934.300 467.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 284.140 2934.300 287.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 104.140 2934.300 107.140 ;
+    END
+  END vssd1
+  PIN vssd1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -14.680 -9.320 2934.300 -6.320 ;
+    END
+  END vssd1
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2907.020 -18.720 2910.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2727.020 -18.720 2730.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2547.020 -18.720 2550.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2367.020 -18.720 2370.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2187.020 -18.720 2190.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2007.020 -18.720 2010.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1827.020 -18.720 1830.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1647.020 -18.720 1650.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1467.020 2000.000 1470.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1287.020 2000.000 1290.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1107.020 -18.720 1110.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 927.020 -18.720 930.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 747.020 -18.720 750.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 567.020 -18.720 570.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 387.020 -18.720 390.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 207.020 -18.720 210.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 27.020 -18.720 30.020 3538.400 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2936.000 -14.020 2939.000 3533.700 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT -19.380 -14.020 -16.380 3533.700 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1467.020 -18.720 1470.020 1680.000 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1287.020 -18.720 1290.020 1680.000 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -19.380 3530.700 2939.000 3533.700 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 3452.380 2943.700 3455.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 3272.380 2943.700 3275.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 3092.380 2943.700 3095.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 2912.380 2943.700 2915.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 2732.380 2943.700 2735.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 2552.380 2943.700 2555.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 2372.380 2943.700 2375.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 2192.380 2943.700 2195.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 2012.380 2943.700 2015.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 1832.380 2943.700 1835.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 1652.380 2943.700 1655.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 1472.380 2943.700 1475.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 1292.380 2943.700 1295.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 1112.380 2943.700 1115.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 932.380 2943.700 935.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 752.380 2943.700 755.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 572.380 2943.700 575.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 392.380 2943.700 395.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 212.380 2943.700 215.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 32.380 2943.700 35.380 ;
+    END
+  END vccd2
+  PIN vccd2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -19.380 -14.020 2939.000 -11.020 ;
+    END
+  END vccd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2940.700 -18.720 2943.700 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2817.020 -18.720 2820.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2637.020 -18.720 2640.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2457.020 -18.720 2460.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2277.020 -18.720 2280.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2097.020 -18.720 2100.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1917.020 -18.720 1920.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1737.020 -18.720 1740.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1557.020 -18.720 1560.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1377.020 2000.000 1380.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1197.020 2000.000 1200.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1017.020 -18.720 1020.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 837.020 -18.720 840.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 657.020 -18.720 660.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 477.020 -18.720 480.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 297.020 -18.720 300.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 117.020 -18.720 120.020 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT -24.080 -18.720 -21.080 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1377.020 -18.720 1380.020 1680.000 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1197.020 -18.720 1200.020 1680.000 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 3535.400 2943.700 3538.400 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 3362.380 2943.700 3365.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 3182.380 2943.700 3185.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 3002.380 2943.700 3005.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 2822.380 2943.700 2825.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 2642.380 2943.700 2645.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 2462.380 2943.700 2465.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 2282.380 2943.700 2285.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 2102.380 2943.700 2105.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 1922.380 2943.700 1925.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 1742.380 2943.700 1745.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 1562.380 2943.700 1565.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 1382.380 2943.700 1385.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 1202.380 2943.700 1205.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 1022.380 2943.700 1025.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 842.380 2943.700 845.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 662.380 2943.700 665.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 482.380 2943.700 485.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 302.380 2943.700 305.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 122.380 2943.700 125.380 ;
+    END
+  END vssd2
+  PIN vssd2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -24.080 -18.720 2943.700 -15.720 ;
+    END
+  END vssd2
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2745.020 -28.120 2748.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2565.020 -28.120 2568.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2385.020 -28.120 2388.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2205.020 -28.120 2208.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2025.020 -28.120 2028.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1845.020 -28.120 1848.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1665.020 -28.120 1668.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1485.020 -28.120 1488.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1305.020 2000.000 1308.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1125.020 -28.120 1128.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 945.020 -28.120 948.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 765.020 -28.120 768.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 585.020 -28.120 588.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 405.020 -28.120 408.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 225.020 -28.120 228.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 45.020 -28.120 48.020 3547.800 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2945.400 -23.420 2948.400 3543.100 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT -28.780 -23.420 -25.780 3543.100 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1305.020 -28.120 1308.020 1680.000 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -28.780 3540.100 2948.400 3543.100 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 3470.380 2953.100 3473.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 3290.380 2953.100 3293.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 3110.380 2953.100 3113.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 2930.380 2953.100 2933.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 2750.380 2953.100 2753.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 2570.380 2953.100 2573.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 2390.380 2953.100 2393.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 2210.380 2953.100 2213.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 2030.380 2953.100 2033.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 1850.380 2953.100 1853.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 1670.380 2953.100 1673.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 1490.380 2953.100 1493.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 1310.380 2953.100 1313.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 1130.380 2953.100 1133.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 950.380 2953.100 953.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 770.380 2953.100 773.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 590.380 2953.100 593.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 410.380 2953.100 413.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 230.380 2953.100 233.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 50.380 2953.100 53.380 ;
+    END
+  END vdda1
+  PIN vdda1
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -28.780 -23.420 2948.400 -20.420 ;
+    END
+  END vdda1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2950.100 -28.120 2953.100 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2835.020 -28.120 2838.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2655.020 -28.120 2658.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2475.020 -28.120 2478.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2295.020 -28.120 2298.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2115.020 -28.120 2118.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1935.020 -28.120 1938.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1755.020 -28.120 1758.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1575.020 -28.120 1578.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1395.020 2000.000 1398.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1215.020 2000.000 1218.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1035.020 -28.120 1038.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 855.020 -28.120 858.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 675.020 -28.120 678.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 495.020 -28.120 498.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 315.020 -28.120 318.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 135.020 -28.120 138.020 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT -33.480 -28.120 -30.480 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1395.020 -28.120 1398.020 1680.000 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1215.020 -28.120 1218.020 1680.000 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 3544.800 2953.100 3547.800 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 3380.380 2953.100 3383.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 3200.380 2953.100 3203.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 3020.380 2953.100 3023.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 2840.380 2953.100 2843.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 2660.380 2953.100 2663.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 2480.380 2953.100 2483.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 2300.380 2953.100 2303.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 2120.380 2953.100 2123.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 1940.380 2953.100 1943.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 1760.380 2953.100 1763.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 1580.380 2953.100 1583.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 1400.380 2953.100 1403.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 1220.380 2953.100 1223.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 1040.380 2953.100 1043.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 860.380 2953.100 863.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 680.380 2953.100 683.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 500.380 2953.100 503.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 320.380 2953.100 323.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 140.380 2953.100 143.380 ;
+    END
+  END vssa1
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -33.480 -28.120 2953.100 -25.120 ;
+    END
+  END vssa1
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2763.020 -37.520 2766.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2583.020 -37.520 2586.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2403.020 -37.520 2406.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2223.020 -37.520 2226.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2043.020 -37.520 2046.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1863.020 -37.520 1866.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1683.020 -37.520 1686.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1503.020 -37.520 1506.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1323.020 2000.000 1326.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1143.020 -37.520 1146.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 963.020 -37.520 966.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 783.020 -37.520 786.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 603.020 -37.520 606.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 423.020 -37.520 426.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 243.020 -37.520 246.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 63.020 -37.520 66.020 3557.200 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2954.800 -32.820 2957.800 3552.500 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT -38.180 -32.820 -35.180 3552.500 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1323.020 -37.520 1326.020 1680.000 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -38.180 3549.500 2957.800 3552.500 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 3488.380 2962.500 3491.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 3308.380 2962.500 3311.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 3128.380 2962.500 3131.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 2948.380 2962.500 2951.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 2768.380 2962.500 2771.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 2588.380 2962.500 2591.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 2408.380 2962.500 2411.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 2228.380 2962.500 2231.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 2048.380 2962.500 2051.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 1868.380 2962.500 1871.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 1688.380 2962.500 1691.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 1508.380 2962.500 1511.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 1328.380 2962.500 1331.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 1148.380 2962.500 1151.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 968.380 2962.500 971.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 788.380 2962.500 791.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 608.380 2962.500 611.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 428.380 2962.500 431.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 248.380 2962.500 251.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 68.380 2962.500 71.380 ;
+    END
+  END vdda2
+  PIN vdda2
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT -38.180 -32.820 2957.800 -29.820 ;
+    END
+  END vdda2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2959.500 -37.520 2962.500 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2853.020 -37.520 2856.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2673.020 -37.520 2676.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2493.020 -37.520 2496.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2313.020 -37.520 2316.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 2133.020 -37.520 2136.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1953.020 -37.520 1956.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1773.020 -37.520 1776.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1593.020 -37.520 1596.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1413.020 2000.000 1416.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1233.020 2000.000 1236.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1053.020 -37.520 1056.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 873.020 -37.520 876.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 693.020 -37.520 696.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 513.020 -37.520 516.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 333.020 -37.520 336.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 153.020 -37.520 156.020 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT -42.880 -37.520 -39.880 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1413.020 -37.520 1416.020 1680.000 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1233.020 -37.520 1236.020 1680.000 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 3554.200 2962.500 3557.200 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 3398.380 2962.500 3401.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 3218.380 2962.500 3221.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 3038.380 2962.500 3041.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 2858.380 2962.500 2861.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 2678.380 2962.500 2681.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 2498.380 2962.500 2501.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 2318.380 2962.500 2321.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 2138.380 2962.500 2141.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 1958.380 2962.500 1961.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 1778.380 2962.500 1781.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 1598.380 2962.500 1601.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 1418.380 2962.500 1421.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 1238.380 2962.500 1241.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 1058.380 2962.500 1061.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 878.380 2962.500 881.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 698.380 2962.500 701.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 518.380 2962.500 521.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 338.380 2962.500 341.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 158.380 2962.500 161.380 ;
+    END
+  END vssa2
+  PIN vssa2
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT -42.880 -37.520 2962.500 -34.520 ;
+    END
+  END vssa2
+  OBS
+      LAYER li1 ;
+        RECT 171.725 12.665 2060.655 2003.195 ;
+=======
   OBS
       LAYER li1 ;
         RECT 162.525 14.365 2912.115 2302.055 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
       LAYER met1 ;
         RECT 2.830 13.980 2912.190 3505.020 ;
       LAYER met2 ;
@@ -5916,6 +8578,1394 @@
         RECT 2.400 33.980 2917.930 95.900 ;
         RECT 2.400 33.300 2917.200 33.980 ;
         RECT 2.800 31.980 2917.200 33.300 ;
+<<<<<<< HEAD
+        RECT 2.800 31.300 2917.600 31.980 ;
+        RECT 2.400 10.715 2917.600 31.300 ;
+      LAYER met4 ;
+        RECT 1186.175 1680.640 1463.425 1987.465 ;
+        RECT 1186.175 1680.400 1268.620 1680.640 ;
+        RECT 1186.175 96.735 1196.620 1680.400 ;
+        RECT 1200.420 96.735 1214.620 1680.400 ;
+        RECT 1218.420 96.735 1232.620 1680.400 ;
+        RECT 1236.420 96.735 1268.620 1680.400 ;
+        RECT 1272.420 1680.400 1358.620 1680.640 ;
+        RECT 1272.420 96.735 1286.620 1680.400 ;
+        RECT 1290.420 96.735 1304.620 1680.400 ;
+        RECT 1308.420 96.735 1322.620 1680.400 ;
+        RECT 1326.420 96.735 1358.620 1680.400 ;
+        RECT 1362.420 1680.400 1448.620 1680.640 ;
+        RECT 1362.420 96.735 1376.620 1680.400 ;
+        RECT 1380.420 96.735 1394.620 1680.400 ;
+        RECT 1398.420 96.735 1412.620 1680.400 ;
+        RECT 1416.420 96.735 1448.620 1680.400 ;
+        RECT 1452.420 96.735 1463.425 1680.640 ;
+      LAYER met5 ;
+        RECT -42.880 3557.200 -39.880 3557.210 ;
+        RECT 153.020 3557.200 156.020 3557.210 ;
+        RECT 333.020 3557.200 336.020 3557.210 ;
+        RECT 513.020 3557.200 516.020 3557.210 ;
+        RECT 693.020 3557.200 696.020 3557.210 ;
+        RECT 873.020 3557.200 876.020 3557.210 ;
+        RECT 1053.020 3557.200 1056.020 3557.210 ;
+        RECT 1233.020 3557.200 1236.020 3557.210 ;
+        RECT 1413.020 3557.200 1416.020 3557.210 ;
+        RECT 1593.020 3557.200 1596.020 3557.210 ;
+        RECT 1773.020 3557.200 1776.020 3557.210 ;
+        RECT 1953.020 3557.200 1956.020 3557.210 ;
+        RECT 2133.020 3557.200 2136.020 3557.210 ;
+        RECT 2313.020 3557.200 2316.020 3557.210 ;
+        RECT 2493.020 3557.200 2496.020 3557.210 ;
+        RECT 2673.020 3557.200 2676.020 3557.210 ;
+        RECT 2853.020 3557.200 2856.020 3557.210 ;
+        RECT 2959.500 3557.200 2962.500 3557.210 ;
+        RECT -42.880 3554.190 -39.880 3554.200 ;
+        RECT 153.020 3554.190 156.020 3554.200 ;
+        RECT 333.020 3554.190 336.020 3554.200 ;
+        RECT 513.020 3554.190 516.020 3554.200 ;
+        RECT 693.020 3554.190 696.020 3554.200 ;
+        RECT 873.020 3554.190 876.020 3554.200 ;
+        RECT 1053.020 3554.190 1056.020 3554.200 ;
+        RECT 1233.020 3554.190 1236.020 3554.200 ;
+        RECT 1413.020 3554.190 1416.020 3554.200 ;
+        RECT 1593.020 3554.190 1596.020 3554.200 ;
+        RECT 1773.020 3554.190 1776.020 3554.200 ;
+        RECT 1953.020 3554.190 1956.020 3554.200 ;
+        RECT 2133.020 3554.190 2136.020 3554.200 ;
+        RECT 2313.020 3554.190 2316.020 3554.200 ;
+        RECT 2493.020 3554.190 2496.020 3554.200 ;
+        RECT 2673.020 3554.190 2676.020 3554.200 ;
+        RECT 2853.020 3554.190 2856.020 3554.200 ;
+        RECT 2959.500 3554.190 2962.500 3554.200 ;
+        RECT -38.180 3552.500 -35.180 3552.510 ;
+        RECT 63.020 3552.500 66.020 3552.510 ;
+        RECT 243.020 3552.500 246.020 3552.510 ;
+        RECT 423.020 3552.500 426.020 3552.510 ;
+        RECT 603.020 3552.500 606.020 3552.510 ;
+        RECT 783.020 3552.500 786.020 3552.510 ;
+        RECT 963.020 3552.500 966.020 3552.510 ;
+        RECT 1143.020 3552.500 1146.020 3552.510 ;
+        RECT 1323.020 3552.500 1326.020 3552.510 ;
+        RECT 1503.020 3552.500 1506.020 3552.510 ;
+        RECT 1683.020 3552.500 1686.020 3552.510 ;
+        RECT 1863.020 3552.500 1866.020 3552.510 ;
+        RECT 2043.020 3552.500 2046.020 3552.510 ;
+        RECT 2223.020 3552.500 2226.020 3552.510 ;
+        RECT 2403.020 3552.500 2406.020 3552.510 ;
+        RECT 2583.020 3552.500 2586.020 3552.510 ;
+        RECT 2763.020 3552.500 2766.020 3552.510 ;
+        RECT 2954.800 3552.500 2957.800 3552.510 ;
+        RECT -38.180 3549.490 -35.180 3549.500 ;
+        RECT 63.020 3549.490 66.020 3549.500 ;
+        RECT 243.020 3549.490 246.020 3549.500 ;
+        RECT 423.020 3549.490 426.020 3549.500 ;
+        RECT 603.020 3549.490 606.020 3549.500 ;
+        RECT 783.020 3549.490 786.020 3549.500 ;
+        RECT 963.020 3549.490 966.020 3549.500 ;
+        RECT 1143.020 3549.490 1146.020 3549.500 ;
+        RECT 1323.020 3549.490 1326.020 3549.500 ;
+        RECT 1503.020 3549.490 1506.020 3549.500 ;
+        RECT 1683.020 3549.490 1686.020 3549.500 ;
+        RECT 1863.020 3549.490 1866.020 3549.500 ;
+        RECT 2043.020 3549.490 2046.020 3549.500 ;
+        RECT 2223.020 3549.490 2226.020 3549.500 ;
+        RECT 2403.020 3549.490 2406.020 3549.500 ;
+        RECT 2583.020 3549.490 2586.020 3549.500 ;
+        RECT 2763.020 3549.490 2766.020 3549.500 ;
+        RECT 2954.800 3549.490 2957.800 3549.500 ;
+        RECT -33.480 3547.800 -30.480 3547.810 ;
+        RECT 135.020 3547.800 138.020 3547.810 ;
+        RECT 315.020 3547.800 318.020 3547.810 ;
+        RECT 495.020 3547.800 498.020 3547.810 ;
+        RECT 675.020 3547.800 678.020 3547.810 ;
+        RECT 855.020 3547.800 858.020 3547.810 ;
+        RECT 1035.020 3547.800 1038.020 3547.810 ;
+        RECT 1215.020 3547.800 1218.020 3547.810 ;
+        RECT 1395.020 3547.800 1398.020 3547.810 ;
+        RECT 1575.020 3547.800 1578.020 3547.810 ;
+        RECT 1755.020 3547.800 1758.020 3547.810 ;
+        RECT 1935.020 3547.800 1938.020 3547.810 ;
+        RECT 2115.020 3547.800 2118.020 3547.810 ;
+        RECT 2295.020 3547.800 2298.020 3547.810 ;
+        RECT 2475.020 3547.800 2478.020 3547.810 ;
+        RECT 2655.020 3547.800 2658.020 3547.810 ;
+        RECT 2835.020 3547.800 2838.020 3547.810 ;
+        RECT 2950.100 3547.800 2953.100 3547.810 ;
+        RECT -33.480 3544.790 -30.480 3544.800 ;
+        RECT 135.020 3544.790 138.020 3544.800 ;
+        RECT 315.020 3544.790 318.020 3544.800 ;
+        RECT 495.020 3544.790 498.020 3544.800 ;
+        RECT 675.020 3544.790 678.020 3544.800 ;
+        RECT 855.020 3544.790 858.020 3544.800 ;
+        RECT 1035.020 3544.790 1038.020 3544.800 ;
+        RECT 1215.020 3544.790 1218.020 3544.800 ;
+        RECT 1395.020 3544.790 1398.020 3544.800 ;
+        RECT 1575.020 3544.790 1578.020 3544.800 ;
+        RECT 1755.020 3544.790 1758.020 3544.800 ;
+        RECT 1935.020 3544.790 1938.020 3544.800 ;
+        RECT 2115.020 3544.790 2118.020 3544.800 ;
+        RECT 2295.020 3544.790 2298.020 3544.800 ;
+        RECT 2475.020 3544.790 2478.020 3544.800 ;
+        RECT 2655.020 3544.790 2658.020 3544.800 ;
+        RECT 2835.020 3544.790 2838.020 3544.800 ;
+        RECT 2950.100 3544.790 2953.100 3544.800 ;
+        RECT -28.780 3543.100 -25.780 3543.110 ;
+        RECT 45.020 3543.100 48.020 3543.110 ;
+        RECT 225.020 3543.100 228.020 3543.110 ;
+        RECT 405.020 3543.100 408.020 3543.110 ;
+        RECT 585.020 3543.100 588.020 3543.110 ;
+        RECT 765.020 3543.100 768.020 3543.110 ;
+        RECT 945.020 3543.100 948.020 3543.110 ;
+        RECT 1125.020 3543.100 1128.020 3543.110 ;
+        RECT 1305.020 3543.100 1308.020 3543.110 ;
+        RECT 1485.020 3543.100 1488.020 3543.110 ;
+        RECT 1665.020 3543.100 1668.020 3543.110 ;
+        RECT 1845.020 3543.100 1848.020 3543.110 ;
+        RECT 2025.020 3543.100 2028.020 3543.110 ;
+        RECT 2205.020 3543.100 2208.020 3543.110 ;
+        RECT 2385.020 3543.100 2388.020 3543.110 ;
+        RECT 2565.020 3543.100 2568.020 3543.110 ;
+        RECT 2745.020 3543.100 2748.020 3543.110 ;
+        RECT 2945.400 3543.100 2948.400 3543.110 ;
+        RECT -28.780 3540.090 -25.780 3540.100 ;
+        RECT 45.020 3540.090 48.020 3540.100 ;
+        RECT 225.020 3540.090 228.020 3540.100 ;
+        RECT 405.020 3540.090 408.020 3540.100 ;
+        RECT 585.020 3540.090 588.020 3540.100 ;
+        RECT 765.020 3540.090 768.020 3540.100 ;
+        RECT 945.020 3540.090 948.020 3540.100 ;
+        RECT 1125.020 3540.090 1128.020 3540.100 ;
+        RECT 1305.020 3540.090 1308.020 3540.100 ;
+        RECT 1485.020 3540.090 1488.020 3540.100 ;
+        RECT 1665.020 3540.090 1668.020 3540.100 ;
+        RECT 1845.020 3540.090 1848.020 3540.100 ;
+        RECT 2025.020 3540.090 2028.020 3540.100 ;
+        RECT 2205.020 3540.090 2208.020 3540.100 ;
+        RECT 2385.020 3540.090 2388.020 3540.100 ;
+        RECT 2565.020 3540.090 2568.020 3540.100 ;
+        RECT 2745.020 3540.090 2748.020 3540.100 ;
+        RECT 2945.400 3540.090 2948.400 3540.100 ;
+        RECT -24.080 3538.400 -21.080 3538.410 ;
+        RECT 117.020 3538.400 120.020 3538.410 ;
+        RECT 297.020 3538.400 300.020 3538.410 ;
+        RECT 477.020 3538.400 480.020 3538.410 ;
+        RECT 657.020 3538.400 660.020 3538.410 ;
+        RECT 837.020 3538.400 840.020 3538.410 ;
+        RECT 1017.020 3538.400 1020.020 3538.410 ;
+        RECT 1197.020 3538.400 1200.020 3538.410 ;
+        RECT 1377.020 3538.400 1380.020 3538.410 ;
+        RECT 1557.020 3538.400 1560.020 3538.410 ;
+        RECT 1737.020 3538.400 1740.020 3538.410 ;
+        RECT 1917.020 3538.400 1920.020 3538.410 ;
+        RECT 2097.020 3538.400 2100.020 3538.410 ;
+        RECT 2277.020 3538.400 2280.020 3538.410 ;
+        RECT 2457.020 3538.400 2460.020 3538.410 ;
+        RECT 2637.020 3538.400 2640.020 3538.410 ;
+        RECT 2817.020 3538.400 2820.020 3538.410 ;
+        RECT 2940.700 3538.400 2943.700 3538.410 ;
+        RECT -24.080 3535.390 -21.080 3535.400 ;
+        RECT 117.020 3535.390 120.020 3535.400 ;
+        RECT 297.020 3535.390 300.020 3535.400 ;
+        RECT 477.020 3535.390 480.020 3535.400 ;
+        RECT 657.020 3535.390 660.020 3535.400 ;
+        RECT 837.020 3535.390 840.020 3535.400 ;
+        RECT 1017.020 3535.390 1020.020 3535.400 ;
+        RECT 1197.020 3535.390 1200.020 3535.400 ;
+        RECT 1377.020 3535.390 1380.020 3535.400 ;
+        RECT 1557.020 3535.390 1560.020 3535.400 ;
+        RECT 1737.020 3535.390 1740.020 3535.400 ;
+        RECT 1917.020 3535.390 1920.020 3535.400 ;
+        RECT 2097.020 3535.390 2100.020 3535.400 ;
+        RECT 2277.020 3535.390 2280.020 3535.400 ;
+        RECT 2457.020 3535.390 2460.020 3535.400 ;
+        RECT 2637.020 3535.390 2640.020 3535.400 ;
+        RECT 2817.020 3535.390 2820.020 3535.400 ;
+        RECT 2940.700 3535.390 2943.700 3535.400 ;
+        RECT -19.380 3533.700 -16.380 3533.710 ;
+        RECT 27.020 3533.700 30.020 3533.710 ;
+        RECT 207.020 3533.700 210.020 3533.710 ;
+        RECT 387.020 3533.700 390.020 3533.710 ;
+        RECT 567.020 3533.700 570.020 3533.710 ;
+        RECT 747.020 3533.700 750.020 3533.710 ;
+        RECT 927.020 3533.700 930.020 3533.710 ;
+        RECT 1107.020 3533.700 1110.020 3533.710 ;
+        RECT 1287.020 3533.700 1290.020 3533.710 ;
+        RECT 1467.020 3533.700 1470.020 3533.710 ;
+        RECT 1647.020 3533.700 1650.020 3533.710 ;
+        RECT 1827.020 3533.700 1830.020 3533.710 ;
+        RECT 2007.020 3533.700 2010.020 3533.710 ;
+        RECT 2187.020 3533.700 2190.020 3533.710 ;
+        RECT 2367.020 3533.700 2370.020 3533.710 ;
+        RECT 2547.020 3533.700 2550.020 3533.710 ;
+        RECT 2727.020 3533.700 2730.020 3533.710 ;
+        RECT 2907.020 3533.700 2910.020 3533.710 ;
+        RECT 2936.000 3533.700 2939.000 3533.710 ;
+        RECT -19.380 3530.690 -16.380 3530.700 ;
+        RECT 27.020 3530.690 30.020 3530.700 ;
+        RECT 207.020 3530.690 210.020 3530.700 ;
+        RECT 387.020 3530.690 390.020 3530.700 ;
+        RECT 567.020 3530.690 570.020 3530.700 ;
+        RECT 747.020 3530.690 750.020 3530.700 ;
+        RECT 927.020 3530.690 930.020 3530.700 ;
+        RECT 1107.020 3530.690 1110.020 3530.700 ;
+        RECT 1287.020 3530.690 1290.020 3530.700 ;
+        RECT 1467.020 3530.690 1470.020 3530.700 ;
+        RECT 1647.020 3530.690 1650.020 3530.700 ;
+        RECT 1827.020 3530.690 1830.020 3530.700 ;
+        RECT 2007.020 3530.690 2010.020 3530.700 ;
+        RECT 2187.020 3530.690 2190.020 3530.700 ;
+        RECT 2367.020 3530.690 2370.020 3530.700 ;
+        RECT 2547.020 3530.690 2550.020 3530.700 ;
+        RECT 2727.020 3530.690 2730.020 3530.700 ;
+        RECT 2907.020 3530.690 2910.020 3530.700 ;
+        RECT 2936.000 3530.690 2939.000 3530.700 ;
+        RECT -14.680 3529.000 -11.680 3529.010 ;
+        RECT 99.020 3529.000 102.020 3529.010 ;
+        RECT 279.020 3529.000 282.020 3529.010 ;
+        RECT 459.020 3529.000 462.020 3529.010 ;
+        RECT 639.020 3529.000 642.020 3529.010 ;
+        RECT 819.020 3529.000 822.020 3529.010 ;
+        RECT 999.020 3529.000 1002.020 3529.010 ;
+        RECT 1179.020 3529.000 1182.020 3529.010 ;
+        RECT 1359.020 3529.000 1362.020 3529.010 ;
+        RECT 1539.020 3529.000 1542.020 3529.010 ;
+        RECT 1719.020 3529.000 1722.020 3529.010 ;
+        RECT 1899.020 3529.000 1902.020 3529.010 ;
+        RECT 2079.020 3529.000 2082.020 3529.010 ;
+        RECT 2259.020 3529.000 2262.020 3529.010 ;
+        RECT 2439.020 3529.000 2442.020 3529.010 ;
+        RECT 2619.020 3529.000 2622.020 3529.010 ;
+        RECT 2799.020 3529.000 2802.020 3529.010 ;
+        RECT 2931.300 3529.000 2934.300 3529.010 ;
+        RECT -14.680 3525.990 -11.680 3526.000 ;
+        RECT 99.020 3525.990 102.020 3526.000 ;
+        RECT 279.020 3525.990 282.020 3526.000 ;
+        RECT 459.020 3525.990 462.020 3526.000 ;
+        RECT 639.020 3525.990 642.020 3526.000 ;
+        RECT 819.020 3525.990 822.020 3526.000 ;
+        RECT 999.020 3525.990 1002.020 3526.000 ;
+        RECT 1179.020 3525.990 1182.020 3526.000 ;
+        RECT 1359.020 3525.990 1362.020 3526.000 ;
+        RECT 1539.020 3525.990 1542.020 3526.000 ;
+        RECT 1719.020 3525.990 1722.020 3526.000 ;
+        RECT 1899.020 3525.990 1902.020 3526.000 ;
+        RECT 2079.020 3525.990 2082.020 3526.000 ;
+        RECT 2259.020 3525.990 2262.020 3526.000 ;
+        RECT 2439.020 3525.990 2442.020 3526.000 ;
+        RECT 2619.020 3525.990 2622.020 3526.000 ;
+        RECT 2799.020 3525.990 2802.020 3526.000 ;
+        RECT 2931.300 3525.990 2934.300 3526.000 ;
+        RECT -9.980 3524.300 -6.980 3524.310 ;
+        RECT 9.020 3524.300 12.020 3524.310 ;
+        RECT 189.020 3524.300 192.020 3524.310 ;
+        RECT 369.020 3524.300 372.020 3524.310 ;
+        RECT 549.020 3524.300 552.020 3524.310 ;
+        RECT 729.020 3524.300 732.020 3524.310 ;
+        RECT 909.020 3524.300 912.020 3524.310 ;
+        RECT 1089.020 3524.300 1092.020 3524.310 ;
+        RECT 1269.020 3524.300 1272.020 3524.310 ;
+        RECT 1449.020 3524.300 1452.020 3524.310 ;
+        RECT 1629.020 3524.300 1632.020 3524.310 ;
+        RECT 1809.020 3524.300 1812.020 3524.310 ;
+        RECT 1989.020 3524.300 1992.020 3524.310 ;
+        RECT 2169.020 3524.300 2172.020 3524.310 ;
+        RECT 2349.020 3524.300 2352.020 3524.310 ;
+        RECT 2529.020 3524.300 2532.020 3524.310 ;
+        RECT 2709.020 3524.300 2712.020 3524.310 ;
+        RECT 2889.020 3524.300 2892.020 3524.310 ;
+        RECT 2926.600 3524.300 2929.600 3524.310 ;
+        RECT -9.980 3521.290 -6.980 3521.300 ;
+        RECT 9.020 3521.290 12.020 3521.300 ;
+        RECT 189.020 3521.290 192.020 3521.300 ;
+        RECT 369.020 3521.290 372.020 3521.300 ;
+        RECT 549.020 3521.290 552.020 3521.300 ;
+        RECT 729.020 3521.290 732.020 3521.300 ;
+        RECT 909.020 3521.290 912.020 3521.300 ;
+        RECT 1089.020 3521.290 1092.020 3521.300 ;
+        RECT 1269.020 3521.290 1272.020 3521.300 ;
+        RECT 1449.020 3521.290 1452.020 3521.300 ;
+        RECT 1629.020 3521.290 1632.020 3521.300 ;
+        RECT 1809.020 3521.290 1812.020 3521.300 ;
+        RECT 1989.020 3521.290 1992.020 3521.300 ;
+        RECT 2169.020 3521.290 2172.020 3521.300 ;
+        RECT 2349.020 3521.290 2352.020 3521.300 ;
+        RECT 2529.020 3521.290 2532.020 3521.300 ;
+        RECT 2709.020 3521.290 2712.020 3521.300 ;
+        RECT 2889.020 3521.290 2892.020 3521.300 ;
+        RECT 2926.600 3521.290 2929.600 3521.300 ;
+        RECT 0.000 3492.980 2920.000 3519.700 ;
+        RECT -38.180 3491.380 -35.180 3491.390 ;
+        RECT 2954.800 3491.380 2957.800 3491.390 ;
+        RECT -38.180 3488.370 -35.180 3488.380 ;
+        RECT 2954.800 3488.370 2957.800 3488.380 ;
+        RECT 0.000 3474.980 2920.000 3486.780 ;
+        RECT -28.780 3473.380 -25.780 3473.390 ;
+        RECT 2945.400 3473.380 2948.400 3473.390 ;
+        RECT -28.780 3470.370 -25.780 3470.380 ;
+        RECT 2945.400 3470.370 2948.400 3470.380 ;
+        RECT 0.000 3456.980 2920.000 3468.780 ;
+        RECT -19.380 3455.380 -16.380 3455.390 ;
+        RECT 2936.000 3455.380 2939.000 3455.390 ;
+        RECT -19.380 3452.370 -16.380 3452.380 ;
+        RECT 2936.000 3452.370 2939.000 3452.380 ;
+        RECT 0.000 3438.740 2920.000 3450.780 ;
+        RECT -9.980 3437.140 -6.980 3437.150 ;
+        RECT 2926.600 3437.140 2929.600 3437.150 ;
+        RECT -9.980 3434.130 -6.980 3434.140 ;
+        RECT 2926.600 3434.130 2929.600 3434.140 ;
+        RECT 0.000 3402.980 2920.000 3432.540 ;
+        RECT -42.880 3401.380 -39.880 3401.390 ;
+        RECT 2959.500 3401.380 2962.500 3401.390 ;
+        RECT -42.880 3398.370 -39.880 3398.380 ;
+        RECT 2959.500 3398.370 2962.500 3398.380 ;
+        RECT 0.000 3384.980 2920.000 3396.780 ;
+        RECT -33.480 3383.380 -30.480 3383.390 ;
+        RECT 2950.100 3383.380 2953.100 3383.390 ;
+        RECT -33.480 3380.370 -30.480 3380.380 ;
+        RECT 2950.100 3380.370 2953.100 3380.380 ;
+        RECT 0.000 3366.980 2920.000 3378.780 ;
+        RECT -24.080 3365.380 -21.080 3365.390 ;
+        RECT 2940.700 3365.380 2943.700 3365.390 ;
+        RECT -24.080 3362.370 -21.080 3362.380 ;
+        RECT 2940.700 3362.370 2943.700 3362.380 ;
+        RECT 0.000 3348.740 2920.000 3360.780 ;
+        RECT -14.680 3347.140 -11.680 3347.150 ;
+        RECT 2931.300 3347.140 2934.300 3347.150 ;
+        RECT -14.680 3344.130 -11.680 3344.140 ;
+        RECT 2931.300 3344.130 2934.300 3344.140 ;
+        RECT 0.000 3312.980 2920.000 3342.540 ;
+        RECT -38.180 3311.380 -35.180 3311.390 ;
+        RECT 2954.800 3311.380 2957.800 3311.390 ;
+        RECT -38.180 3308.370 -35.180 3308.380 ;
+        RECT 2954.800 3308.370 2957.800 3308.380 ;
+        RECT 0.000 3294.980 2920.000 3306.780 ;
+        RECT -28.780 3293.380 -25.780 3293.390 ;
+        RECT 2945.400 3293.380 2948.400 3293.390 ;
+        RECT -28.780 3290.370 -25.780 3290.380 ;
+        RECT 2945.400 3290.370 2948.400 3290.380 ;
+        RECT 0.000 3276.980 2920.000 3288.780 ;
+        RECT -19.380 3275.380 -16.380 3275.390 ;
+        RECT 2936.000 3275.380 2939.000 3275.390 ;
+        RECT -19.380 3272.370 -16.380 3272.380 ;
+        RECT 2936.000 3272.370 2939.000 3272.380 ;
+        RECT 0.000 3258.740 2920.000 3270.780 ;
+        RECT -9.980 3257.140 -6.980 3257.150 ;
+        RECT 2926.600 3257.140 2929.600 3257.150 ;
+        RECT -9.980 3254.130 -6.980 3254.140 ;
+        RECT 2926.600 3254.130 2929.600 3254.140 ;
+        RECT 0.000 3222.980 2920.000 3252.540 ;
+        RECT -42.880 3221.380 -39.880 3221.390 ;
+        RECT 2959.500 3221.380 2962.500 3221.390 ;
+        RECT -42.880 3218.370 -39.880 3218.380 ;
+        RECT 2959.500 3218.370 2962.500 3218.380 ;
+        RECT 0.000 3204.980 2920.000 3216.780 ;
+        RECT -33.480 3203.380 -30.480 3203.390 ;
+        RECT 2950.100 3203.380 2953.100 3203.390 ;
+        RECT -33.480 3200.370 -30.480 3200.380 ;
+        RECT 2950.100 3200.370 2953.100 3200.380 ;
+        RECT 0.000 3186.980 2920.000 3198.780 ;
+        RECT -24.080 3185.380 -21.080 3185.390 ;
+        RECT 2940.700 3185.380 2943.700 3185.390 ;
+        RECT -24.080 3182.370 -21.080 3182.380 ;
+        RECT 2940.700 3182.370 2943.700 3182.380 ;
+        RECT 0.000 3168.740 2920.000 3180.780 ;
+        RECT -14.680 3167.140 -11.680 3167.150 ;
+        RECT 2931.300 3167.140 2934.300 3167.150 ;
+        RECT -14.680 3164.130 -11.680 3164.140 ;
+        RECT 2931.300 3164.130 2934.300 3164.140 ;
+        RECT 0.000 3132.980 2920.000 3162.540 ;
+        RECT -38.180 3131.380 -35.180 3131.390 ;
+        RECT 2954.800 3131.380 2957.800 3131.390 ;
+        RECT -38.180 3128.370 -35.180 3128.380 ;
+        RECT 2954.800 3128.370 2957.800 3128.380 ;
+        RECT 0.000 3114.980 2920.000 3126.780 ;
+        RECT -28.780 3113.380 -25.780 3113.390 ;
+        RECT 2945.400 3113.380 2948.400 3113.390 ;
+        RECT -28.780 3110.370 -25.780 3110.380 ;
+        RECT 2945.400 3110.370 2948.400 3110.380 ;
+        RECT 0.000 3096.980 2920.000 3108.780 ;
+        RECT -19.380 3095.380 -16.380 3095.390 ;
+        RECT 2936.000 3095.380 2939.000 3095.390 ;
+        RECT -19.380 3092.370 -16.380 3092.380 ;
+        RECT 2936.000 3092.370 2939.000 3092.380 ;
+        RECT 0.000 3078.740 2920.000 3090.780 ;
+        RECT -9.980 3077.140 -6.980 3077.150 ;
+        RECT 2926.600 3077.140 2929.600 3077.150 ;
+        RECT -9.980 3074.130 -6.980 3074.140 ;
+        RECT 2926.600 3074.130 2929.600 3074.140 ;
+        RECT 0.000 3042.980 2920.000 3072.540 ;
+        RECT -42.880 3041.380 -39.880 3041.390 ;
+        RECT 2959.500 3041.380 2962.500 3041.390 ;
+        RECT -42.880 3038.370 -39.880 3038.380 ;
+        RECT 2959.500 3038.370 2962.500 3038.380 ;
+        RECT 0.000 3024.980 2920.000 3036.780 ;
+        RECT -33.480 3023.380 -30.480 3023.390 ;
+        RECT 2950.100 3023.380 2953.100 3023.390 ;
+        RECT -33.480 3020.370 -30.480 3020.380 ;
+        RECT 2950.100 3020.370 2953.100 3020.380 ;
+        RECT 0.000 3006.980 2920.000 3018.780 ;
+        RECT -24.080 3005.380 -21.080 3005.390 ;
+        RECT 2940.700 3005.380 2943.700 3005.390 ;
+        RECT -24.080 3002.370 -21.080 3002.380 ;
+        RECT 2940.700 3002.370 2943.700 3002.380 ;
+        RECT 0.000 2988.740 2920.000 3000.780 ;
+        RECT -14.680 2987.140 -11.680 2987.150 ;
+        RECT 2931.300 2987.140 2934.300 2987.150 ;
+        RECT -14.680 2984.130 -11.680 2984.140 ;
+        RECT 2931.300 2984.130 2934.300 2984.140 ;
+        RECT 0.000 2952.980 2920.000 2982.540 ;
+        RECT -38.180 2951.380 -35.180 2951.390 ;
+        RECT 2954.800 2951.380 2957.800 2951.390 ;
+        RECT -38.180 2948.370 -35.180 2948.380 ;
+        RECT 2954.800 2948.370 2957.800 2948.380 ;
+        RECT 0.000 2934.980 2920.000 2946.780 ;
+        RECT -28.780 2933.380 -25.780 2933.390 ;
+        RECT 2945.400 2933.380 2948.400 2933.390 ;
+        RECT -28.780 2930.370 -25.780 2930.380 ;
+        RECT 2945.400 2930.370 2948.400 2930.380 ;
+        RECT 0.000 2916.980 2920.000 2928.780 ;
+        RECT -19.380 2915.380 -16.380 2915.390 ;
+        RECT 2936.000 2915.380 2939.000 2915.390 ;
+        RECT -19.380 2912.370 -16.380 2912.380 ;
+        RECT 2936.000 2912.370 2939.000 2912.380 ;
+        RECT 0.000 2898.740 2920.000 2910.780 ;
+        RECT -9.980 2897.140 -6.980 2897.150 ;
+        RECT 2926.600 2897.140 2929.600 2897.150 ;
+        RECT -9.980 2894.130 -6.980 2894.140 ;
+        RECT 2926.600 2894.130 2929.600 2894.140 ;
+        RECT 0.000 2862.980 2920.000 2892.540 ;
+        RECT -42.880 2861.380 -39.880 2861.390 ;
+        RECT 2959.500 2861.380 2962.500 2861.390 ;
+        RECT -42.880 2858.370 -39.880 2858.380 ;
+        RECT 2959.500 2858.370 2962.500 2858.380 ;
+        RECT 0.000 2844.980 2920.000 2856.780 ;
+        RECT -33.480 2843.380 -30.480 2843.390 ;
+        RECT 2950.100 2843.380 2953.100 2843.390 ;
+        RECT -33.480 2840.370 -30.480 2840.380 ;
+        RECT 2950.100 2840.370 2953.100 2840.380 ;
+        RECT 0.000 2826.980 2920.000 2838.780 ;
+        RECT -24.080 2825.380 -21.080 2825.390 ;
+        RECT 2940.700 2825.380 2943.700 2825.390 ;
+        RECT -24.080 2822.370 -21.080 2822.380 ;
+        RECT 2940.700 2822.370 2943.700 2822.380 ;
+        RECT 0.000 2808.740 2920.000 2820.780 ;
+        RECT -14.680 2807.140 -11.680 2807.150 ;
+        RECT 2931.300 2807.140 2934.300 2807.150 ;
+        RECT -14.680 2804.130 -11.680 2804.140 ;
+        RECT 2931.300 2804.130 2934.300 2804.140 ;
+        RECT 0.000 2772.980 2920.000 2802.540 ;
+        RECT -38.180 2771.380 -35.180 2771.390 ;
+        RECT 2954.800 2771.380 2957.800 2771.390 ;
+        RECT -38.180 2768.370 -35.180 2768.380 ;
+        RECT 2954.800 2768.370 2957.800 2768.380 ;
+        RECT 0.000 2754.980 2920.000 2766.780 ;
+        RECT -28.780 2753.380 -25.780 2753.390 ;
+        RECT 2945.400 2753.380 2948.400 2753.390 ;
+        RECT -28.780 2750.370 -25.780 2750.380 ;
+        RECT 2945.400 2750.370 2948.400 2750.380 ;
+        RECT 0.000 2736.980 2920.000 2748.780 ;
+        RECT -19.380 2735.380 -16.380 2735.390 ;
+        RECT 2936.000 2735.380 2939.000 2735.390 ;
+        RECT -19.380 2732.370 -16.380 2732.380 ;
+        RECT 2936.000 2732.370 2939.000 2732.380 ;
+        RECT 0.000 2718.740 2920.000 2730.780 ;
+        RECT -9.980 2717.140 -6.980 2717.150 ;
+        RECT 2926.600 2717.140 2929.600 2717.150 ;
+        RECT -9.980 2714.130 -6.980 2714.140 ;
+        RECT 2926.600 2714.130 2929.600 2714.140 ;
+        RECT 0.000 2682.980 2920.000 2712.540 ;
+        RECT -42.880 2681.380 -39.880 2681.390 ;
+        RECT 2959.500 2681.380 2962.500 2681.390 ;
+        RECT -42.880 2678.370 -39.880 2678.380 ;
+        RECT 2959.500 2678.370 2962.500 2678.380 ;
+        RECT 0.000 2664.980 2920.000 2676.780 ;
+        RECT -33.480 2663.380 -30.480 2663.390 ;
+        RECT 2950.100 2663.380 2953.100 2663.390 ;
+        RECT -33.480 2660.370 -30.480 2660.380 ;
+        RECT 2950.100 2660.370 2953.100 2660.380 ;
+        RECT 0.000 2646.980 2920.000 2658.780 ;
+        RECT -24.080 2645.380 -21.080 2645.390 ;
+        RECT 2940.700 2645.380 2943.700 2645.390 ;
+        RECT -24.080 2642.370 -21.080 2642.380 ;
+        RECT 2940.700 2642.370 2943.700 2642.380 ;
+        RECT 0.000 2628.740 2920.000 2640.780 ;
+        RECT -14.680 2627.140 -11.680 2627.150 ;
+        RECT 2931.300 2627.140 2934.300 2627.150 ;
+        RECT -14.680 2624.130 -11.680 2624.140 ;
+        RECT 2931.300 2624.130 2934.300 2624.140 ;
+        RECT 0.000 2592.980 2920.000 2622.540 ;
+        RECT -38.180 2591.380 -35.180 2591.390 ;
+        RECT 2954.800 2591.380 2957.800 2591.390 ;
+        RECT -38.180 2588.370 -35.180 2588.380 ;
+        RECT 2954.800 2588.370 2957.800 2588.380 ;
+        RECT 0.000 2574.980 2920.000 2586.780 ;
+        RECT -28.780 2573.380 -25.780 2573.390 ;
+        RECT 2945.400 2573.380 2948.400 2573.390 ;
+        RECT -28.780 2570.370 -25.780 2570.380 ;
+        RECT 2945.400 2570.370 2948.400 2570.380 ;
+        RECT 0.000 2556.980 2920.000 2568.780 ;
+        RECT -19.380 2555.380 -16.380 2555.390 ;
+        RECT 2936.000 2555.380 2939.000 2555.390 ;
+        RECT -19.380 2552.370 -16.380 2552.380 ;
+        RECT 2936.000 2552.370 2939.000 2552.380 ;
+        RECT 0.000 2538.740 2920.000 2550.780 ;
+        RECT -9.980 2537.140 -6.980 2537.150 ;
+        RECT 2926.600 2537.140 2929.600 2537.150 ;
+        RECT -9.980 2534.130 -6.980 2534.140 ;
+        RECT 2926.600 2534.130 2929.600 2534.140 ;
+        RECT 0.000 2502.980 2920.000 2532.540 ;
+        RECT -42.880 2501.380 -39.880 2501.390 ;
+        RECT 2959.500 2501.380 2962.500 2501.390 ;
+        RECT -42.880 2498.370 -39.880 2498.380 ;
+        RECT 2959.500 2498.370 2962.500 2498.380 ;
+        RECT 0.000 2484.980 2920.000 2496.780 ;
+        RECT -33.480 2483.380 -30.480 2483.390 ;
+        RECT 2950.100 2483.380 2953.100 2483.390 ;
+        RECT -33.480 2480.370 -30.480 2480.380 ;
+        RECT 2950.100 2480.370 2953.100 2480.380 ;
+        RECT 0.000 2466.980 2920.000 2478.780 ;
+        RECT -24.080 2465.380 -21.080 2465.390 ;
+        RECT 2940.700 2465.380 2943.700 2465.390 ;
+        RECT -24.080 2462.370 -21.080 2462.380 ;
+        RECT 2940.700 2462.370 2943.700 2462.380 ;
+        RECT 0.000 2448.740 2920.000 2460.780 ;
+        RECT -14.680 2447.140 -11.680 2447.150 ;
+        RECT 2931.300 2447.140 2934.300 2447.150 ;
+        RECT -14.680 2444.130 -11.680 2444.140 ;
+        RECT 2931.300 2444.130 2934.300 2444.140 ;
+        RECT 0.000 2412.980 2920.000 2442.540 ;
+        RECT -38.180 2411.380 -35.180 2411.390 ;
+        RECT 2954.800 2411.380 2957.800 2411.390 ;
+        RECT -38.180 2408.370 -35.180 2408.380 ;
+        RECT 2954.800 2408.370 2957.800 2408.380 ;
+        RECT 0.000 2394.980 2920.000 2406.780 ;
+        RECT -28.780 2393.380 -25.780 2393.390 ;
+        RECT 2945.400 2393.380 2948.400 2393.390 ;
+        RECT -28.780 2390.370 -25.780 2390.380 ;
+        RECT 2945.400 2390.370 2948.400 2390.380 ;
+        RECT 0.000 2376.980 2920.000 2388.780 ;
+        RECT -19.380 2375.380 -16.380 2375.390 ;
+        RECT 2936.000 2375.380 2939.000 2375.390 ;
+        RECT -19.380 2372.370 -16.380 2372.380 ;
+        RECT 2936.000 2372.370 2939.000 2372.380 ;
+        RECT 0.000 2358.740 2920.000 2370.780 ;
+        RECT -9.980 2357.140 -6.980 2357.150 ;
+        RECT 2926.600 2357.140 2929.600 2357.150 ;
+        RECT -9.980 2354.130 -6.980 2354.140 ;
+        RECT 2926.600 2354.130 2929.600 2354.140 ;
+        RECT 0.000 2322.980 2920.000 2352.540 ;
+        RECT -42.880 2321.380 -39.880 2321.390 ;
+        RECT 2959.500 2321.380 2962.500 2321.390 ;
+        RECT -42.880 2318.370 -39.880 2318.380 ;
+        RECT 2959.500 2318.370 2962.500 2318.380 ;
+        RECT 0.000 2304.980 2920.000 2316.780 ;
+        RECT -33.480 2303.380 -30.480 2303.390 ;
+        RECT 2950.100 2303.380 2953.100 2303.390 ;
+        RECT -33.480 2300.370 -30.480 2300.380 ;
+        RECT 2950.100 2300.370 2953.100 2300.380 ;
+        RECT 0.000 2286.980 2920.000 2298.780 ;
+        RECT -24.080 2285.380 -21.080 2285.390 ;
+        RECT 2940.700 2285.380 2943.700 2285.390 ;
+        RECT -24.080 2282.370 -21.080 2282.380 ;
+        RECT 2940.700 2282.370 2943.700 2282.380 ;
+        RECT 0.000 2268.740 2920.000 2280.780 ;
+        RECT -14.680 2267.140 -11.680 2267.150 ;
+        RECT 2931.300 2267.140 2934.300 2267.150 ;
+        RECT -14.680 2264.130 -11.680 2264.140 ;
+        RECT 2931.300 2264.130 2934.300 2264.140 ;
+        RECT 0.000 2232.980 2920.000 2262.540 ;
+        RECT -38.180 2231.380 -35.180 2231.390 ;
+        RECT 2954.800 2231.380 2957.800 2231.390 ;
+        RECT -38.180 2228.370 -35.180 2228.380 ;
+        RECT 2954.800 2228.370 2957.800 2228.380 ;
+        RECT 0.000 2214.980 2920.000 2226.780 ;
+        RECT -28.780 2213.380 -25.780 2213.390 ;
+        RECT 2945.400 2213.380 2948.400 2213.390 ;
+        RECT -28.780 2210.370 -25.780 2210.380 ;
+        RECT 2945.400 2210.370 2948.400 2210.380 ;
+        RECT 0.000 2196.980 2920.000 2208.780 ;
+        RECT -19.380 2195.380 -16.380 2195.390 ;
+        RECT 2936.000 2195.380 2939.000 2195.390 ;
+        RECT -19.380 2192.370 -16.380 2192.380 ;
+        RECT 2936.000 2192.370 2939.000 2192.380 ;
+        RECT 0.000 2178.740 2920.000 2190.780 ;
+        RECT -9.980 2177.140 -6.980 2177.150 ;
+        RECT 2926.600 2177.140 2929.600 2177.150 ;
+        RECT -9.980 2174.130 -6.980 2174.140 ;
+        RECT 2926.600 2174.130 2929.600 2174.140 ;
+        RECT 0.000 2142.980 2920.000 2172.540 ;
+        RECT -42.880 2141.380 -39.880 2141.390 ;
+        RECT 2959.500 2141.380 2962.500 2141.390 ;
+        RECT -42.880 2138.370 -39.880 2138.380 ;
+        RECT 2959.500 2138.370 2962.500 2138.380 ;
+        RECT 0.000 2124.980 2920.000 2136.780 ;
+        RECT -33.480 2123.380 -30.480 2123.390 ;
+        RECT 2950.100 2123.380 2953.100 2123.390 ;
+        RECT -33.480 2120.370 -30.480 2120.380 ;
+        RECT 2950.100 2120.370 2953.100 2120.380 ;
+        RECT 0.000 2106.980 2920.000 2118.780 ;
+        RECT -24.080 2105.380 -21.080 2105.390 ;
+        RECT 2940.700 2105.380 2943.700 2105.390 ;
+        RECT -24.080 2102.370 -21.080 2102.380 ;
+        RECT 2940.700 2102.370 2943.700 2102.380 ;
+        RECT 0.000 2088.740 2920.000 2100.780 ;
+        RECT -14.680 2087.140 -11.680 2087.150 ;
+        RECT 2931.300 2087.140 2934.300 2087.150 ;
+        RECT -14.680 2084.130 -11.680 2084.140 ;
+        RECT 2931.300 2084.130 2934.300 2084.140 ;
+        RECT 0.000 2052.980 2920.000 2082.540 ;
+        RECT -38.180 2051.380 -35.180 2051.390 ;
+        RECT 2954.800 2051.380 2957.800 2051.390 ;
+        RECT -38.180 2048.370 -35.180 2048.380 ;
+        RECT 2954.800 2048.370 2957.800 2048.380 ;
+        RECT 0.000 2034.980 2920.000 2046.780 ;
+        RECT -28.780 2033.380 -25.780 2033.390 ;
+        RECT 2945.400 2033.380 2948.400 2033.390 ;
+        RECT -28.780 2030.370 -25.780 2030.380 ;
+        RECT 2945.400 2030.370 2948.400 2030.380 ;
+        RECT 0.000 2016.980 2920.000 2028.780 ;
+        RECT -19.380 2015.380 -16.380 2015.390 ;
+        RECT 2936.000 2015.380 2939.000 2015.390 ;
+        RECT -19.380 2012.370 -16.380 2012.380 ;
+        RECT 2936.000 2012.370 2939.000 2012.380 ;
+        RECT 0.000 1998.740 2920.000 2010.780 ;
+        RECT -9.980 1997.140 -6.980 1997.150 ;
+        RECT 2926.600 1997.140 2929.600 1997.150 ;
+        RECT -9.980 1994.130 -6.980 1994.140 ;
+        RECT 2926.600 1994.130 2929.600 1994.140 ;
+        RECT 0.000 1962.980 2920.000 1992.540 ;
+        RECT -42.880 1961.380 -39.880 1961.390 ;
+        RECT 2959.500 1961.380 2962.500 1961.390 ;
+        RECT -42.880 1958.370 -39.880 1958.380 ;
+        RECT 2959.500 1958.370 2962.500 1958.380 ;
+        RECT 0.000 1944.980 2920.000 1956.780 ;
+        RECT -33.480 1943.380 -30.480 1943.390 ;
+        RECT 2950.100 1943.380 2953.100 1943.390 ;
+        RECT -33.480 1940.370 -30.480 1940.380 ;
+        RECT 2950.100 1940.370 2953.100 1940.380 ;
+        RECT 0.000 1926.980 2920.000 1938.780 ;
+        RECT -24.080 1925.380 -21.080 1925.390 ;
+        RECT 2940.700 1925.380 2943.700 1925.390 ;
+        RECT -24.080 1922.370 -21.080 1922.380 ;
+        RECT 2940.700 1922.370 2943.700 1922.380 ;
+        RECT 0.000 1908.740 2920.000 1920.780 ;
+        RECT -14.680 1907.140 -11.680 1907.150 ;
+        RECT 2931.300 1907.140 2934.300 1907.150 ;
+        RECT -14.680 1904.130 -11.680 1904.140 ;
+        RECT 2931.300 1904.130 2934.300 1904.140 ;
+        RECT 0.000 1872.980 2920.000 1902.540 ;
+        RECT -38.180 1871.380 -35.180 1871.390 ;
+        RECT 2954.800 1871.380 2957.800 1871.390 ;
+        RECT -38.180 1868.370 -35.180 1868.380 ;
+        RECT 2954.800 1868.370 2957.800 1868.380 ;
+        RECT 0.000 1854.980 2920.000 1866.780 ;
+        RECT -28.780 1853.380 -25.780 1853.390 ;
+        RECT 2945.400 1853.380 2948.400 1853.390 ;
+        RECT -28.780 1850.370 -25.780 1850.380 ;
+        RECT 2945.400 1850.370 2948.400 1850.380 ;
+        RECT 0.000 1836.980 2920.000 1848.780 ;
+        RECT -19.380 1835.380 -16.380 1835.390 ;
+        RECT 2936.000 1835.380 2939.000 1835.390 ;
+        RECT -19.380 1832.370 -16.380 1832.380 ;
+        RECT 2936.000 1832.370 2939.000 1832.380 ;
+        RECT 0.000 1818.740 2920.000 1830.780 ;
+        RECT -9.980 1817.140 -6.980 1817.150 ;
+        RECT 2926.600 1817.140 2929.600 1817.150 ;
+        RECT -9.980 1814.130 -6.980 1814.140 ;
+        RECT 2926.600 1814.130 2929.600 1814.140 ;
+        RECT 0.000 1782.980 2920.000 1812.540 ;
+        RECT -42.880 1781.380 -39.880 1781.390 ;
+        RECT 2959.500 1781.380 2962.500 1781.390 ;
+        RECT -42.880 1778.370 -39.880 1778.380 ;
+        RECT 2959.500 1778.370 2962.500 1778.380 ;
+        RECT 0.000 1764.980 2920.000 1776.780 ;
+        RECT -33.480 1763.380 -30.480 1763.390 ;
+        RECT 2950.100 1763.380 2953.100 1763.390 ;
+        RECT -33.480 1760.370 -30.480 1760.380 ;
+        RECT 2950.100 1760.370 2953.100 1760.380 ;
+        RECT 0.000 1746.980 2920.000 1758.780 ;
+        RECT -24.080 1745.380 -21.080 1745.390 ;
+        RECT 2940.700 1745.380 2943.700 1745.390 ;
+        RECT -24.080 1742.370 -21.080 1742.380 ;
+        RECT 2940.700 1742.370 2943.700 1742.380 ;
+        RECT 0.000 1728.740 2920.000 1740.780 ;
+        RECT -14.680 1727.140 -11.680 1727.150 ;
+        RECT 2931.300 1727.140 2934.300 1727.150 ;
+        RECT -14.680 1724.130 -11.680 1724.140 ;
+        RECT 2931.300 1724.130 2934.300 1724.140 ;
+        RECT 0.000 1692.980 2920.000 1722.540 ;
+        RECT -38.180 1691.380 -35.180 1691.390 ;
+        RECT 2954.800 1691.380 2957.800 1691.390 ;
+        RECT -38.180 1688.370 -35.180 1688.380 ;
+        RECT 2954.800 1688.370 2957.800 1688.380 ;
+        RECT 0.000 1674.980 2920.000 1686.780 ;
+        RECT -28.780 1673.380 -25.780 1673.390 ;
+        RECT 2945.400 1673.380 2948.400 1673.390 ;
+        RECT -28.780 1670.370 -25.780 1670.380 ;
+        RECT 2945.400 1670.370 2948.400 1670.380 ;
+        RECT 0.000 1656.980 2920.000 1668.780 ;
+        RECT -19.380 1655.380 -16.380 1655.390 ;
+        RECT 2936.000 1655.380 2939.000 1655.390 ;
+        RECT -19.380 1652.370 -16.380 1652.380 ;
+        RECT 2936.000 1652.370 2939.000 1652.380 ;
+        RECT 0.000 1638.740 2920.000 1650.780 ;
+        RECT -9.980 1637.140 -6.980 1637.150 ;
+        RECT 2926.600 1637.140 2929.600 1637.150 ;
+        RECT -9.980 1634.130 -6.980 1634.140 ;
+        RECT 2926.600 1634.130 2929.600 1634.140 ;
+        RECT 0.000 1602.980 2920.000 1632.540 ;
+        RECT -42.880 1601.380 -39.880 1601.390 ;
+        RECT 2959.500 1601.380 2962.500 1601.390 ;
+        RECT -42.880 1598.370 -39.880 1598.380 ;
+        RECT 2959.500 1598.370 2962.500 1598.380 ;
+        RECT 0.000 1584.980 2920.000 1596.780 ;
+        RECT -33.480 1583.380 -30.480 1583.390 ;
+        RECT 2950.100 1583.380 2953.100 1583.390 ;
+        RECT -33.480 1580.370 -30.480 1580.380 ;
+        RECT 2950.100 1580.370 2953.100 1580.380 ;
+        RECT 0.000 1566.980 2920.000 1578.780 ;
+        RECT -24.080 1565.380 -21.080 1565.390 ;
+        RECT 2940.700 1565.380 2943.700 1565.390 ;
+        RECT -24.080 1562.370 -21.080 1562.380 ;
+        RECT 2940.700 1562.370 2943.700 1562.380 ;
+        RECT 0.000 1548.740 2920.000 1560.780 ;
+        RECT -14.680 1547.140 -11.680 1547.150 ;
+        RECT 2931.300 1547.140 2934.300 1547.150 ;
+        RECT -14.680 1544.130 -11.680 1544.140 ;
+        RECT 2931.300 1544.130 2934.300 1544.140 ;
+        RECT 0.000 1512.980 2920.000 1542.540 ;
+        RECT -38.180 1511.380 -35.180 1511.390 ;
+        RECT 2954.800 1511.380 2957.800 1511.390 ;
+        RECT -38.180 1508.370 -35.180 1508.380 ;
+        RECT 2954.800 1508.370 2957.800 1508.380 ;
+        RECT 0.000 1494.980 2920.000 1506.780 ;
+        RECT -28.780 1493.380 -25.780 1493.390 ;
+        RECT 2945.400 1493.380 2948.400 1493.390 ;
+        RECT -28.780 1490.370 -25.780 1490.380 ;
+        RECT 2945.400 1490.370 2948.400 1490.380 ;
+        RECT 0.000 1476.980 2920.000 1488.780 ;
+        RECT -19.380 1475.380 -16.380 1475.390 ;
+        RECT 2936.000 1475.380 2939.000 1475.390 ;
+        RECT -19.380 1472.370 -16.380 1472.380 ;
+        RECT 2936.000 1472.370 2939.000 1472.380 ;
+        RECT 0.000 1458.740 2920.000 1470.780 ;
+        RECT -9.980 1457.140 -6.980 1457.150 ;
+        RECT 2926.600 1457.140 2929.600 1457.150 ;
+        RECT -9.980 1454.130 -6.980 1454.140 ;
+        RECT 2926.600 1454.130 2929.600 1454.140 ;
+        RECT 0.000 1422.980 2920.000 1452.540 ;
+        RECT -42.880 1421.380 -39.880 1421.390 ;
+        RECT 2959.500 1421.380 2962.500 1421.390 ;
+        RECT -42.880 1418.370 -39.880 1418.380 ;
+        RECT 2959.500 1418.370 2962.500 1418.380 ;
+        RECT 0.000 1404.980 2920.000 1416.780 ;
+        RECT -33.480 1403.380 -30.480 1403.390 ;
+        RECT 2950.100 1403.380 2953.100 1403.390 ;
+        RECT -33.480 1400.370 -30.480 1400.380 ;
+        RECT 2950.100 1400.370 2953.100 1400.380 ;
+        RECT 0.000 1386.980 2920.000 1398.780 ;
+        RECT -24.080 1385.380 -21.080 1385.390 ;
+        RECT 2940.700 1385.380 2943.700 1385.390 ;
+        RECT -24.080 1382.370 -21.080 1382.380 ;
+        RECT 2940.700 1382.370 2943.700 1382.380 ;
+        RECT 0.000 1368.740 2920.000 1380.780 ;
+        RECT -14.680 1367.140 -11.680 1367.150 ;
+        RECT 2931.300 1367.140 2934.300 1367.150 ;
+        RECT -14.680 1364.130 -11.680 1364.140 ;
+        RECT 2931.300 1364.130 2934.300 1364.140 ;
+        RECT 0.000 1332.980 2920.000 1362.540 ;
+        RECT -38.180 1331.380 -35.180 1331.390 ;
+        RECT 2954.800 1331.380 2957.800 1331.390 ;
+        RECT -38.180 1328.370 -35.180 1328.380 ;
+        RECT 2954.800 1328.370 2957.800 1328.380 ;
+        RECT 0.000 1314.980 2920.000 1326.780 ;
+        RECT -28.780 1313.380 -25.780 1313.390 ;
+        RECT 2945.400 1313.380 2948.400 1313.390 ;
+        RECT -28.780 1310.370 -25.780 1310.380 ;
+        RECT 2945.400 1310.370 2948.400 1310.380 ;
+        RECT 0.000 1296.980 2920.000 1308.780 ;
+        RECT -19.380 1295.380 -16.380 1295.390 ;
+        RECT 2936.000 1295.380 2939.000 1295.390 ;
+        RECT -19.380 1292.370 -16.380 1292.380 ;
+        RECT 2936.000 1292.370 2939.000 1292.380 ;
+        RECT 0.000 1278.740 2920.000 1290.780 ;
+        RECT -9.980 1277.140 -6.980 1277.150 ;
+        RECT 2926.600 1277.140 2929.600 1277.150 ;
+        RECT -9.980 1274.130 -6.980 1274.140 ;
+        RECT 2926.600 1274.130 2929.600 1274.140 ;
+        RECT 0.000 1242.980 2920.000 1272.540 ;
+        RECT -42.880 1241.380 -39.880 1241.390 ;
+        RECT 2959.500 1241.380 2962.500 1241.390 ;
+        RECT -42.880 1238.370 -39.880 1238.380 ;
+        RECT 2959.500 1238.370 2962.500 1238.380 ;
+        RECT 0.000 1224.980 2920.000 1236.780 ;
+        RECT -33.480 1223.380 -30.480 1223.390 ;
+        RECT 2950.100 1223.380 2953.100 1223.390 ;
+        RECT -33.480 1220.370 -30.480 1220.380 ;
+        RECT 2950.100 1220.370 2953.100 1220.380 ;
+        RECT 0.000 1206.980 2920.000 1218.780 ;
+        RECT -24.080 1205.380 -21.080 1205.390 ;
+        RECT 2940.700 1205.380 2943.700 1205.390 ;
+        RECT -24.080 1202.370 -21.080 1202.380 ;
+        RECT 2940.700 1202.370 2943.700 1202.380 ;
+        RECT 0.000 1188.740 2920.000 1200.780 ;
+        RECT -14.680 1187.140 -11.680 1187.150 ;
+        RECT 2931.300 1187.140 2934.300 1187.150 ;
+        RECT -14.680 1184.130 -11.680 1184.140 ;
+        RECT 2931.300 1184.130 2934.300 1184.140 ;
+        RECT 0.000 1152.980 2920.000 1182.540 ;
+        RECT -38.180 1151.380 -35.180 1151.390 ;
+        RECT 2954.800 1151.380 2957.800 1151.390 ;
+        RECT -38.180 1148.370 -35.180 1148.380 ;
+        RECT 2954.800 1148.370 2957.800 1148.380 ;
+        RECT 0.000 1134.980 2920.000 1146.780 ;
+        RECT -28.780 1133.380 -25.780 1133.390 ;
+        RECT 2945.400 1133.380 2948.400 1133.390 ;
+        RECT -28.780 1130.370 -25.780 1130.380 ;
+        RECT 2945.400 1130.370 2948.400 1130.380 ;
+        RECT 0.000 1116.980 2920.000 1128.780 ;
+        RECT -19.380 1115.380 -16.380 1115.390 ;
+        RECT 2936.000 1115.380 2939.000 1115.390 ;
+        RECT -19.380 1112.370 -16.380 1112.380 ;
+        RECT 2936.000 1112.370 2939.000 1112.380 ;
+        RECT 0.000 1098.740 2920.000 1110.780 ;
+        RECT -9.980 1097.140 -6.980 1097.150 ;
+        RECT 2926.600 1097.140 2929.600 1097.150 ;
+        RECT -9.980 1094.130 -6.980 1094.140 ;
+        RECT 2926.600 1094.130 2929.600 1094.140 ;
+        RECT 0.000 1062.980 2920.000 1092.540 ;
+        RECT -42.880 1061.380 -39.880 1061.390 ;
+        RECT 2959.500 1061.380 2962.500 1061.390 ;
+        RECT -42.880 1058.370 -39.880 1058.380 ;
+        RECT 2959.500 1058.370 2962.500 1058.380 ;
+        RECT 0.000 1044.980 2920.000 1056.780 ;
+        RECT -33.480 1043.380 -30.480 1043.390 ;
+        RECT 2950.100 1043.380 2953.100 1043.390 ;
+        RECT -33.480 1040.370 -30.480 1040.380 ;
+        RECT 2950.100 1040.370 2953.100 1040.380 ;
+        RECT 0.000 1026.980 2920.000 1038.780 ;
+        RECT -24.080 1025.380 -21.080 1025.390 ;
+        RECT 2940.700 1025.380 2943.700 1025.390 ;
+        RECT -24.080 1022.370 -21.080 1022.380 ;
+        RECT 2940.700 1022.370 2943.700 1022.380 ;
+        RECT 0.000 1008.740 2920.000 1020.780 ;
+        RECT -14.680 1007.140 -11.680 1007.150 ;
+        RECT 2931.300 1007.140 2934.300 1007.150 ;
+        RECT -14.680 1004.130 -11.680 1004.140 ;
+        RECT 2931.300 1004.130 2934.300 1004.140 ;
+        RECT 0.000 972.980 2920.000 1002.540 ;
+        RECT -38.180 971.380 -35.180 971.390 ;
+        RECT 2954.800 971.380 2957.800 971.390 ;
+        RECT -38.180 968.370 -35.180 968.380 ;
+        RECT 2954.800 968.370 2957.800 968.380 ;
+        RECT 0.000 954.980 2920.000 966.780 ;
+        RECT -28.780 953.380 -25.780 953.390 ;
+        RECT 2945.400 953.380 2948.400 953.390 ;
+        RECT -28.780 950.370 -25.780 950.380 ;
+        RECT 2945.400 950.370 2948.400 950.380 ;
+        RECT 0.000 936.980 2920.000 948.780 ;
+        RECT -19.380 935.380 -16.380 935.390 ;
+        RECT 2936.000 935.380 2939.000 935.390 ;
+        RECT -19.380 932.370 -16.380 932.380 ;
+        RECT 2936.000 932.370 2939.000 932.380 ;
+        RECT 0.000 918.740 2920.000 930.780 ;
+        RECT -9.980 917.140 -6.980 917.150 ;
+        RECT 2926.600 917.140 2929.600 917.150 ;
+        RECT -9.980 914.130 -6.980 914.140 ;
+        RECT 2926.600 914.130 2929.600 914.140 ;
+        RECT 0.000 882.980 2920.000 912.540 ;
+        RECT -42.880 881.380 -39.880 881.390 ;
+        RECT 2959.500 881.380 2962.500 881.390 ;
+        RECT -42.880 878.370 -39.880 878.380 ;
+        RECT 2959.500 878.370 2962.500 878.380 ;
+        RECT 0.000 864.980 2920.000 876.780 ;
+        RECT -33.480 863.380 -30.480 863.390 ;
+        RECT 2950.100 863.380 2953.100 863.390 ;
+        RECT -33.480 860.370 -30.480 860.380 ;
+        RECT 2950.100 860.370 2953.100 860.380 ;
+        RECT 0.000 846.980 2920.000 858.780 ;
+        RECT -24.080 845.380 -21.080 845.390 ;
+        RECT 2940.700 845.380 2943.700 845.390 ;
+        RECT -24.080 842.370 -21.080 842.380 ;
+        RECT 2940.700 842.370 2943.700 842.380 ;
+        RECT 0.000 828.740 2920.000 840.780 ;
+        RECT -14.680 827.140 -11.680 827.150 ;
+        RECT 2931.300 827.140 2934.300 827.150 ;
+        RECT -14.680 824.130 -11.680 824.140 ;
+        RECT 2931.300 824.130 2934.300 824.140 ;
+        RECT 0.000 792.980 2920.000 822.540 ;
+        RECT -38.180 791.380 -35.180 791.390 ;
+        RECT 2954.800 791.380 2957.800 791.390 ;
+        RECT -38.180 788.370 -35.180 788.380 ;
+        RECT 2954.800 788.370 2957.800 788.380 ;
+        RECT 0.000 774.980 2920.000 786.780 ;
+        RECT -28.780 773.380 -25.780 773.390 ;
+        RECT 2945.400 773.380 2948.400 773.390 ;
+        RECT -28.780 770.370 -25.780 770.380 ;
+        RECT 2945.400 770.370 2948.400 770.380 ;
+        RECT 0.000 756.980 2920.000 768.780 ;
+        RECT -19.380 755.380 -16.380 755.390 ;
+        RECT 2936.000 755.380 2939.000 755.390 ;
+        RECT -19.380 752.370 -16.380 752.380 ;
+        RECT 2936.000 752.370 2939.000 752.380 ;
+        RECT 0.000 738.740 2920.000 750.780 ;
+        RECT -9.980 737.140 -6.980 737.150 ;
+        RECT 2926.600 737.140 2929.600 737.150 ;
+        RECT -9.980 734.130 -6.980 734.140 ;
+        RECT 2926.600 734.130 2929.600 734.140 ;
+        RECT 0.000 702.980 2920.000 732.540 ;
+        RECT -42.880 701.380 -39.880 701.390 ;
+        RECT 2959.500 701.380 2962.500 701.390 ;
+        RECT -42.880 698.370 -39.880 698.380 ;
+        RECT 2959.500 698.370 2962.500 698.380 ;
+        RECT 0.000 684.980 2920.000 696.780 ;
+        RECT -33.480 683.380 -30.480 683.390 ;
+        RECT 2950.100 683.380 2953.100 683.390 ;
+        RECT -33.480 680.370 -30.480 680.380 ;
+        RECT 2950.100 680.370 2953.100 680.380 ;
+        RECT 0.000 666.980 2920.000 678.780 ;
+        RECT -24.080 665.380 -21.080 665.390 ;
+        RECT 2940.700 665.380 2943.700 665.390 ;
+        RECT -24.080 662.370 -21.080 662.380 ;
+        RECT 2940.700 662.370 2943.700 662.380 ;
+        RECT 0.000 648.740 2920.000 660.780 ;
+        RECT -14.680 647.140 -11.680 647.150 ;
+        RECT 2931.300 647.140 2934.300 647.150 ;
+        RECT -14.680 644.130 -11.680 644.140 ;
+        RECT 2931.300 644.130 2934.300 644.140 ;
+        RECT 0.000 612.980 2920.000 642.540 ;
+        RECT -38.180 611.380 -35.180 611.390 ;
+        RECT 2954.800 611.380 2957.800 611.390 ;
+        RECT -38.180 608.370 -35.180 608.380 ;
+        RECT 2954.800 608.370 2957.800 608.380 ;
+        RECT 0.000 594.980 2920.000 606.780 ;
+        RECT -28.780 593.380 -25.780 593.390 ;
+        RECT 2945.400 593.380 2948.400 593.390 ;
+        RECT -28.780 590.370 -25.780 590.380 ;
+        RECT 2945.400 590.370 2948.400 590.380 ;
+        RECT 0.000 576.980 2920.000 588.780 ;
+        RECT -19.380 575.380 -16.380 575.390 ;
+        RECT 2936.000 575.380 2939.000 575.390 ;
+        RECT -19.380 572.370 -16.380 572.380 ;
+        RECT 2936.000 572.370 2939.000 572.380 ;
+        RECT 0.000 558.740 2920.000 570.780 ;
+        RECT -9.980 557.140 -6.980 557.150 ;
+        RECT 2926.600 557.140 2929.600 557.150 ;
+        RECT -9.980 554.130 -6.980 554.140 ;
+        RECT 2926.600 554.130 2929.600 554.140 ;
+        RECT 0.000 522.980 2920.000 552.540 ;
+        RECT -42.880 521.380 -39.880 521.390 ;
+        RECT 2959.500 521.380 2962.500 521.390 ;
+        RECT -42.880 518.370 -39.880 518.380 ;
+        RECT 2959.500 518.370 2962.500 518.380 ;
+        RECT 0.000 504.980 2920.000 516.780 ;
+        RECT -33.480 503.380 -30.480 503.390 ;
+        RECT 2950.100 503.380 2953.100 503.390 ;
+        RECT -33.480 500.370 -30.480 500.380 ;
+        RECT 2950.100 500.370 2953.100 500.380 ;
+        RECT 0.000 486.980 2920.000 498.780 ;
+        RECT -24.080 485.380 -21.080 485.390 ;
+        RECT 2940.700 485.380 2943.700 485.390 ;
+        RECT -24.080 482.370 -21.080 482.380 ;
+        RECT 2940.700 482.370 2943.700 482.380 ;
+        RECT 0.000 468.740 2920.000 480.780 ;
+        RECT -14.680 467.140 -11.680 467.150 ;
+        RECT 2931.300 467.140 2934.300 467.150 ;
+        RECT -14.680 464.130 -11.680 464.140 ;
+        RECT 2931.300 464.130 2934.300 464.140 ;
+        RECT 0.000 432.980 2920.000 462.540 ;
+        RECT -38.180 431.380 -35.180 431.390 ;
+        RECT 2954.800 431.380 2957.800 431.390 ;
+        RECT -38.180 428.370 -35.180 428.380 ;
+        RECT 2954.800 428.370 2957.800 428.380 ;
+        RECT 0.000 414.980 2920.000 426.780 ;
+        RECT -28.780 413.380 -25.780 413.390 ;
+        RECT 2945.400 413.380 2948.400 413.390 ;
+        RECT -28.780 410.370 -25.780 410.380 ;
+        RECT 2945.400 410.370 2948.400 410.380 ;
+        RECT 0.000 396.980 2920.000 408.780 ;
+        RECT -19.380 395.380 -16.380 395.390 ;
+        RECT 2936.000 395.380 2939.000 395.390 ;
+        RECT -19.380 392.370 -16.380 392.380 ;
+        RECT 2936.000 392.370 2939.000 392.380 ;
+        RECT 0.000 378.740 2920.000 390.780 ;
+        RECT -9.980 377.140 -6.980 377.150 ;
+        RECT 2926.600 377.140 2929.600 377.150 ;
+        RECT -9.980 374.130 -6.980 374.140 ;
+        RECT 2926.600 374.130 2929.600 374.140 ;
+        RECT 0.000 342.980 2920.000 372.540 ;
+        RECT -42.880 341.380 -39.880 341.390 ;
+        RECT 2959.500 341.380 2962.500 341.390 ;
+        RECT -42.880 338.370 -39.880 338.380 ;
+        RECT 2959.500 338.370 2962.500 338.380 ;
+        RECT 0.000 324.980 2920.000 336.780 ;
+        RECT -33.480 323.380 -30.480 323.390 ;
+        RECT 2950.100 323.380 2953.100 323.390 ;
+        RECT -33.480 320.370 -30.480 320.380 ;
+        RECT 2950.100 320.370 2953.100 320.380 ;
+        RECT 0.000 306.980 2920.000 318.780 ;
+        RECT -24.080 305.380 -21.080 305.390 ;
+        RECT 2940.700 305.380 2943.700 305.390 ;
+        RECT -24.080 302.370 -21.080 302.380 ;
+        RECT 2940.700 302.370 2943.700 302.380 ;
+        RECT 0.000 288.740 2920.000 300.780 ;
+        RECT -14.680 287.140 -11.680 287.150 ;
+        RECT 2931.300 287.140 2934.300 287.150 ;
+        RECT -14.680 284.130 -11.680 284.140 ;
+        RECT 2931.300 284.130 2934.300 284.140 ;
+        RECT 0.000 252.980 2920.000 282.540 ;
+        RECT -38.180 251.380 -35.180 251.390 ;
+        RECT 2954.800 251.380 2957.800 251.390 ;
+        RECT -38.180 248.370 -35.180 248.380 ;
+        RECT 2954.800 248.370 2957.800 248.380 ;
+        RECT 0.000 234.980 2920.000 246.780 ;
+        RECT -28.780 233.380 -25.780 233.390 ;
+        RECT 2945.400 233.380 2948.400 233.390 ;
+        RECT -28.780 230.370 -25.780 230.380 ;
+        RECT 2945.400 230.370 2948.400 230.380 ;
+        RECT 0.000 216.980 2920.000 228.780 ;
+        RECT -19.380 215.380 -16.380 215.390 ;
+        RECT 2936.000 215.380 2939.000 215.390 ;
+        RECT -19.380 212.370 -16.380 212.380 ;
+        RECT 2936.000 212.370 2939.000 212.380 ;
+        RECT 0.000 198.740 2920.000 210.780 ;
+        RECT -9.980 197.140 -6.980 197.150 ;
+        RECT 2926.600 197.140 2929.600 197.150 ;
+        RECT -9.980 194.130 -6.980 194.140 ;
+        RECT 2926.600 194.130 2929.600 194.140 ;
+        RECT 0.000 162.980 2920.000 192.540 ;
+        RECT -42.880 161.380 -39.880 161.390 ;
+        RECT 2959.500 161.380 2962.500 161.390 ;
+        RECT -42.880 158.370 -39.880 158.380 ;
+        RECT 2959.500 158.370 2962.500 158.380 ;
+        RECT 0.000 144.980 2920.000 156.780 ;
+        RECT -33.480 143.380 -30.480 143.390 ;
+        RECT 2950.100 143.380 2953.100 143.390 ;
+        RECT -33.480 140.370 -30.480 140.380 ;
+        RECT 2950.100 140.370 2953.100 140.380 ;
+        RECT 0.000 126.980 2920.000 138.780 ;
+        RECT -24.080 125.380 -21.080 125.390 ;
+        RECT 2940.700 125.380 2943.700 125.390 ;
+        RECT -24.080 122.370 -21.080 122.380 ;
+        RECT 2940.700 122.370 2943.700 122.380 ;
+        RECT 0.000 108.740 2920.000 120.780 ;
+        RECT -14.680 107.140 -11.680 107.150 ;
+        RECT 2931.300 107.140 2934.300 107.150 ;
+        RECT -14.680 104.130 -11.680 104.140 ;
+        RECT 2931.300 104.130 2934.300 104.140 ;
+        RECT 0.000 72.980 2920.000 102.540 ;
+        RECT -38.180 71.380 -35.180 71.390 ;
+        RECT 2954.800 71.380 2957.800 71.390 ;
+        RECT -38.180 68.370 -35.180 68.380 ;
+        RECT 2954.800 68.370 2957.800 68.380 ;
+        RECT 0.000 54.980 2920.000 66.780 ;
+        RECT -28.780 53.380 -25.780 53.390 ;
+        RECT 2945.400 53.380 2948.400 53.390 ;
+        RECT -28.780 50.370 -25.780 50.380 ;
+        RECT 2945.400 50.370 2948.400 50.380 ;
+        RECT 0.000 36.980 2920.000 48.780 ;
+        RECT -19.380 35.380 -16.380 35.390 ;
+        RECT 2936.000 35.380 2939.000 35.390 ;
+        RECT -19.380 32.370 -16.380 32.380 ;
+        RECT 2936.000 32.370 2939.000 32.380 ;
+        RECT 0.000 18.740 2920.000 30.780 ;
+        RECT -9.980 17.140 -6.980 17.150 ;
+        RECT 2926.600 17.140 2929.600 17.150 ;
+        RECT -9.980 14.130 -6.980 14.140 ;
+        RECT 2926.600 14.130 2929.600 14.140 ;
+        RECT 0.000 0.000 2920.000 12.540 ;
+        RECT -9.980 -1.620 -6.980 -1.610 ;
+        RECT 9.020 -1.620 12.020 -1.610 ;
+        RECT 189.020 -1.620 192.020 -1.610 ;
+        RECT 369.020 -1.620 372.020 -1.610 ;
+        RECT 549.020 -1.620 552.020 -1.610 ;
+        RECT 729.020 -1.620 732.020 -1.610 ;
+        RECT 909.020 -1.620 912.020 -1.610 ;
+        RECT 1089.020 -1.620 1092.020 -1.610 ;
+        RECT 1269.020 -1.620 1272.020 -1.610 ;
+        RECT 1449.020 -1.620 1452.020 -1.610 ;
+        RECT 1629.020 -1.620 1632.020 -1.610 ;
+        RECT 1809.020 -1.620 1812.020 -1.610 ;
+        RECT 1989.020 -1.620 1992.020 -1.610 ;
+        RECT 2169.020 -1.620 2172.020 -1.610 ;
+        RECT 2349.020 -1.620 2352.020 -1.610 ;
+        RECT 2529.020 -1.620 2532.020 -1.610 ;
+        RECT 2709.020 -1.620 2712.020 -1.610 ;
+        RECT 2889.020 -1.620 2892.020 -1.610 ;
+        RECT 2926.600 -1.620 2929.600 -1.610 ;
+        RECT -9.980 -4.630 -6.980 -4.620 ;
+        RECT 9.020 -4.630 12.020 -4.620 ;
+        RECT 189.020 -4.630 192.020 -4.620 ;
+        RECT 369.020 -4.630 372.020 -4.620 ;
+        RECT 549.020 -4.630 552.020 -4.620 ;
+        RECT 729.020 -4.630 732.020 -4.620 ;
+        RECT 909.020 -4.630 912.020 -4.620 ;
+        RECT 1089.020 -4.630 1092.020 -4.620 ;
+        RECT 1269.020 -4.630 1272.020 -4.620 ;
+        RECT 1449.020 -4.630 1452.020 -4.620 ;
+        RECT 1629.020 -4.630 1632.020 -4.620 ;
+        RECT 1809.020 -4.630 1812.020 -4.620 ;
+        RECT 1989.020 -4.630 1992.020 -4.620 ;
+        RECT 2169.020 -4.630 2172.020 -4.620 ;
+        RECT 2349.020 -4.630 2352.020 -4.620 ;
+        RECT 2529.020 -4.630 2532.020 -4.620 ;
+        RECT 2709.020 -4.630 2712.020 -4.620 ;
+        RECT 2889.020 -4.630 2892.020 -4.620 ;
+        RECT 2926.600 -4.630 2929.600 -4.620 ;
+        RECT -14.680 -6.320 -11.680 -6.310 ;
+        RECT 99.020 -6.320 102.020 -6.310 ;
+        RECT 279.020 -6.320 282.020 -6.310 ;
+        RECT 459.020 -6.320 462.020 -6.310 ;
+        RECT 639.020 -6.320 642.020 -6.310 ;
+        RECT 819.020 -6.320 822.020 -6.310 ;
+        RECT 999.020 -6.320 1002.020 -6.310 ;
+        RECT 1179.020 -6.320 1182.020 -6.310 ;
+        RECT 1359.020 -6.320 1362.020 -6.310 ;
+        RECT 1539.020 -6.320 1542.020 -6.310 ;
+        RECT 1719.020 -6.320 1722.020 -6.310 ;
+        RECT 1899.020 -6.320 1902.020 -6.310 ;
+        RECT 2079.020 -6.320 2082.020 -6.310 ;
+        RECT 2259.020 -6.320 2262.020 -6.310 ;
+        RECT 2439.020 -6.320 2442.020 -6.310 ;
+        RECT 2619.020 -6.320 2622.020 -6.310 ;
+        RECT 2799.020 -6.320 2802.020 -6.310 ;
+        RECT 2931.300 -6.320 2934.300 -6.310 ;
+        RECT -14.680 -9.330 -11.680 -9.320 ;
+        RECT 99.020 -9.330 102.020 -9.320 ;
+        RECT 279.020 -9.330 282.020 -9.320 ;
+        RECT 459.020 -9.330 462.020 -9.320 ;
+        RECT 639.020 -9.330 642.020 -9.320 ;
+        RECT 819.020 -9.330 822.020 -9.320 ;
+        RECT 999.020 -9.330 1002.020 -9.320 ;
+        RECT 1179.020 -9.330 1182.020 -9.320 ;
+        RECT 1359.020 -9.330 1362.020 -9.320 ;
+        RECT 1539.020 -9.330 1542.020 -9.320 ;
+        RECT 1719.020 -9.330 1722.020 -9.320 ;
+        RECT 1899.020 -9.330 1902.020 -9.320 ;
+        RECT 2079.020 -9.330 2082.020 -9.320 ;
+        RECT 2259.020 -9.330 2262.020 -9.320 ;
+        RECT 2439.020 -9.330 2442.020 -9.320 ;
+        RECT 2619.020 -9.330 2622.020 -9.320 ;
+        RECT 2799.020 -9.330 2802.020 -9.320 ;
+        RECT 2931.300 -9.330 2934.300 -9.320 ;
+        RECT -19.380 -11.020 -16.380 -11.010 ;
+        RECT 27.020 -11.020 30.020 -11.010 ;
+        RECT 207.020 -11.020 210.020 -11.010 ;
+        RECT 387.020 -11.020 390.020 -11.010 ;
+        RECT 567.020 -11.020 570.020 -11.010 ;
+        RECT 747.020 -11.020 750.020 -11.010 ;
+        RECT 927.020 -11.020 930.020 -11.010 ;
+        RECT 1107.020 -11.020 1110.020 -11.010 ;
+        RECT 1287.020 -11.020 1290.020 -11.010 ;
+        RECT 1467.020 -11.020 1470.020 -11.010 ;
+        RECT 1647.020 -11.020 1650.020 -11.010 ;
+        RECT 1827.020 -11.020 1830.020 -11.010 ;
+        RECT 2007.020 -11.020 2010.020 -11.010 ;
+        RECT 2187.020 -11.020 2190.020 -11.010 ;
+        RECT 2367.020 -11.020 2370.020 -11.010 ;
+        RECT 2547.020 -11.020 2550.020 -11.010 ;
+        RECT 2727.020 -11.020 2730.020 -11.010 ;
+        RECT 2907.020 -11.020 2910.020 -11.010 ;
+        RECT 2936.000 -11.020 2939.000 -11.010 ;
+        RECT -19.380 -14.030 -16.380 -14.020 ;
+        RECT 27.020 -14.030 30.020 -14.020 ;
+        RECT 207.020 -14.030 210.020 -14.020 ;
+        RECT 387.020 -14.030 390.020 -14.020 ;
+        RECT 567.020 -14.030 570.020 -14.020 ;
+        RECT 747.020 -14.030 750.020 -14.020 ;
+        RECT 927.020 -14.030 930.020 -14.020 ;
+        RECT 1107.020 -14.030 1110.020 -14.020 ;
+        RECT 1287.020 -14.030 1290.020 -14.020 ;
+        RECT 1467.020 -14.030 1470.020 -14.020 ;
+        RECT 1647.020 -14.030 1650.020 -14.020 ;
+        RECT 1827.020 -14.030 1830.020 -14.020 ;
+        RECT 2007.020 -14.030 2010.020 -14.020 ;
+        RECT 2187.020 -14.030 2190.020 -14.020 ;
+        RECT 2367.020 -14.030 2370.020 -14.020 ;
+        RECT 2547.020 -14.030 2550.020 -14.020 ;
+        RECT 2727.020 -14.030 2730.020 -14.020 ;
+        RECT 2907.020 -14.030 2910.020 -14.020 ;
+        RECT 2936.000 -14.030 2939.000 -14.020 ;
+        RECT -24.080 -15.720 -21.080 -15.710 ;
+        RECT 117.020 -15.720 120.020 -15.710 ;
+        RECT 297.020 -15.720 300.020 -15.710 ;
+        RECT 477.020 -15.720 480.020 -15.710 ;
+        RECT 657.020 -15.720 660.020 -15.710 ;
+        RECT 837.020 -15.720 840.020 -15.710 ;
+        RECT 1017.020 -15.720 1020.020 -15.710 ;
+        RECT 1197.020 -15.720 1200.020 -15.710 ;
+        RECT 1377.020 -15.720 1380.020 -15.710 ;
+        RECT 1557.020 -15.720 1560.020 -15.710 ;
+        RECT 1737.020 -15.720 1740.020 -15.710 ;
+        RECT 1917.020 -15.720 1920.020 -15.710 ;
+        RECT 2097.020 -15.720 2100.020 -15.710 ;
+        RECT 2277.020 -15.720 2280.020 -15.710 ;
+        RECT 2457.020 -15.720 2460.020 -15.710 ;
+        RECT 2637.020 -15.720 2640.020 -15.710 ;
+        RECT 2817.020 -15.720 2820.020 -15.710 ;
+        RECT 2940.700 -15.720 2943.700 -15.710 ;
+        RECT -24.080 -18.730 -21.080 -18.720 ;
+        RECT 117.020 -18.730 120.020 -18.720 ;
+        RECT 297.020 -18.730 300.020 -18.720 ;
+        RECT 477.020 -18.730 480.020 -18.720 ;
+        RECT 657.020 -18.730 660.020 -18.720 ;
+        RECT 837.020 -18.730 840.020 -18.720 ;
+        RECT 1017.020 -18.730 1020.020 -18.720 ;
+        RECT 1197.020 -18.730 1200.020 -18.720 ;
+        RECT 1377.020 -18.730 1380.020 -18.720 ;
+        RECT 1557.020 -18.730 1560.020 -18.720 ;
+        RECT 1737.020 -18.730 1740.020 -18.720 ;
+        RECT 1917.020 -18.730 1920.020 -18.720 ;
+        RECT 2097.020 -18.730 2100.020 -18.720 ;
+        RECT 2277.020 -18.730 2280.020 -18.720 ;
+        RECT 2457.020 -18.730 2460.020 -18.720 ;
+        RECT 2637.020 -18.730 2640.020 -18.720 ;
+        RECT 2817.020 -18.730 2820.020 -18.720 ;
+        RECT 2940.700 -18.730 2943.700 -18.720 ;
+        RECT -28.780 -20.420 -25.780 -20.410 ;
+        RECT 45.020 -20.420 48.020 -20.410 ;
+        RECT 225.020 -20.420 228.020 -20.410 ;
+        RECT 405.020 -20.420 408.020 -20.410 ;
+        RECT 585.020 -20.420 588.020 -20.410 ;
+        RECT 765.020 -20.420 768.020 -20.410 ;
+        RECT 945.020 -20.420 948.020 -20.410 ;
+        RECT 1125.020 -20.420 1128.020 -20.410 ;
+        RECT 1305.020 -20.420 1308.020 -20.410 ;
+        RECT 1485.020 -20.420 1488.020 -20.410 ;
+        RECT 1665.020 -20.420 1668.020 -20.410 ;
+        RECT 1845.020 -20.420 1848.020 -20.410 ;
+        RECT 2025.020 -20.420 2028.020 -20.410 ;
+        RECT 2205.020 -20.420 2208.020 -20.410 ;
+        RECT 2385.020 -20.420 2388.020 -20.410 ;
+        RECT 2565.020 -20.420 2568.020 -20.410 ;
+        RECT 2745.020 -20.420 2748.020 -20.410 ;
+        RECT 2945.400 -20.420 2948.400 -20.410 ;
+        RECT -28.780 -23.430 -25.780 -23.420 ;
+        RECT 45.020 -23.430 48.020 -23.420 ;
+        RECT 225.020 -23.430 228.020 -23.420 ;
+        RECT 405.020 -23.430 408.020 -23.420 ;
+        RECT 585.020 -23.430 588.020 -23.420 ;
+        RECT 765.020 -23.430 768.020 -23.420 ;
+        RECT 945.020 -23.430 948.020 -23.420 ;
+        RECT 1125.020 -23.430 1128.020 -23.420 ;
+        RECT 1305.020 -23.430 1308.020 -23.420 ;
+        RECT 1485.020 -23.430 1488.020 -23.420 ;
+        RECT 1665.020 -23.430 1668.020 -23.420 ;
+        RECT 1845.020 -23.430 1848.020 -23.420 ;
+        RECT 2025.020 -23.430 2028.020 -23.420 ;
+        RECT 2205.020 -23.430 2208.020 -23.420 ;
+        RECT 2385.020 -23.430 2388.020 -23.420 ;
+        RECT 2565.020 -23.430 2568.020 -23.420 ;
+        RECT 2745.020 -23.430 2748.020 -23.420 ;
+        RECT 2945.400 -23.430 2948.400 -23.420 ;
+        RECT -33.480 -25.120 -30.480 -25.110 ;
+        RECT 135.020 -25.120 138.020 -25.110 ;
+        RECT 315.020 -25.120 318.020 -25.110 ;
+        RECT 495.020 -25.120 498.020 -25.110 ;
+        RECT 675.020 -25.120 678.020 -25.110 ;
+        RECT 855.020 -25.120 858.020 -25.110 ;
+        RECT 1035.020 -25.120 1038.020 -25.110 ;
+        RECT 1215.020 -25.120 1218.020 -25.110 ;
+        RECT 1395.020 -25.120 1398.020 -25.110 ;
+        RECT 1575.020 -25.120 1578.020 -25.110 ;
+        RECT 1755.020 -25.120 1758.020 -25.110 ;
+        RECT 1935.020 -25.120 1938.020 -25.110 ;
+        RECT 2115.020 -25.120 2118.020 -25.110 ;
+        RECT 2295.020 -25.120 2298.020 -25.110 ;
+        RECT 2475.020 -25.120 2478.020 -25.110 ;
+        RECT 2655.020 -25.120 2658.020 -25.110 ;
+        RECT 2835.020 -25.120 2838.020 -25.110 ;
+        RECT 2950.100 -25.120 2953.100 -25.110 ;
+        RECT -33.480 -28.130 -30.480 -28.120 ;
+        RECT 135.020 -28.130 138.020 -28.120 ;
+        RECT 315.020 -28.130 318.020 -28.120 ;
+        RECT 495.020 -28.130 498.020 -28.120 ;
+        RECT 675.020 -28.130 678.020 -28.120 ;
+        RECT 855.020 -28.130 858.020 -28.120 ;
+        RECT 1035.020 -28.130 1038.020 -28.120 ;
+        RECT 1215.020 -28.130 1218.020 -28.120 ;
+        RECT 1395.020 -28.130 1398.020 -28.120 ;
+        RECT 1575.020 -28.130 1578.020 -28.120 ;
+        RECT 1755.020 -28.130 1758.020 -28.120 ;
+        RECT 1935.020 -28.130 1938.020 -28.120 ;
+        RECT 2115.020 -28.130 2118.020 -28.120 ;
+        RECT 2295.020 -28.130 2298.020 -28.120 ;
+        RECT 2475.020 -28.130 2478.020 -28.120 ;
+        RECT 2655.020 -28.130 2658.020 -28.120 ;
+        RECT 2835.020 -28.130 2838.020 -28.120 ;
+        RECT 2950.100 -28.130 2953.100 -28.120 ;
+        RECT -38.180 -29.820 -35.180 -29.810 ;
+        RECT 63.020 -29.820 66.020 -29.810 ;
+        RECT 243.020 -29.820 246.020 -29.810 ;
+        RECT 423.020 -29.820 426.020 -29.810 ;
+        RECT 603.020 -29.820 606.020 -29.810 ;
+        RECT 783.020 -29.820 786.020 -29.810 ;
+        RECT 963.020 -29.820 966.020 -29.810 ;
+        RECT 1143.020 -29.820 1146.020 -29.810 ;
+        RECT 1323.020 -29.820 1326.020 -29.810 ;
+        RECT 1503.020 -29.820 1506.020 -29.810 ;
+        RECT 1683.020 -29.820 1686.020 -29.810 ;
+        RECT 1863.020 -29.820 1866.020 -29.810 ;
+        RECT 2043.020 -29.820 2046.020 -29.810 ;
+        RECT 2223.020 -29.820 2226.020 -29.810 ;
+        RECT 2403.020 -29.820 2406.020 -29.810 ;
+        RECT 2583.020 -29.820 2586.020 -29.810 ;
+        RECT 2763.020 -29.820 2766.020 -29.810 ;
+        RECT 2954.800 -29.820 2957.800 -29.810 ;
+        RECT -38.180 -32.830 -35.180 -32.820 ;
+        RECT 63.020 -32.830 66.020 -32.820 ;
+        RECT 243.020 -32.830 246.020 -32.820 ;
+        RECT 423.020 -32.830 426.020 -32.820 ;
+        RECT 603.020 -32.830 606.020 -32.820 ;
+        RECT 783.020 -32.830 786.020 -32.820 ;
+        RECT 963.020 -32.830 966.020 -32.820 ;
+        RECT 1143.020 -32.830 1146.020 -32.820 ;
+        RECT 1323.020 -32.830 1326.020 -32.820 ;
+        RECT 1503.020 -32.830 1506.020 -32.820 ;
+        RECT 1683.020 -32.830 1686.020 -32.820 ;
+        RECT 1863.020 -32.830 1866.020 -32.820 ;
+        RECT 2043.020 -32.830 2046.020 -32.820 ;
+        RECT 2223.020 -32.830 2226.020 -32.820 ;
+        RECT 2403.020 -32.830 2406.020 -32.820 ;
+        RECT 2583.020 -32.830 2586.020 -32.820 ;
+        RECT 2763.020 -32.830 2766.020 -32.820 ;
+        RECT 2954.800 -32.830 2957.800 -32.820 ;
+        RECT -42.880 -34.520 -39.880 -34.510 ;
+        RECT 153.020 -34.520 156.020 -34.510 ;
+        RECT 333.020 -34.520 336.020 -34.510 ;
+        RECT 513.020 -34.520 516.020 -34.510 ;
+        RECT 693.020 -34.520 696.020 -34.510 ;
+        RECT 873.020 -34.520 876.020 -34.510 ;
+        RECT 1053.020 -34.520 1056.020 -34.510 ;
+        RECT 1233.020 -34.520 1236.020 -34.510 ;
+        RECT 1413.020 -34.520 1416.020 -34.510 ;
+        RECT 1593.020 -34.520 1596.020 -34.510 ;
+        RECT 1773.020 -34.520 1776.020 -34.510 ;
+        RECT 1953.020 -34.520 1956.020 -34.510 ;
+        RECT 2133.020 -34.520 2136.020 -34.510 ;
+        RECT 2313.020 -34.520 2316.020 -34.510 ;
+        RECT 2493.020 -34.520 2496.020 -34.510 ;
+        RECT 2673.020 -34.520 2676.020 -34.510 ;
+        RECT 2853.020 -34.520 2856.020 -34.510 ;
+        RECT 2959.500 -34.520 2962.500 -34.510 ;
+        RECT -42.880 -37.530 -39.880 -37.520 ;
+        RECT 153.020 -37.530 156.020 -37.520 ;
+        RECT 333.020 -37.530 336.020 -37.520 ;
+        RECT 513.020 -37.530 516.020 -37.520 ;
+        RECT 693.020 -37.530 696.020 -37.520 ;
+        RECT 873.020 -37.530 876.020 -37.520 ;
+        RECT 1053.020 -37.530 1056.020 -37.520 ;
+        RECT 1233.020 -37.530 1236.020 -37.520 ;
+        RECT 1413.020 -37.530 1416.020 -37.520 ;
+        RECT 1593.020 -37.530 1596.020 -37.520 ;
+        RECT 1773.020 -37.530 1776.020 -37.520 ;
+        RECT 1953.020 -37.530 1956.020 -37.520 ;
+        RECT 2133.020 -37.530 2136.020 -37.520 ;
+        RECT 2313.020 -37.530 2316.020 -37.520 ;
+        RECT 2493.020 -37.530 2496.020 -37.520 ;
+        RECT 2673.020 -37.530 2676.020 -37.520 ;
+        RECT 2853.020 -37.530 2856.020 -37.520 ;
+        RECT 2959.500 -37.530 2962.500 -37.520 ;
+=======
         RECT 2.800 31.300 2917.930 31.980 ;
         RECT 2.400 16.495 2917.930 31.300 ;
       LAYER met4 ;
@@ -6517,6 +10567,7 @@
         RECT -24.430 32.930 2944.050 36.030 ;
         RECT 0.000 17.430 2920.000 32.930 ;
         RECT -14.830 14.330 2934.450 17.430 ;
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
   END
 END user_project_wrapper
 END LIBRARY
diff --git a/mag/.magicrc b/mag/.magicrc
new file mode 100644
index 0000000..58d3653
--- /dev/null
+++ b/mag/.magicrc
@@ -0,0 +1,2 @@
+addpath ../cells/mag/
+addpath /usr/share/pdk/sky130A/libs.ref/sky130_fd_sc_ls/mag/
diff --git a/mag/user_proj_example.mag b/mag/user_proj_example.mag
index 4074743..14acdf5 100644
--- a/mag/user_proj_example.mag
+++ b/mag/user_proj_example.mag
@@ -1,6 +1,71050 @@
 magic
 tech sky130A
 magscale 1 2
+<<<<<<< HEAD
+timestamp 1624954255
+<< locali >>
+rect 47743 56220 47777 56408
+rect 28351 54074 28385 54188
+rect 46783 38090 46817 38204
+rect 9343 27804 9377 27844
+rect 9247 27770 9377 27804
+rect 9247 27656 9281 27770
+rect 8959 27434 8993 27622
+rect 8479 26512 8801 26546
+rect 8479 26472 8513 26512
+rect 8383 26438 8513 26472
+rect 8767 26472 8801 26512
+rect 8383 26398 8417 26438
+rect 7903 18480 7937 18520
+rect 8191 18480 8225 18520
+rect 7903 18446 8225 18480
+rect 20959 17000 20993 17114
+rect 31711 16852 31745 17188
+rect 8095 14188 8129 14450
+rect 23167 11006 23201 11120
+rect 53023 10266 53057 10380
+rect 42463 6936 42497 7050
+rect 7711 5160 7745 5200
+rect 7903 5200 8225 5234
+rect 7903 5160 7937 5200
+rect 7711 5126 7937 5160
+rect 8191 5160 8225 5200
+rect 8479 5160 8513 5200
+rect 8191 5126 8513 5160
+rect 16927 3532 16961 3868
+rect 35743 2866 35777 3128
+<< viali >>
+rect 9919 57000 9953 57034
+rect 13951 57000 13985 57034
+rect 32575 57000 32609 57034
+rect 1951 56926 1985 56960
+rect 2815 56926 2849 56960
+rect 5311 56926 5345 56960
+rect 5791 56926 5825 56960
+rect 7423 56926 7457 56960
+rect 8095 56926 8129 56960
+rect 11455 56926 11489 56960
+rect 13183 56926 13217 56960
+rect 15103 56926 15137 56960
+rect 16351 56926 16385 56960
+rect 18175 56926 18209 56960
+rect 19519 56926 19553 56960
+rect 21055 56926 21089 56960
+rect 22015 56926 22049 56960
+rect 24223 56926 24257 56960
+rect 25951 56926 25985 56960
+rect 27391 56926 27425 56960
+rect 28639 56926 28673 56960
+rect 30271 56926 30305 56960
+rect 31711 56926 31745 56960
+rect 34303 56926 34337 56960
+rect 34879 56926 34913 56960
+rect 38047 56926 38081 56960
+rect 41983 56926 42017 56960
+rect 44671 56926 44705 56960
+rect 47551 56926 47585 56960
+rect 53887 56926 53921 56960
+rect 1759 56852 1793 56886
+rect 2623 56852 2657 56886
+rect 5119 56852 5153 56886
+rect 7231 56852 7265 56886
+rect 11263 56852 11297 56886
+rect 12991 56852 13025 56886
+rect 13759 56852 13793 56886
+rect 14047 56852 14081 56886
+rect 16159 56852 16193 56886
+rect 17983 56852 18017 56886
+rect 19327 56852 19361 56886
+rect 20863 56852 20897 56886
+rect 24031 56852 24065 56886
+rect 27199 56852 27233 56886
+rect 30079 56852 30113 56886
+rect 32671 56852 32705 56886
+rect 34111 56852 34145 56886
+rect 36991 56852 37025 56886
+rect 40063 56852 40097 56886
+rect 40735 56852 40769 56886
+rect 43231 56852 43265 56886
+rect 46303 56852 46337 56886
+rect 48991 56852 49025 56886
+rect 51103 56852 51137 56886
+rect 53119 56852 53153 56886
+rect 55807 56852 55841 56886
+rect 57055 56852 57089 56886
+rect 56767 56778 56801 56812
+rect 9823 56704 9857 56738
+rect 36703 56704 36737 56738
+rect 39775 56704 39809 56738
+rect 40447 56704 40481 56738
+rect 40831 56704 40865 56738
+rect 42943 56704 42977 56738
+rect 46015 56704 46049 56738
+rect 48703 56704 48737 56738
+rect 50815 56704 50849 56738
+rect 52831 56704 52865 56738
+rect 55519 56704 55553 56738
+rect 1663 56482 1697 56516
+rect 2431 56482 2465 56516
+rect 3199 56482 3233 56516
+rect 4447 56482 4481 56516
+rect 5503 56482 5537 56516
+rect 6271 56482 6305 56516
+rect 7135 56482 7169 56516
+rect 8575 56482 8609 56516
+rect 10303 56482 10337 56516
+rect 11071 56482 11105 56516
+rect 11839 56482 11873 56516
+rect 12607 56482 12641 56516
+rect 13471 56482 13505 56516
+rect 15007 56482 15041 56516
+rect 17119 56482 17153 56516
+rect 18175 56482 18209 56516
+rect 18943 56482 18977 56516
+rect 20287 56482 20321 56516
+rect 21343 56482 21377 56516
+rect 22207 56482 22241 56516
+rect 22879 56482 22913 56516
+rect 24319 56482 24353 56516
+rect 26047 56482 26081 56516
+rect 26911 56482 26945 56516
+rect 27775 56482 27809 56516
+rect 28447 56482 28481 56516
+rect 29695 56482 29729 56516
+rect 30943 56482 30977 56516
+rect 31615 56482 31649 56516
+rect 32383 56482 32417 56516
+rect 34015 56482 34049 56516
+rect 34687 56482 34721 56516
+rect 36127 56482 36161 56516
+rect 36991 56482 37025 56516
+rect 37663 56482 37697 56516
+rect 38815 56482 38849 56516
+rect 40159 56482 40193 56516
+rect 41887 56482 41921 56516
+rect 42751 56482 42785 56516
+rect 43519 56482 43553 56516
+rect 44287 56482 44321 56516
+rect 45151 56482 45185 56516
+rect 46783 56482 46817 56516
+rect 48223 56482 48257 56516
+rect 49759 56482 49793 56516
+rect 50527 56482 50561 56516
+rect 53023 56482 53057 56516
+rect 53695 56482 53729 56516
+rect 54559 56482 54593 56516
+rect 55327 56482 55361 56516
+rect 56095 56482 56129 56516
+rect 47743 56408 47777 56442
+rect 47839 56408 47873 56442
+rect 55711 56408 55745 56442
+rect 18751 56334 18785 56368
+rect 19039 56334 19073 56368
+rect 31711 56334 31745 56368
+rect 36223 56334 36257 56368
+rect 41983 56334 42017 56368
+rect 2239 56260 2273 56294
+rect 2527 56260 2561 56294
+rect 13567 56260 13601 56294
+rect 32479 56260 32513 56294
+rect 40255 56260 40289 56294
+rect 44767 56260 44801 56294
+rect 45055 56260 45089 56294
+rect 49855 56334 49889 56368
+rect 55999 56334 56033 56368
+rect 52063 56260 52097 56294
+rect 57823 56260 57857 56294
+rect 1759 56186 1793 56220
+rect 1951 56186 1985 56220
+rect 3295 56186 3329 56220
+rect 4255 56186 4289 56220
+rect 4543 56186 4577 56220
+rect 5599 56186 5633 56220
+rect 6367 56186 6401 56220
+rect 7231 56186 7265 56220
+rect 8191 56186 8225 56220
+rect 8479 56186 8513 56220
+rect 10399 56186 10433 56220
+rect 10879 56186 10913 56220
+rect 11167 56186 11201 56220
+rect 11551 56186 11585 56220
+rect 11935 56186 11969 56220
+rect 12703 56186 12737 56220
+rect 15103 56186 15137 56220
+rect 15583 56186 15617 56220
+rect 15775 56186 15809 56220
+rect 15871 56186 15905 56220
+rect 17215 56186 17249 56220
+rect 17887 56186 17921 56220
+rect 18271 56186 18305 56220
+rect 20095 56186 20129 56220
+rect 20383 56186 20417 56220
+rect 21439 56186 21473 56220
+rect 21919 56186 21953 56220
+rect 22111 56186 22145 56220
+rect 22975 56186 23009 56220
+rect 24415 56186 24449 56220
+rect 26143 56186 26177 56220
+rect 26527 56186 26561 56220
+rect 26815 56186 26849 56220
+rect 27487 56186 27521 56220
+rect 27679 56186 27713 56220
+rect 28159 56186 28193 56220
+rect 28543 56186 28577 56220
+rect 29311 56186 29345 56220
+rect 29599 56186 29633 56220
+rect 30655 56186 30689 56220
+rect 30847 56186 30881 56220
+rect 32959 56186 32993 56220
+rect 33151 56186 33185 56220
+rect 33247 56186 33281 56220
+rect 33727 56186 33761 56220
+rect 33919 56186 33953 56220
+rect 34207 56186 34241 56220
+rect 34495 56186 34529 56220
+rect 34783 56186 34817 56220
+rect 36703 56186 36737 56220
+rect 36895 56186 36929 56220
+rect 37759 56186 37793 56220
+rect 38527 56186 38561 56220
+rect 38719 56186 38753 56220
+rect 39007 56186 39041 56220
+rect 42367 56186 42401 56220
+rect 42655 56186 42689 56220
+rect 43231 56186 43265 56220
+rect 43423 56186 43457 56220
+rect 43999 56186 44033 56220
+rect 44191 56186 44225 56220
+rect 46399 56186 46433 56220
+rect 46687 56186 46721 56220
+rect 47743 56186 47777 56220
+rect 48127 56186 48161 56220
+rect 48415 56186 48449 56220
+rect 48703 56186 48737 56220
+rect 48895 56186 48929 56220
+rect 48991 56186 49025 56220
+rect 50623 56186 50657 56220
+rect 51967 56186 52001 56220
+rect 52735 56186 52769 56220
+rect 52927 56186 52961 56220
+rect 53791 56186 53825 56220
+rect 54271 56186 54305 56220
+rect 54463 56186 54497 56220
+rect 55039 56186 55073 56220
+rect 55231 56186 55265 56220
+rect 55519 56186 55553 56220
+rect 41503 55742 41537 55776
+rect 41791 55742 41825 55776
+rect 1663 55668 1697 55702
+rect 4447 55668 4481 55702
+rect 7615 55668 7649 55702
+rect 9343 55668 9377 55702
+rect 13951 55668 13985 55702
+rect 20383 55668 20417 55702
+rect 23551 55668 23585 55702
+rect 24991 55668 25025 55702
+rect 39295 55668 39329 55702
+rect 40927 55668 40961 55702
+rect 43807 55668 43841 55702
+rect 44095 55668 44129 55702
+rect 45631 55668 45665 55702
+rect 47071 55668 47105 55702
+rect 51967 55668 52001 55702
+rect 56575 55668 56609 55702
+rect 57727 55668 57761 55702
+rect 54463 55594 54497 55628
+rect 1759 55520 1793 55554
+rect 4255 55520 4289 55554
+rect 4543 55520 4577 55554
+rect 7711 55520 7745 55554
+rect 8383 55520 8417 55554
+rect 8671 55520 8705 55554
+rect 9055 55520 9089 55554
+rect 9247 55520 9281 55554
+rect 14047 55520 14081 55554
+rect 15679 55520 15713 55554
+rect 15967 55520 16001 55554
+rect 20287 55520 20321 55554
+rect 23455 55520 23489 55554
+rect 25087 55520 25121 55554
+rect 39007 55520 39041 55554
+rect 39199 55520 39233 55554
+rect 40831 55520 40865 55554
+rect 45535 55520 45569 55554
+rect 46879 55520 46913 55554
+rect 47167 55520 47201 55554
+rect 51871 55520 51905 55554
+rect 52159 55520 52193 55554
+rect 56671 55520 56705 55554
+rect 57631 55520 57665 55554
+rect 19999 55372 20033 55406
+rect 23167 55372 23201 55406
+rect 40543 55372 40577 55406
+rect 45247 55372 45281 55406
+rect 51679 55372 51713 55406
+rect 57343 55372 57377 55406
+rect 57919 55150 57953 55184
+rect 57631 54854 57665 54888
+rect 57823 54854 57857 54888
+rect 2239 54706 2273 54740
+rect 2623 54706 2657 54740
+rect 6847 54706 6881 54740
+rect 41119 54706 41153 54740
+rect 41311 54706 41345 54740
+rect 45343 54484 45377 54518
+rect 44479 54336 44513 54370
+rect 57823 54336 57857 54370
+rect 56479 54262 56513 54296
+rect 7711 54188 7745 54222
+rect 7999 54188 8033 54222
+rect 28255 54188 28289 54222
+rect 28351 54188 28385 54222
+rect 52447 54188 52481 54222
+rect 57919 54188 57953 54222
+rect 52255 54114 52289 54148
+rect 28351 54040 28385 54074
+rect 57919 53818 57953 53852
+rect 11743 53522 11777 53556
+rect 57823 53522 57857 53556
+rect 16927 53374 16961 53408
+rect 57631 53374 57665 53408
+rect 31039 52856 31073 52890
+rect 31231 52856 31265 52890
+rect 33535 52856 33569 52890
+rect 27103 52486 27137 52520
+rect 25375 52042 25409 52076
+rect 25663 52042 25697 52076
+rect 47935 52042 47969 52076
+rect 48031 52042 48065 52076
+rect 24319 51598 24353 51632
+rect 24607 51598 24641 51632
+rect 15295 51524 15329 51558
+rect 25087 51524 25121 51558
+rect 51391 51524 51425 51558
+rect 15103 51376 15137 51410
+rect 24895 51376 24929 51410
+rect 52735 51154 52769 51188
+rect 8383 50710 8417 50744
+rect 8671 50710 8705 50744
+rect 30559 50710 30593 50744
+rect 30751 50710 30785 50744
+rect 44287 50192 44321 50226
+rect 44575 50192 44609 50226
+rect 52735 50192 52769 50226
+rect 54655 50192 54689 50226
+rect 55615 50192 55649 50226
+rect 54463 50118 54497 50152
+rect 45727 50044 45761 50078
+rect 52543 50044 52577 50078
+rect 55423 50044 55457 50078
+rect 29503 49378 29537 49412
+rect 3199 48860 3233 48894
+rect 3487 48860 3521 48894
+rect 23455 48860 23489 48894
+rect 23167 48786 23201 48820
+rect 4639 48046 4673 48080
+rect 4927 48046 4961 48080
+rect 23455 48046 23489 48080
+rect 23743 48046 23777 48080
+rect 43807 48046 43841 48080
+rect 43999 48046 44033 48080
+rect 11263 47824 11297 47858
+rect 44191 47528 44225 47562
+rect 44383 47528 44417 47562
+rect 15775 47380 15809 47414
+rect 23551 46714 23585 46748
+rect 23839 46714 23873 46748
+rect 31039 46714 31073 46748
+rect 31423 46714 31457 46748
+rect 31711 46714 31745 46748
+rect 52927 46714 52961 46748
+rect 57439 46196 57473 46230
+rect 57247 46122 57281 46156
+rect 44095 45678 44129 45712
+rect 9727 45382 9761 45416
+rect 10015 45382 10049 45416
+rect 17599 45382 17633 45416
+rect 17887 45382 17921 45416
+rect 50527 45382 50561 45416
+rect 50719 45382 50753 45416
+rect 1663 45012 1697 45046
+rect 1759 44938 1793 44972
+rect 4159 44864 4193 44898
+rect 12799 44864 12833 44898
+rect 3871 44790 3905 44824
+rect 12607 44716 12641 44750
+rect 27679 44050 27713 44084
+rect 27775 44050 27809 44084
+rect 41119 44050 41153 44084
+rect 41311 44050 41345 44084
+rect 37663 43828 37697 43862
+rect 37567 42718 37601 42752
+rect 37663 42718 37697 42752
+rect 23167 42200 23201 42234
+rect 23455 42200 23489 42234
+rect 40159 42200 40193 42234
+rect 39967 42052 40001 42086
+rect 9535 41460 9569 41494
+rect 9823 41460 9857 41494
+rect 40063 41460 40097 41494
+rect 11647 41386 11681 41420
+rect 11743 41386 11777 41420
+rect 17215 41386 17249 41420
+rect 17503 41386 17537 41420
+rect 43039 41386 43073 41420
+rect 43231 41386 43265 41420
+rect 40447 40868 40481 40902
+rect 50335 40424 50369 40458
+rect 53791 40350 53825 40384
+rect 22495 40054 22529 40088
+rect 22783 40054 22817 40088
+rect 53119 39536 53153 39570
+rect 54655 38500 54689 38534
+rect 20479 38278 20513 38312
+rect 20671 38278 20705 38312
+rect 57151 38278 57185 38312
+rect 2431 38204 2465 38238
+rect 2719 38204 2753 38238
+rect 20191 38204 20225 38238
+rect 26623 38204 26657 38238
+rect 26911 38204 26945 38238
+rect 46783 38204 46817 38238
+rect 47071 38204 47105 38238
+rect 57439 38204 57473 38238
+rect 57631 38204 57665 38238
+rect 46783 38056 46817 38090
+rect 46879 38056 46913 38090
+rect 27007 37538 27041 37572
+rect 14815 37390 14849 37424
+rect 14911 37390 14945 37424
+rect 20863 37390 20897 37424
+rect 41503 37390 41537 37424
+rect 41695 37390 41729 37424
+rect 19327 37168 19361 37202
+rect 24127 36872 24161 36906
+rect 24415 36872 24449 36906
+rect 29503 36872 29537 36906
+rect 47359 36872 47393 36906
+rect 30943 36206 30977 36240
+rect 43327 36206 43361 36240
+rect 13471 36058 13505 36092
+rect 13663 36058 13697 36092
+rect 15487 36058 15521 36092
+rect 15775 36058 15809 36092
+rect 30271 35540 30305 35574
+rect 31039 35540 31073 35574
+rect 57055 35540 57089 35574
+rect 57247 35540 57281 35574
+rect 30751 35466 30785 35500
+rect 30559 34874 30593 34908
+rect 30751 34874 30785 34908
+rect 26719 34726 26753 34760
+rect 27007 34726 27041 34760
+rect 26047 34504 26081 34538
+rect 12319 34208 12353 34242
+rect 12607 34208 12641 34242
+rect 57247 33394 57281 33428
+rect 57439 33394 57473 33428
+rect 36319 33172 36353 33206
+rect 4831 32210 4865 32244
+rect 12319 32062 12353 32096
+rect 32191 31840 32225 31874
+rect 29983 31766 30017 31800
+rect 40639 31692 40673 31726
+rect 18847 31396 18881 31430
+rect 9823 30878 9857 30912
+rect 10687 30804 10721 30838
+rect 17695 30730 17729 30764
+rect 30943 30730 30977 30764
+rect 32575 30730 32609 30764
+rect 55711 30730 55745 30764
+rect 57919 30360 57953 30394
+rect 49663 30286 49697 30320
+rect 57823 30064 57857 30098
+rect 5503 29176 5537 29210
+rect 5791 29176 5825 29210
+rect 10495 28880 10529 28914
+rect 10783 28880 10817 28914
+rect 45823 28880 45857 28914
+rect 28159 28214 28193 28248
+rect 28255 28214 28289 28248
+rect 3775 27844 3809 27878
+rect 4063 27844 4097 27878
+rect 9343 27844 9377 27878
+rect 8959 27622 8993 27656
+rect 9247 27622 9281 27656
+rect 15967 27622 16001 27656
+rect 56575 27548 56609 27582
+rect 8959 27400 8993 27434
+rect 11647 27030 11681 27064
+rect 11935 27030 11969 27064
+rect 10975 26734 11009 26768
+rect 20959 26734 20993 26768
+rect 8767 26438 8801 26472
+rect 8383 26364 8417 26398
+rect 49183 26216 49217 26250
+rect 48991 26068 49025 26102
+rect 49279 25476 49313 25510
+rect 47167 25402 47201 25436
+rect 47359 25402 47393 25436
+rect 56191 25402 56225 25436
+rect 17887 24884 17921 24918
+rect 35935 24884 35969 24918
+rect 30655 24514 30689 24548
+rect 30943 24514 30977 24548
+rect 12127 24070 12161 24104
+rect 31807 24070 31841 24104
+rect 39295 24070 39329 24104
+rect 44383 23552 44417 23586
+rect 8575 22738 8609 22772
+rect 12319 22738 12353 22772
+rect 12607 22738 12641 22772
+rect 26047 22738 26081 22772
+rect 28543 22738 28577 22772
+rect 44863 22738 44897 22772
+rect 30079 22220 30113 22254
+rect 7615 22072 7649 22106
+rect 10207 21406 10241 21440
+rect 28063 21406 28097 21440
+rect 57343 21406 57377 21440
+rect 24799 20962 24833 20996
+rect 25087 20962 25121 20996
+rect 35743 20888 35777 20922
+rect 49951 20888 49985 20922
+rect 7615 20740 7649 20774
+rect 26911 20074 26945 20108
+rect 29791 20074 29825 20108
+rect 7615 19852 7649 19886
+rect 33631 19556 33665 19590
+rect 40159 19556 40193 19590
+rect 49375 18890 49409 18924
+rect 49567 18890 49601 18924
+rect 7615 18520 7649 18554
+rect 7903 18520 7937 18554
+rect 8191 18520 8225 18554
+rect 29695 18520 29729 18554
+rect 29887 18520 29921 18554
+rect 46111 18520 46145 18554
+rect 5983 18224 6017 18258
+rect 15967 18224 16001 18258
+rect 50143 18224 50177 18258
+rect 25759 18076 25793 18110
+rect 26047 18076 26081 18110
+rect 50527 17484 50561 17518
+rect 21823 17410 21857 17444
+rect 41791 17410 41825 17444
+rect 15103 17188 15137 17222
+rect 15391 17188 15425 17222
+rect 31711 17188 31745 17222
+rect 17407 17114 17441 17148
+rect 17695 17114 17729 17148
+rect 20959 17114 20993 17148
+rect 12415 16966 12449 17000
+rect 20959 16966 20993 17000
+rect 16063 16892 16097 16926
+rect 20191 16892 20225 16926
+rect 31999 16892 32033 16926
+rect 57535 16892 57569 16926
+rect 31711 16818 31745 16852
+rect 7615 16744 7649 16778
+rect 18751 16374 18785 16408
+rect 19039 16374 19073 16408
+rect 4831 16078 4865 16112
+rect 7615 15412 7649 15446
+rect 49567 15190 49601 15224
+rect 49663 15042 49697 15076
+rect 9535 14968 9569 15002
+rect 9823 14968 9857 15002
+rect 1663 14894 1697 14928
+rect 1759 14894 1793 14928
+rect 46975 14894 47009 14928
+rect 48991 14894 49025 14928
+rect 48799 14820 48833 14854
+rect 10783 14746 10817 14780
+rect 24511 14746 24545 14780
+rect 50527 14746 50561 14780
+rect 8095 14450 8129 14484
+rect 28255 14450 28289 14484
+rect 7615 14376 7649 14410
+rect 33631 14228 33665 14262
+rect 8095 14154 8129 14188
+rect 51295 14080 51329 14114
+rect 51487 14080 51521 14114
+rect 19135 13710 19169 13744
+rect 28927 13488 28961 13522
+rect 29215 13488 29249 13522
+rect 28159 13414 28193 13448
+rect 39679 13414 39713 13448
+rect 44383 13414 44417 13448
+rect 50815 13414 50849 13448
+rect 58015 13414 58049 13448
+rect 1759 13192 1793 13226
+rect 7615 13192 7649 13226
+rect 41503 12970 41537 13004
+rect 43711 12526 43745 12560
+rect 43999 12526 44033 12560
+rect 41503 12378 41537 12412
+rect 41695 12378 41729 12412
+rect 57727 12304 57761 12338
+rect 57631 12230 57665 12264
+rect 36319 12156 36353 12190
+rect 36607 12156 36641 12190
+rect 48895 12156 48929 12190
+rect 38719 12082 38753 12116
+rect 49567 12082 49601 12116
+rect 54559 12082 54593 12116
+rect 7615 11860 7649 11894
+rect 56575 11712 56609 11746
+rect 56959 11638 56993 11672
+rect 57247 11638 57281 11672
+rect 56191 11564 56225 11598
+rect 56479 11564 56513 11598
+rect 57343 11416 57377 11450
+rect 23167 11120 23201 11154
+rect 55807 11046 55841 11080
+rect 55999 11046 56033 11080
+rect 23071 10972 23105 11006
+rect 23167 10972 23201 11006
+rect 57343 10972 57377 11006
+rect 56095 10898 56129 10932
+rect 57247 10898 57281 10932
+rect 7615 10528 7649 10562
+rect 54751 10528 54785 10562
+rect 53119 10454 53153 10488
+rect 53023 10380 53057 10414
+rect 53311 10380 53345 10414
+rect 55039 10380 55073 10414
+rect 55327 10380 55361 10414
+rect 56671 10380 56705 10414
+rect 55903 10306 55937 10340
+rect 57439 10306 57473 10340
+rect 24607 10232 24641 10266
+rect 28255 10232 28289 10266
+rect 53023 10232 53057 10266
+rect 56287 10232 56321 10266
+rect 56575 10232 56609 10266
+rect 9439 10158 9473 10192
+rect 9727 10158 9761 10192
+rect 55135 10084 55169 10118
+rect 55807 10084 55841 10118
+rect 57343 10084 57377 10118
+rect 55231 9714 55265 9748
+rect 55711 9714 55745 9748
+rect 55903 9714 55937 9748
+rect 56191 9714 56225 9748
+rect 38335 9640 38369 9674
+rect 38527 9640 38561 9674
+rect 57631 9640 57665 9674
+rect 54367 9566 54401 9600
+rect 54463 9566 54497 9600
+rect 55135 9566 55169 9600
+rect 55999 9566 56033 9600
+rect 54079 9492 54113 9526
+rect 30943 9418 30977 9452
+rect 3199 9196 3233 9230
+rect 13855 9196 13889 9230
+rect 14047 9196 14081 9230
+rect 53119 9196 53153 9230
+rect 55135 9196 55169 9230
+rect 55615 9196 55649 9230
+rect 53311 9048 53345 9082
+rect 55423 9048 55457 9082
+rect 54655 8974 54689 9008
+rect 55327 8974 55361 9008
+rect 56575 8974 56609 9008
+rect 57247 8974 57281 9008
+rect 11167 8900 11201 8934
+rect 21151 8900 21185 8934
+rect 7615 8826 7649 8860
+rect 53407 8752 53441 8786
+rect 54559 8752 54593 8786
+rect 13567 8530 13601 8564
+rect 52543 8530 52577 8564
+rect 1759 8382 1793 8416
+rect 3295 8382 3329 8416
+rect 5311 8382 5345 8416
+rect 7903 8382 7937 8416
+rect 9535 8382 9569 8416
+rect 9823 8382 9857 8416
+rect 11071 8382 11105 8416
+rect 11359 8382 11393 8416
+rect 11839 8382 11873 8416
+rect 12031 8382 12065 8416
+rect 12607 8382 12641 8416
+rect 12895 8382 12929 8416
+rect 13663 8382 13697 8416
+rect 16255 8382 16289 8416
+rect 17023 8382 17057 8416
+rect 47935 8382 47969 8416
+rect 48127 8382 48161 8416
+rect 48991 8382 49025 8416
+rect 49759 8382 49793 8416
+rect 50527 8382 50561 8416
+rect 52255 8382 52289 8416
+rect 52447 8382 52481 8416
+rect 53791 8382 53825 8416
+rect 53983 8382 54017 8416
+rect 2239 8308 2273 8342
+rect 2527 8308 2561 8342
+rect 4543 8308 4577 8342
+rect 53311 8308 53345 8342
+rect 55231 8308 55265 8342
+rect 55999 8308 56033 8342
+rect 57151 8308 57185 8342
+rect 1663 8234 1697 8268
+rect 2431 8234 2465 8268
+rect 3199 8234 3233 8268
+rect 4447 8234 4481 8268
+rect 7807 8234 7841 8268
+rect 9727 8234 9761 8268
+rect 10495 8234 10529 8268
+rect 10591 8234 10625 8268
+rect 11263 8234 11297 8268
+rect 12127 8234 12161 8268
+rect 12799 8234 12833 8268
+rect 16159 8234 16193 8268
+rect 16927 8234 16961 8268
+rect 48223 8234 48257 8268
+rect 48895 8234 48929 8268
+rect 49663 8234 49697 8268
+rect 53215 8234 53249 8268
+rect 54079 8234 54113 8268
+rect 5983 8086 6017 8120
+rect 41503 8086 41537 8120
+rect 42943 8086 42977 8120
+rect 2911 7864 2945 7898
+rect 3679 7864 3713 7898
+rect 18271 7864 18305 7898
+rect 25087 7864 25121 7898
+rect 38431 7864 38465 7898
+rect 39199 7864 39233 7898
+rect 40735 7864 40769 7898
+rect 42271 7864 42305 7898
+rect 47551 7864 47585 7898
+rect 51487 7864 51521 7898
+rect 52255 7864 52289 7898
+rect 5311 7790 5345 7824
+rect 7615 7790 7649 7824
+rect 24415 7790 24449 7824
+rect 34879 7790 34913 7824
+rect 46879 7790 46913 7824
+rect 2239 7716 2273 7750
+rect 2527 7716 2561 7750
+rect 3295 7716 3329 7750
+rect 4063 7716 4097 7750
+rect 4831 7716 4865 7750
+rect 5599 7716 5633 7750
+rect 6847 7716 6881 7750
+rect 7135 7716 7169 7750
+rect 9151 7716 9185 7750
+rect 9439 7716 9473 7750
+rect 10879 7716 10913 7750
+rect 10975 7716 11009 7750
+rect 13087 7716 13121 7750
+rect 13951 7716 13985 7750
+rect 15871 7716 15905 7750
+rect 18463 7716 18497 7750
+rect 20671 7716 20705 7750
+rect 20959 7716 20993 7750
+rect 23935 7716 23969 7750
+rect 24607 7716 24641 7750
+rect 24703 7716 24737 7750
+rect 26239 7716 26273 7750
+rect 28351 7716 28385 7750
+rect 29407 7716 29441 7750
+rect 30175 7716 30209 7750
+rect 31231 7716 31265 7750
+rect 33535 7716 33569 7750
+rect 33727 7716 33761 7750
+rect 34303 7716 34337 7750
+rect 34495 7716 34529 7750
+rect 35263 7716 35297 7750
+rect 36127 7716 36161 7750
+rect 36607 7716 36641 7750
+rect 36799 7716 36833 7750
+rect 38719 7716 38753 7750
+rect 39583 7716 39617 7750
+rect 40063 7716 40097 7750
+rect 40255 7716 40289 7750
+rect 41023 7716 41057 7750
+rect 42559 7716 42593 7750
+rect 44095 7716 44129 7750
+rect 44863 7716 44897 7750
+rect 46111 7716 46145 7750
+rect 46303 7716 46337 7750
+rect 47071 7716 47105 7750
+rect 47167 7716 47201 7750
+rect 47935 7716 47969 7750
+rect 49375 7716 49409 7750
+rect 49855 7716 49889 7750
+rect 50047 7716 50081 7750
+rect 51103 7716 51137 7750
+rect 51775 7716 51809 7750
+rect 52543 7716 52577 7750
+rect 1567 7642 1601 7676
+rect 9823 7642 9857 7676
+rect 10207 7642 10241 7676
+rect 12415 7642 12449 7676
+rect 25375 7642 25409 7676
+rect 39487 7642 39521 7676
+rect 45631 7642 45665 7676
+rect 47839 7642 47873 7676
+rect 49087 7642 49121 7676
+rect 49279 7642 49313 7676
+rect 53407 7642 53441 7676
+rect 55135 7642 55169 7676
+rect 55807 7642 55841 7676
+rect 56575 7642 56609 7676
+rect 57343 7642 57377 7676
+rect 12895 7568 12929 7602
+rect 13183 7568 13217 7602
+rect 27007 7568 27041 7602
+rect 35359 7568 35393 7602
+rect 38815 7568 38849 7602
+rect 41887 7568 41921 7602
+rect 2431 7420 2465 7454
+rect 3199 7420 3233 7454
+rect 3967 7420 4001 7454
+rect 4735 7420 4769 7454
+rect 5503 7420 5537 7454
+rect 9343 7420 9377 7454
+rect 10111 7420 10145 7454
+rect 12319 7420 12353 7454
+rect 13855 7420 13889 7454
+rect 15775 7420 15809 7454
+rect 20863 7420 20897 7454
+rect 23839 7420 23873 7454
+rect 25471 7420 25505 7454
+rect 26143 7420 26177 7454
+rect 26911 7420 26945 7454
+rect 28255 7420 28289 7454
+rect 29311 7420 29345 7454
+rect 30079 7420 30113 7454
+rect 31135 7420 31169 7454
+rect 33823 7420 33857 7454
+rect 34591 7420 34625 7454
+rect 36031 7420 36065 7454
+rect 36895 7420 36929 7454
+rect 40351 7420 40385 7454
+rect 41119 7420 41153 7454
+rect 41791 7420 41825 7454
+rect 42655 7420 42689 7454
+rect 43999 7420 44033 7454
+rect 44767 7420 44801 7454
+rect 45535 7420 45569 7454
+rect 46399 7420 46433 7454
+rect 50143 7420 50177 7454
+rect 51007 7420 51041 7454
+rect 51871 7420 51905 7454
+rect 52639 7420 52673 7454
+rect 53311 7420 53345 7454
+rect 5215 7198 5249 7232
+rect 5791 7124 5825 7158
+rect 7327 7124 7361 7158
+rect 9535 7124 9569 7158
+rect 21631 7124 21665 7158
+rect 32095 7124 32129 7158
+rect 32959 7124 32993 7158
+rect 35935 7124 35969 7158
+rect 37471 7124 37505 7158
+rect 43423 7124 43457 7158
+rect 46399 7124 46433 7158
+rect 47167 7124 47201 7158
+rect 48799 7124 48833 7158
+rect 6079 7050 6113 7084
+rect 6847 7050 6881 7084
+rect 7615 7050 7649 7084
+rect 8095 7050 8129 7084
+rect 8383 7050 8417 7084
+rect 9823 7050 9857 7084
+rect 10303 7050 10337 7084
+rect 10495 7050 10529 7084
+rect 13663 7050 13697 7084
+rect 15103 7050 15137 7084
+rect 15871 7050 15905 7084
+rect 17311 7050 17345 7084
+rect 18079 7050 18113 7084
+rect 18847 7050 18881 7084
+rect 20383 7050 20417 7084
+rect 21823 7050 21857 7084
+rect 22687 7050 22721 7084
+rect 24223 7050 24257 7084
+rect 25375 7050 25409 7084
+rect 25663 7050 25697 7084
+rect 26431 7050 26465 7084
+rect 26911 7050 26945 7084
+rect 27199 7050 27233 7084
+rect 27967 7050 28001 7084
+rect 28447 7050 28481 7084
+rect 28639 7050 28673 7084
+rect 30943 7050 30977 7084
+rect 31423 7050 31457 7084
+rect 31615 7050 31649 7084
+rect 32479 7050 32513 7084
+rect 33151 7050 33185 7084
+rect 33727 7050 33761 7084
+rect 33919 7050 33953 7084
+rect 34783 7050 34817 7084
+rect 36127 7050 36161 7084
+rect 37663 7050 37697 7084
+rect 38527 7050 38561 7084
+rect 40063 7050 40097 7084
+rect 42463 7050 42497 7084
+rect 43039 7050 43073 7084
+rect 43711 7050 43745 7084
+rect 44575 7050 44609 7084
+rect 45343 7050 45377 7084
+rect 46687 7050 46721 7084
+rect 47455 7050 47489 7084
+rect 48031 7050 48065 7084
+rect 48319 7050 48353 7084
+rect 48991 7050 49025 7084
+rect 50335 7050 50369 7084
+rect 52063 7050 52097 7084
+rect 52831 7050 52865 7084
+rect 1663 6976 1697 7010
+rect 2527 6976 2561 7010
+rect 4255 6976 4289 7010
+rect 4543 6976 4577 7010
+rect 11263 6976 11297 7010
+rect 12703 6976 12737 7010
+rect 21151 6976 21185 7010
+rect 23455 6976 23489 7010
+rect 39295 6976 39329 7010
+rect 54079 6976 54113 7010
+rect 54751 6976 54785 7010
+rect 55519 6976 55553 7010
+rect 57823 6976 57857 7010
+rect 4447 6902 4481 6936
+rect 5311 6902 5345 6936
+rect 5983 6902 6017 6936
+rect 6751 6902 6785 6936
+rect 7519 6902 7553 6936
+rect 8287 6902 8321 6936
+rect 9727 6902 9761 6936
+rect 10591 6902 10625 6936
+rect 13567 6902 13601 6936
+rect 15007 6902 15041 6936
+rect 15775 6902 15809 6936
+rect 17215 6902 17249 6936
+rect 17983 6902 18017 6936
+rect 18751 6902 18785 6936
+rect 20287 6902 20321 6936
+rect 21055 6902 21089 6936
+rect 21919 6902 21953 6936
+rect 22591 6902 22625 6936
+rect 23359 6902 23393 6936
+rect 24127 6902 24161 6936
+rect 25567 6902 25601 6936
+rect 26335 6902 26369 6936
+rect 27103 6902 27137 6936
+rect 27871 6902 27905 6936
+rect 28735 6902 28769 6936
+rect 29407 6902 29441 6936
+rect 29503 6902 29537 6936
+rect 30847 6902 30881 6936
+rect 31711 6902 31745 6936
+rect 32383 6902 32417 6936
+rect 33247 6902 33281 6936
+rect 34015 6902 34049 6936
+rect 34687 6902 34721 6936
+rect 36223 6902 36257 6936
+rect 36895 6902 36929 6936
+rect 36991 6902 37025 6936
+rect 37759 6902 37793 6936
+rect 38431 6902 38465 6936
+rect 39199 6902 39233 6936
+rect 39967 6902 40001 6936
+rect 41407 6902 41441 6936
+rect 41503 6902 41537 6936
+rect 42175 6902 42209 6936
+rect 42271 6902 42305 6936
+rect 42463 6902 42497 6936
+rect 42943 6902 42977 6936
+rect 43807 6902 43841 6936
+rect 44479 6902 44513 6936
+rect 45247 6902 45281 6936
+rect 46783 6902 46817 6936
+rect 47551 6902 47585 6936
+rect 48223 6902 48257 6936
+rect 49087 6902 49121 6936
+rect 50239 6902 50273 6936
+rect 51967 6902 52001 6936
+rect 52735 6902 52769 6936
+rect 18847 6532 18881 6566
+rect 22687 6532 22721 6566
+rect 35839 6532 35873 6566
+rect 40927 6532 40961 6566
+rect 42463 6532 42497 6566
+rect 7615 6458 7649 6492
+rect 5695 6384 5729 6418
+rect 7039 6384 7073 6418
+rect 7135 6384 7169 6418
+rect 15487 6384 15521 6418
+rect 16255 6384 16289 6418
+rect 17407 6384 17441 6418
+rect 17695 6384 17729 6418
+rect 18463 6384 18497 6418
+rect 19231 6384 19265 6418
+rect 19999 6384 20033 6418
+rect 20767 6384 20801 6418
+rect 21535 6384 21569 6418
+rect 22975 6384 23009 6418
+rect 24223 6384 24257 6418
+rect 24511 6384 24545 6418
+rect 28255 6384 28289 6418
+rect 28735 6384 28769 6418
+rect 29023 6384 29057 6418
+rect 30655 6384 30689 6418
+rect 32191 6384 32225 6418
+rect 33247 6384 33281 6418
+rect 33535 6384 33569 6418
+rect 34303 6384 34337 6418
+rect 35071 6384 35105 6418
+rect 36991 6384 37025 6418
+rect 37183 6384 37217 6418
+rect 41215 6384 41249 6418
+rect 42751 6384 42785 6418
+rect 44095 6384 44129 6418
+rect 50911 6384 50945 6418
+rect 52447 6384 52481 6418
+rect 1567 6310 1601 6344
+rect 2335 6310 2369 6344
+rect 3199 6310 3233 6344
+rect 3967 6310 4001 6344
+rect 4735 6310 4769 6344
+rect 6847 6310 6881 6344
+rect 9439 6310 9473 6344
+rect 10207 6310 10241 6344
+rect 10975 6310 11009 6344
+rect 12223 6310 12257 6344
+rect 13087 6310 13121 6344
+rect 23743 6310 23777 6344
+rect 25663 6310 25697 6344
+rect 26815 6310 26849 6344
+rect 29695 6310 29729 6344
+rect 31231 6310 31265 6344
+rect 36319 6310 36353 6344
+rect 38911 6310 38945 6344
+rect 40351 6310 40385 6344
+rect 41887 6310 41921 6344
+rect 45535 6310 45569 6344
+rect 46975 6310 47009 6344
+rect 47743 6310 47777 6344
+rect 49183 6310 49217 6344
+rect 49951 6310 49985 6344
+rect 53311 6310 53345 6344
+rect 54463 6310 54497 6344
+rect 55231 6310 55265 6344
+rect 55999 6310 56033 6344
+rect 57055 6310 57089 6344
+rect 57823 6310 57857 6344
+rect 13951 6236 13985 6270
+rect 14719 6236 14753 6270
+rect 34975 6236 35009 6270
+rect 44863 6236 44897 6270
+rect 51295 6236 51329 6270
+rect 51583 6236 51617 6270
+rect 5599 6088 5633 6122
+rect 13855 6088 13889 6122
+rect 14623 6088 14657 6122
+rect 15391 6088 15425 6122
+rect 16159 6088 16193 6122
+rect 17599 6088 17633 6122
+rect 18367 6088 18401 6122
+rect 19135 6088 19169 6122
+rect 19903 6088 19937 6122
+rect 20671 6088 20705 6122
+rect 21439 6088 21473 6122
+rect 22879 6088 22913 6122
+rect 23647 6088 23681 6122
+rect 24415 6088 24449 6122
+rect 28159 6088 28193 6122
+rect 28927 6088 28961 6122
+rect 30559 6088 30593 6122
+rect 32095 6088 32129 6122
+rect 33439 6088 33473 6122
+rect 34207 6088 34241 6122
+rect 34687 6088 34721 6122
+rect 37279 6088 37313 6122
+rect 41311 6088 41345 6122
+rect 42847 6088 42881 6122
+rect 43999 6088 44033 6122
+rect 44767 6088 44801 6122
+rect 50815 6088 50849 6122
+rect 51679 6088 51713 6122
+rect 52351 6088 52385 6122
+rect 5791 5718 5825 5752
+rect 6079 5718 6113 5752
+rect 1567 5644 1601 5678
+rect 2911 5644 2945 5678
+rect 4447 5644 4481 5678
+rect 5119 5644 5153 5678
+rect 6847 5644 6881 5678
+rect 7615 5644 7649 5678
+rect 8383 5644 8417 5678
+rect 9631 5644 9665 5678
+rect 10399 5644 10433 5678
+rect 11167 5644 11201 5678
+rect 12607 5644 12641 5678
+rect 13471 5644 13505 5678
+rect 15007 5644 15041 5678
+rect 15871 5644 15905 5678
+rect 16543 5644 16577 5678
+rect 17311 5644 17345 5678
+rect 18751 5644 18785 5678
+rect 20191 5644 20225 5678
+rect 20959 5644 20993 5678
+rect 21727 5644 21761 5678
+rect 22495 5644 22529 5678
+rect 23263 5644 23297 5678
+rect 24031 5644 24065 5678
+rect 25471 5644 25505 5678
+rect 26239 5644 26273 5678
+rect 27007 5644 27041 5678
+rect 27775 5644 27809 5678
+rect 28543 5644 28577 5678
+rect 29311 5644 29345 5678
+rect 30751 5644 30785 5678
+rect 31519 5644 31553 5678
+rect 32287 5644 32321 5678
+rect 33151 5644 33185 5678
+rect 33823 5644 33857 5678
+rect 34687 5644 34721 5678
+rect 36031 5644 36065 5678
+rect 36799 5644 36833 5678
+rect 37567 5644 37601 5678
+rect 38335 5644 38369 5678
+rect 39103 5644 39137 5678
+rect 39871 5644 39905 5678
+rect 41311 5644 41345 5678
+rect 42079 5644 42113 5678
+rect 42847 5644 42881 5678
+rect 43615 5644 43649 5678
+rect 44383 5644 44417 5678
+rect 45151 5644 45185 5678
+rect 46591 5644 46625 5678
+rect 47359 5644 47393 5678
+rect 48127 5644 48161 5678
+rect 48991 5644 49025 5678
+rect 49663 5644 49697 5678
+rect 50527 5644 50561 5678
+rect 52159 5644 52193 5678
+rect 52927 5644 52961 5678
+rect 53695 5644 53729 5678
+rect 54463 5644 54497 5678
+rect 55999 5644 56033 5678
+rect 57439 5644 57473 5678
+rect 5983 5570 6017 5604
+rect 12127 5422 12161 5456
+rect 7519 5200 7553 5234
+rect 7711 5200 7745 5234
+rect 8479 5200 8513 5234
+rect 1567 4978 1601 5012
+rect 2335 4978 2369 5012
+rect 3103 4978 3137 5012
+rect 4159 4978 4193 5012
+rect 5407 4978 5441 5012
+rect 6943 4978 6977 5012
+rect 9247 4978 9281 5012
+rect 10111 4978 10145 5012
+rect 10879 4978 10913 5012
+rect 12223 4978 12257 5012
+rect 12991 4978 13025 5012
+rect 13951 4978 13985 5012
+rect 14719 4978 14753 5012
+rect 15487 4978 15521 5012
+rect 16255 4978 16289 5012
+rect 17503 4978 17537 5012
+rect 18271 4978 18305 5012
+rect 19039 4978 19073 5012
+rect 19807 4978 19841 5012
+rect 20575 4978 20609 5012
+rect 21343 4978 21377 5012
+rect 22783 4978 22817 5012
+rect 23551 4978 23585 5012
+rect 24319 4978 24353 5012
+rect 25087 4978 25121 5012
+rect 25855 4978 25889 5012
+rect 26623 4978 26657 5012
+rect 28063 4978 28097 5012
+rect 28927 4978 28961 5012
+rect 29599 4978 29633 5012
+rect 30367 4978 30401 5012
+rect 31135 4978 31169 5012
+rect 31903 4978 31937 5012
+rect 33343 4978 33377 5012
+rect 34111 4978 34145 5012
+rect 34879 4978 34913 5012
+rect 35647 4978 35681 5012
+rect 36415 4978 36449 5012
+rect 37183 4978 37217 5012
+rect 38623 4978 38657 5012
+rect 39391 4978 39425 5012
+rect 40159 4978 40193 5012
+rect 40927 4978 40961 5012
+rect 41695 4978 41729 5012
+rect 42463 4978 42497 5012
+rect 43903 4978 43937 5012
+rect 44767 4978 44801 5012
+rect 45439 4978 45473 5012
+rect 46207 4978 46241 5012
+rect 46975 4978 47009 5012
+rect 47743 4978 47777 5012
+rect 49375 4978 49409 5012
+rect 50431 4978 50465 5012
+rect 51103 4978 51137 5012
+rect 51871 4978 51905 5012
+rect 52639 4978 52673 5012
+rect 54463 4978 54497 5012
+rect 55615 4978 55649 5012
+rect 56383 4978 56417 5012
+rect 57055 4978 57089 5012
+rect 58015 4904 58049 4938
+rect 15775 4534 15809 4568
+rect 16543 4534 16577 4568
+rect 22495 4534 22529 4568
+rect 22783 4534 22817 4568
+rect 1567 4312 1601 4346
+rect 2335 4312 2369 4346
+rect 3103 4312 3137 4346
+rect 4351 4312 4385 4346
+rect 5119 4312 5153 4346
+rect 5887 4312 5921 4346
+rect 6655 4312 6689 4346
+rect 7423 4312 7457 4346
+rect 8191 4312 8225 4346
+rect 9631 4312 9665 4346
+rect 10399 4312 10433 4346
+rect 11167 4312 11201 4346
+rect 11935 4312 11969 4346
+rect 12703 4312 12737 4346
+rect 13567 4312 13601 4346
+rect 15487 4312 15521 4346
+rect 16255 4312 16289 4346
+rect 17023 4312 17057 4346
+rect 17791 4312 17825 4346
+rect 18559 4312 18593 4346
+rect 20287 4312 20321 4346
+rect 21055 4312 21089 4346
+rect 21823 4312 21857 4346
+rect 23263 4312 23297 4346
+rect 24031 4312 24065 4346
+rect 25471 4312 25505 4346
+rect 26239 4312 26273 4346
+rect 27007 4312 27041 4346
+rect 28351 4312 28385 4346
+rect 29119 4312 29153 4346
+rect 30943 4312 30977 4346
+rect 31711 4312 31745 4346
+rect 32767 4312 32801 4346
+rect 33919 4312 33953 4346
+rect 34687 4312 34721 4346
+rect 36031 4312 36065 4346
+rect 36799 4312 36833 4346
+rect 37567 4312 37601 4346
+rect 39007 4312 39041 4346
+rect 39775 4312 39809 4346
+rect 41983 4312 42017 4346
+rect 42751 4312 42785 4346
+rect 43519 4312 43553 4346
+rect 44959 4312 44993 4346
+rect 46783 4312 46817 4346
+rect 47551 4312 47585 4346
+rect 48319 4312 48353 4346
+rect 49087 4312 49121 4346
+rect 49855 4312 49889 4346
+rect 50623 4312 50657 4346
+rect 51871 4312 51905 4346
+rect 52639 4312 52673 4346
+rect 53407 4312 53441 4346
+rect 54175 4312 54209 4346
+rect 55615 4312 55649 4346
+rect 57151 4312 57185 4346
+rect 38527 4238 38561 4272
+rect 44479 4238 44513 4272
+rect 55135 4164 55169 4198
+rect 13951 3868 13985 3902
+rect 15487 3868 15521 3902
+rect 16927 3868 16961 3902
+rect 1567 3646 1601 3680
+rect 2335 3646 2369 3680
+rect 3103 3646 3137 3680
+rect 3871 3646 3905 3680
+rect 4639 3646 4673 3680
+rect 5599 3646 5633 3680
+rect 6943 3646 6977 3680
+rect 7711 3646 7745 3680
+rect 8479 3646 8513 3680
+rect 9247 3646 9281 3680
+rect 10015 3646 10049 3680
+rect 10783 3646 10817 3680
+rect 12991 3646 13025 3680
+rect 13663 3646 13697 3680
+rect 14431 3646 14465 3680
+rect 15199 3646 15233 3680
+rect 15967 3646 16001 3680
+rect 12415 3572 12449 3606
+rect 18559 3794 18593 3828
+rect 17503 3646 17537 3680
+rect 18271 3646 18305 3680
+rect 19039 3646 19073 3680
+rect 19807 3646 19841 3680
+rect 20575 3646 20609 3680
+rect 21343 3646 21377 3680
+rect 22783 3646 22817 3680
+rect 23551 3646 23585 3680
+rect 24319 3646 24353 3680
+rect 25087 3646 25121 3680
+rect 25855 3646 25889 3680
+rect 26623 3646 26657 3680
+rect 28063 3646 28097 3680
+rect 28831 3646 28865 3680
+rect 29599 3646 29633 3680
+rect 30367 3646 30401 3680
+rect 31135 3646 31169 3680
+rect 31903 3646 31937 3680
+rect 33343 3646 33377 3680
+rect 34111 3646 34145 3680
+rect 34879 3646 34913 3680
+rect 35647 3646 35681 3680
+rect 36415 3646 36449 3680
+rect 37183 3646 37217 3680
+rect 38623 3646 38657 3680
+rect 39391 3646 39425 3680
+rect 40159 3646 40193 3680
+rect 40927 3646 40961 3680
+rect 41695 3646 41729 3680
+rect 42463 3646 42497 3680
+rect 43903 3646 43937 3680
+rect 44671 3646 44705 3680
+rect 45439 3646 45473 3680
+rect 46207 3646 46241 3680
+rect 46975 3646 47009 3680
+rect 47743 3646 47777 3680
+rect 49183 3646 49217 3680
+rect 50527 3646 50561 3680
+rect 51199 3646 51233 3680
+rect 51967 3646 52001 3680
+rect 52735 3646 52769 3680
+rect 54463 3646 54497 3680
+rect 55231 3646 55265 3680
+rect 55999 3646 56033 3680
+rect 56767 3646 56801 3680
+rect 57535 3646 57569 3680
+rect 16927 3498 16961 3532
+rect 13279 3202 13313 3236
+rect 14047 3202 14081 3236
+rect 15391 3202 15425 3236
+rect 16831 3202 16865 3236
+rect 18079 3202 18113 3236
+rect 18847 3202 18881 3236
+rect 35743 3128 35777 3162
+rect 1567 2980 1601 3014
+rect 2335 2980 2369 3014
+rect 3103 2980 3137 3014
+rect 4927 2980 4961 3014
+rect 5695 2980 5729 3014
+rect 7039 2980 7073 3014
+rect 7807 2980 7841 3014
+rect 9727 2980 9761 3014
+rect 10495 2980 10529 3014
+rect 12991 2980 13025 3014
+rect 13759 2980 13793 3014
+rect 15103 2980 15137 3014
+rect 16639 2980 16673 3014
+rect 17791 2980 17825 3014
+rect 18559 2980 18593 3014
+rect 20479 2980 20513 3014
+rect 21247 2980 21281 3014
+rect 23167 2980 23201 3014
+rect 23935 2980 23969 3014
+rect 25855 2980 25889 3014
+rect 26623 2980 26657 3014
+rect 28543 2980 28577 3014
+rect 29311 2980 29345 3014
+rect 31231 2980 31265 3014
+rect 31999 2980 32033 3014
+rect 33919 2980 33953 3014
+rect 34687 2980 34721 3014
+rect 36607 2980 36641 3014
+rect 37375 2980 37409 3014
+rect 39295 2980 39329 3014
+rect 40063 2980 40097 3014
+rect 41983 2980 42017 3014
+rect 42751 2980 42785 3014
+rect 44671 2980 44705 3014
+rect 45439 2980 45473 3014
+rect 47359 2980 47393 3014
+rect 48127 2980 48161 3014
+rect 50047 2980 50081 3014
+rect 50815 2980 50849 3014
+rect 52735 2980 52769 3014
+rect 53503 2980 53537 3014
+rect 55423 2980 55457 3014
+rect 56191 2980 56225 3014
+rect 30271 2832 30305 2866
+rect 35743 2832 35777 2866
+rect 41023 2758 41057 2792
+<< metal1 >>
+rect 1152 57302 58848 57324
+rect 1152 57250 4294 57302
+rect 4346 57250 4358 57302
+rect 4410 57250 4422 57302
+rect 4474 57250 4486 57302
+rect 4538 57250 35014 57302
+rect 35066 57250 35078 57302
+rect 35130 57250 35142 57302
+rect 35194 57250 35206 57302
+rect 35258 57250 58848 57302
+rect 1152 57228 58848 57250
+rect 1744 56991 1750 57043
+rect 1802 57031 1808 57043
+rect 1802 57003 2846 57031
+rect 1802 56991 1808 57003
+rect 208 56917 214 56969
+rect 266 56957 272 56969
+rect 2818 56966 2846 57003
+rect 3280 56991 3286 57043
+rect 3338 57031 3344 57043
+rect 3338 57003 5822 57031
+rect 3338 56991 3344 57003
+rect 1939 56960 1997 56966
+rect 1939 56957 1951 56960
+rect 266 56929 1951 56957
+rect 266 56917 272 56929
+rect 1939 56926 1951 56929
+rect 1985 56926 1997 56960
+rect 1939 56920 1997 56926
+rect 2803 56960 2861 56966
+rect 2803 56926 2815 56960
+rect 2849 56926 2861 56960
+rect 2803 56920 2861 56926
+rect 4912 56917 4918 56969
+rect 4970 56957 4976 56969
+rect 5794 56966 5822 57003
+rect 9616 56991 9622 57043
+rect 9674 57031 9680 57043
+rect 9907 57034 9965 57040
+rect 9907 57031 9919 57034
+rect 9674 57003 9919 57031
+rect 9674 56991 9680 57003
+rect 9907 57000 9919 57003
+rect 9953 57000 9965 57034
+rect 9907 56994 9965 57000
+rect 11248 56991 11254 57043
+rect 11306 57031 11312 57043
+rect 13939 57034 13997 57040
+rect 11306 57003 11486 57031
+rect 11306 56991 11312 57003
+rect 5299 56960 5357 56966
+rect 5299 56957 5311 56960
+rect 4970 56929 5311 56957
+rect 4970 56917 4976 56929
+rect 5299 56926 5311 56929
+rect 5345 56926 5357 56960
+rect 5299 56920 5357 56926
+rect 5779 56960 5837 56966
+rect 5779 56926 5791 56960
+rect 5825 56926 5837 56960
+rect 5779 56920 5837 56926
+rect 6448 56917 6454 56969
+rect 6506 56957 6512 56969
+rect 7411 56960 7469 56966
+rect 7411 56957 7423 56960
+rect 6506 56929 7423 56957
+rect 6506 56917 6512 56929
+rect 7411 56926 7423 56929
+rect 7457 56926 7469 56960
+rect 8080 56957 8086 56969
+rect 8041 56929 8086 56957
+rect 7411 56920 7469 56926
+rect 8080 56917 8086 56929
+rect 8138 56917 8144 56969
+rect 11458 56966 11486 57003
+rect 13939 57000 13951 57034
+rect 13985 57031 13997 57034
+rect 16432 57031 16438 57043
+rect 13985 57003 16438 57031
+rect 13985 57000 13997 57003
+rect 13939 56994 13997 57000
+rect 16432 56991 16438 57003
+rect 16490 56991 16496 57043
+rect 29104 56991 29110 57043
+rect 29162 57031 29168 57043
+rect 32563 57034 32621 57040
+rect 32563 57031 32575 57034
+rect 29162 57003 32575 57031
+rect 29162 56991 29168 57003
+rect 32563 57000 32575 57003
+rect 32609 57000 32621 57034
+rect 32563 56994 32621 57000
+rect 11443 56960 11501 56966
+rect 11443 56926 11455 56960
+rect 11489 56926 11501 56960
+rect 11443 56920 11501 56926
+rect 12784 56917 12790 56969
+rect 12842 56957 12848 56969
+rect 13171 56960 13229 56966
+rect 13171 56957 13183 56960
+rect 12842 56929 13183 56957
+rect 12842 56917 12848 56929
+rect 13171 56926 13183 56929
+rect 13217 56926 13229 56960
+rect 13171 56920 13229 56926
+rect 14416 56917 14422 56969
+rect 14474 56957 14480 56969
+rect 15091 56960 15149 56966
+rect 15091 56957 15103 56960
+rect 14474 56929 15103 56957
+rect 14474 56917 14480 56929
+rect 15091 56926 15103 56929
+rect 15137 56926 15149 56960
+rect 15091 56920 15149 56926
+rect 15952 56917 15958 56969
+rect 16010 56957 16016 56969
+rect 16339 56960 16397 56966
+rect 16339 56957 16351 56960
+rect 16010 56929 16351 56957
+rect 16010 56917 16016 56929
+rect 16339 56926 16351 56929
+rect 16385 56926 16397 56960
+rect 16339 56920 16397 56926
+rect 17488 56917 17494 56969
+rect 17546 56957 17552 56969
+rect 18163 56960 18221 56966
+rect 18163 56957 18175 56960
+rect 17546 56929 18175 56957
+rect 17546 56917 17552 56929
+rect 18163 56926 18175 56929
+rect 18209 56926 18221 56960
+rect 18163 56920 18221 56926
+rect 19120 56917 19126 56969
+rect 19178 56957 19184 56969
+rect 19507 56960 19565 56966
+rect 19507 56957 19519 56960
+rect 19178 56929 19519 56957
+rect 19178 56917 19184 56929
+rect 19507 56926 19519 56929
+rect 19553 56926 19565 56960
+rect 19507 56920 19565 56926
+rect 20656 56917 20662 56969
+rect 20714 56957 20720 56969
+rect 21043 56960 21101 56966
+rect 21043 56957 21055 56960
+rect 20714 56929 21055 56957
+rect 20714 56917 20720 56929
+rect 21043 56926 21055 56929
+rect 21089 56926 21101 56960
+rect 21043 56920 21101 56926
+rect 22003 56960 22061 56966
+rect 22003 56926 22015 56960
+rect 22049 56957 22061 56960
+rect 22288 56957 22294 56969
+rect 22049 56929 22294 56957
+rect 22049 56926 22061 56929
+rect 22003 56920 22061 56926
+rect 22288 56917 22294 56929
+rect 22346 56917 22352 56969
+rect 23824 56917 23830 56969
+rect 23882 56957 23888 56969
+rect 24211 56960 24269 56966
+rect 24211 56957 24223 56960
+rect 23882 56929 24223 56957
+rect 23882 56917 23888 56929
+rect 24211 56926 24223 56929
+rect 24257 56926 24269 56960
+rect 24211 56920 24269 56926
+rect 25456 56917 25462 56969
+rect 25514 56957 25520 56969
+rect 25939 56960 25997 56966
+rect 25939 56957 25951 56960
+rect 25514 56929 25951 56957
+rect 25514 56917 25520 56929
+rect 25939 56926 25951 56929
+rect 25985 56926 25997 56960
+rect 25939 56920 25997 56926
+rect 26992 56917 26998 56969
+rect 27050 56957 27056 56969
+rect 27379 56960 27437 56966
+rect 27379 56957 27391 56960
+rect 27050 56929 27391 56957
+rect 27050 56917 27056 56929
+rect 27379 56926 27391 56929
+rect 27425 56926 27437 56960
+rect 28624 56957 28630 56969
+rect 28585 56929 28630 56957
+rect 27379 56920 27437 56926
+rect 28624 56917 28630 56929
+rect 28682 56917 28688 56969
+rect 30256 56957 30262 56969
+rect 30217 56929 30262 56957
+rect 30256 56917 30262 56929
+rect 30314 56917 30320 56969
+rect 31696 56957 31702 56969
+rect 31657 56929 31702 56957
+rect 31696 56917 31702 56929
+rect 31754 56917 31760 56969
+rect 33328 56917 33334 56969
+rect 33386 56957 33392 56969
+rect 34291 56960 34349 56966
+rect 34291 56957 34303 56960
+rect 33386 56929 34303 56957
+rect 33386 56917 33392 56929
+rect 34291 56926 34303 56929
+rect 34337 56926 34349 56960
+rect 34864 56957 34870 56969
+rect 34825 56929 34870 56957
+rect 34291 56920 34349 56926
+rect 34864 56917 34870 56929
+rect 34922 56917 34928 56969
+rect 38032 56957 38038 56969
+rect 37993 56929 38038 56957
+rect 38032 56917 38038 56929
+rect 38090 56917 38096 56969
+rect 41200 56917 41206 56969
+rect 41258 56957 41264 56969
+rect 41971 56960 42029 56966
+rect 41971 56957 41983 56960
+rect 41258 56929 41983 56957
+rect 41258 56917 41264 56929
+rect 41971 56926 41983 56929
+rect 42017 56926 42029 56960
+rect 41971 56920 42029 56926
+rect 44368 56917 44374 56969
+rect 44426 56957 44432 56969
+rect 44659 56960 44717 56966
+rect 44659 56957 44671 56960
+rect 44426 56929 44671 56957
+rect 44426 56917 44432 56929
+rect 44659 56926 44671 56929
+rect 44705 56926 44717 56960
+rect 44659 56920 44717 56926
+rect 47536 56917 47542 56969
+rect 47594 56957 47600 56969
+rect 53872 56957 53878 56969
+rect 47594 56929 47639 56957
+rect 53833 56929 53878 56957
+rect 47594 56917 47600 56929
+rect 53872 56917 53878 56929
+rect 53930 56917 53936 56969
+rect 1747 56886 1805 56892
+rect 1747 56852 1759 56886
+rect 1793 56852 1805 56886
+rect 2608 56883 2614 56895
+rect 2569 56855 2614 56883
+rect 1747 56846 1805 56852
+rect 1762 56809 1790 56846
+rect 2608 56843 2614 56855
+rect 2666 56843 2672 56895
+rect 5104 56883 5110 56895
+rect 5065 56855 5110 56883
+rect 5104 56843 5110 56855
+rect 5162 56843 5168 56895
+rect 7219 56886 7277 56892
+rect 7219 56852 7231 56886
+rect 7265 56883 7277 56886
+rect 8272 56883 8278 56895
+rect 7265 56855 8278 56883
+rect 7265 56852 7277 56855
+rect 7219 56846 7277 56852
+rect 8272 56843 8278 56855
+rect 8330 56843 8336 56895
+rect 11248 56883 11254 56895
+rect 11209 56855 11254 56883
+rect 11248 56843 11254 56855
+rect 11306 56843 11312 56895
+rect 12979 56886 13037 56892
+rect 12979 56852 12991 56886
+rect 13025 56852 13037 56886
+rect 12979 56846 13037 56852
+rect 13747 56886 13805 56892
+rect 13747 56852 13759 56886
+rect 13793 56883 13805 56886
+rect 14032 56883 14038 56895
+rect 13793 56855 14038 56883
+rect 13793 56852 13805 56855
+rect 13747 56846 13805 56852
+rect 3568 56809 3574 56821
+rect 1762 56781 3574 56809
+rect 3568 56769 3574 56781
+rect 3626 56769 3632 56821
+rect 10864 56769 10870 56821
+rect 10922 56809 10928 56821
+rect 12994 56809 13022 56846
+rect 14032 56843 14038 56855
+rect 14090 56843 14096 56895
+rect 16144 56883 16150 56895
+rect 16105 56855 16150 56883
+rect 16144 56843 16150 56855
+rect 16202 56843 16208 56895
+rect 17968 56883 17974 56895
+rect 17929 56855 17974 56883
+rect 17968 56843 17974 56855
+rect 18026 56843 18032 56895
+rect 19312 56883 19318 56895
+rect 19273 56855 19318 56883
+rect 19312 56843 19318 56855
+rect 19370 56843 19376 56895
+rect 20848 56883 20854 56895
+rect 20809 56855 20854 56883
+rect 20848 56843 20854 56855
+rect 20906 56843 20912 56895
+rect 24019 56886 24077 56892
+rect 24019 56883 24031 56886
+rect 22306 56855 24031 56883
+rect 22306 56821 22334 56855
+rect 24019 56852 24031 56855
+rect 24065 56852 24077 56886
+rect 24019 56846 24077 56852
+rect 27088 56843 27094 56895
+rect 27146 56883 27152 56895
+rect 27187 56886 27245 56892
+rect 27187 56883 27199 56886
+rect 27146 56855 27199 56883
+rect 27146 56843 27152 56855
+rect 27187 56852 27199 56855
+rect 27233 56852 27245 56886
+rect 30064 56883 30070 56895
+rect 30025 56855 30070 56883
+rect 27187 56846 27245 56852
+rect 30064 56843 30070 56855
+rect 30122 56843 30128 56895
+rect 32656 56883 32662 56895
+rect 32617 56855 32662 56883
+rect 32656 56843 32662 56855
+rect 32714 56843 32720 56895
+rect 34096 56883 34102 56895
+rect 34057 56855 34102 56883
+rect 34096 56843 34102 56855
+rect 34154 56843 34160 56895
+rect 36496 56843 36502 56895
+rect 36554 56883 36560 56895
+rect 36979 56886 37037 56892
+rect 36979 56883 36991 56886
+rect 36554 56855 36991 56883
+rect 36554 56843 36560 56855
+rect 36979 56852 36991 56855
+rect 37025 56852 37037 56886
+rect 36979 56846 37037 56852
+rect 39664 56843 39670 56895
+rect 39722 56883 39728 56895
+rect 40051 56886 40109 56892
+rect 40051 56883 40063 56886
+rect 39722 56855 40063 56883
+rect 39722 56843 39728 56855
+rect 40051 56852 40063 56855
+rect 40097 56852 40109 56886
+rect 40723 56886 40781 56892
+rect 40723 56883 40735 56886
+rect 40051 56846 40109 56852
+rect 40450 56855 40735 56883
+rect 10922 56781 13022 56809
+rect 10922 56769 10928 56781
+rect 22288 56769 22294 56821
+rect 22346 56769 22352 56821
+rect 40450 56747 40478 56855
+rect 40723 56852 40735 56855
+rect 40769 56852 40781 56886
+rect 40723 56846 40781 56852
+rect 42832 56843 42838 56895
+rect 42890 56883 42896 56895
+rect 43219 56886 43277 56892
+rect 43219 56883 43231 56886
+rect 42890 56855 43231 56883
+rect 42890 56843 42896 56855
+rect 43219 56852 43231 56855
+rect 43265 56852 43277 56886
+rect 43219 56846 43277 56852
+rect 45904 56843 45910 56895
+rect 45962 56883 45968 56895
+rect 46291 56886 46349 56892
+rect 46291 56883 46303 56886
+rect 45962 56855 46303 56883
+rect 45962 56843 45968 56855
+rect 46291 56852 46303 56855
+rect 46337 56852 46349 56886
+rect 46291 56846 46349 56852
+rect 48979 56886 49037 56892
+rect 48979 56852 48991 56886
+rect 49025 56883 49037 56886
+rect 49072 56883 49078 56895
+rect 49025 56855 49078 56883
+rect 49025 56852 49037 56855
+rect 48979 56846 49037 56852
+rect 49072 56843 49078 56855
+rect 49130 56843 49136 56895
+rect 50704 56843 50710 56895
+rect 50762 56883 50768 56895
+rect 51091 56886 51149 56892
+rect 51091 56883 51103 56886
+rect 50762 56855 51103 56883
+rect 50762 56843 50768 56855
+rect 51091 56852 51103 56855
+rect 51137 56852 51149 56886
+rect 51091 56846 51149 56852
+rect 52240 56843 52246 56895
+rect 52298 56883 52304 56895
+rect 53107 56886 53165 56892
+rect 53107 56883 53119 56886
+rect 52298 56855 53119 56883
+rect 52298 56843 52304 56855
+rect 53107 56852 53119 56855
+rect 53153 56852 53165 56886
+rect 53107 56846 53165 56852
+rect 55408 56843 55414 56895
+rect 55466 56883 55472 56895
+rect 55795 56886 55853 56892
+rect 55795 56883 55807 56886
+rect 55466 56855 55807 56883
+rect 55466 56843 55472 56855
+rect 55795 56852 55807 56855
+rect 55841 56852 55853 56886
+rect 57040 56883 57046 56895
+rect 57001 56855 57046 56883
+rect 55795 56846 55853 56852
+rect 57040 56843 57046 56855
+rect 57098 56843 57104 56895
+rect 41008 56769 41014 56821
+rect 41066 56809 41072 56821
+rect 56755 56812 56813 56818
+rect 56755 56809 56767 56812
+rect 41066 56781 56767 56809
+rect 41066 56769 41072 56781
+rect 56755 56778 56767 56781
+rect 56801 56778 56813 56812
+rect 56755 56772 56813 56778
+rect 9616 56695 9622 56747
+rect 9674 56735 9680 56747
+rect 9811 56738 9869 56744
+rect 9811 56735 9823 56738
+rect 9674 56707 9823 56735
+rect 9674 56695 9680 56707
+rect 9811 56704 9823 56707
+rect 9857 56704 9869 56738
+rect 9811 56698 9869 56704
+rect 35344 56695 35350 56747
+rect 35402 56735 35408 56747
+rect 36691 56738 36749 56744
+rect 36691 56735 36703 56738
+rect 35402 56707 36703 56735
+rect 35402 56695 35408 56707
+rect 36691 56704 36703 56707
+rect 36737 56704 36749 56738
+rect 39760 56735 39766 56747
+rect 39721 56707 39766 56735
+rect 36691 56698 36749 56704
+rect 39760 56695 39766 56707
+rect 39818 56695 39824 56747
+rect 40432 56735 40438 56747
+rect 40393 56707 40438 56735
+rect 40432 56695 40438 56707
+rect 40490 56695 40496 56747
+rect 40816 56735 40822 56747
+rect 40777 56707 40822 56735
+rect 40816 56695 40822 56707
+rect 40874 56695 40880 56747
+rect 42928 56735 42934 56747
+rect 42889 56707 42934 56735
+rect 42928 56695 42934 56707
+rect 42986 56695 42992 56747
+rect 46003 56738 46061 56744
+rect 46003 56704 46015 56738
+rect 46049 56735 46061 56738
+rect 46096 56735 46102 56747
+rect 46049 56707 46102 56735
+rect 46049 56704 46061 56707
+rect 46003 56698 46061 56704
+rect 46096 56695 46102 56707
+rect 46154 56695 46160 56747
+rect 48688 56735 48694 56747
+rect 48649 56707 48694 56735
+rect 48688 56695 48694 56707
+rect 48746 56695 48752 56747
+rect 50800 56735 50806 56747
+rect 50761 56707 50806 56735
+rect 50800 56695 50806 56707
+rect 50858 56695 50864 56747
+rect 52816 56735 52822 56747
+rect 52777 56707 52822 56735
+rect 52816 56695 52822 56707
+rect 52874 56695 52880 56747
+rect 55504 56735 55510 56747
+rect 55465 56707 55510 56735
+rect 55504 56695 55510 56707
+rect 55562 56695 55568 56747
+rect 1152 56636 58848 56658
+rect 1152 56584 19654 56636
+rect 19706 56584 19718 56636
+rect 19770 56584 19782 56636
+rect 19834 56584 19846 56636
+rect 19898 56584 50374 56636
+rect 50426 56584 50438 56636
+rect 50490 56584 50502 56636
+rect 50554 56584 50566 56636
+rect 50618 56584 58848 56636
+rect 1152 56562 58848 56584
+rect 688 56473 694 56525
+rect 746 56513 752 56525
+rect 1651 56516 1709 56522
+rect 1651 56513 1663 56516
+rect 746 56485 1663 56513
+rect 746 56473 752 56485
+rect 1651 56482 1663 56485
+rect 1697 56482 1709 56516
+rect 1651 56476 1709 56482
+rect 2224 56473 2230 56525
+rect 2282 56513 2288 56525
+rect 2419 56516 2477 56522
+rect 2419 56513 2431 56516
+rect 2282 56485 2431 56513
+rect 2282 56473 2288 56485
+rect 2419 56482 2431 56485
+rect 2465 56482 2477 56516
+rect 2419 56476 2477 56482
+rect 2800 56473 2806 56525
+rect 2858 56513 2864 56525
+rect 3187 56516 3245 56522
+rect 3187 56513 3199 56516
+rect 2858 56485 3199 56513
+rect 2858 56473 2864 56485
+rect 3187 56482 3199 56485
+rect 3233 56482 3245 56516
+rect 3187 56476 3245 56482
+rect 3856 56473 3862 56525
+rect 3914 56513 3920 56525
+rect 4435 56516 4493 56522
+rect 4435 56513 4447 56516
+rect 3914 56485 4447 56513
+rect 3914 56473 3920 56485
+rect 4435 56482 4447 56485
+rect 4481 56482 4493 56516
+rect 4435 56476 4493 56482
+rect 5392 56473 5398 56525
+rect 5450 56513 5456 56525
+rect 5491 56516 5549 56522
+rect 5491 56513 5503 56516
+rect 5450 56485 5503 56513
+rect 5450 56473 5456 56485
+rect 5491 56482 5503 56485
+rect 5537 56482 5549 56516
+rect 5491 56476 5549 56482
+rect 5968 56473 5974 56525
+rect 6026 56513 6032 56525
+rect 6259 56516 6317 56522
+rect 6259 56513 6271 56516
+rect 6026 56485 6271 56513
+rect 6026 56473 6032 56485
+rect 6259 56482 6271 56485
+rect 6305 56482 6317 56516
+rect 6259 56476 6317 56482
+rect 7024 56473 7030 56525
+rect 7082 56513 7088 56525
+rect 7123 56516 7181 56522
+rect 7123 56513 7135 56516
+rect 7082 56485 7135 56513
+rect 7082 56473 7088 56485
+rect 7123 56482 7135 56485
+rect 7169 56482 7181 56516
+rect 8560 56513 8566 56525
+rect 8521 56485 8566 56513
+rect 7123 56476 7181 56482
+rect 8560 56473 8566 56485
+rect 8618 56473 8624 56525
+rect 10192 56473 10198 56525
+rect 10250 56513 10256 56525
+rect 10291 56516 10349 56522
+rect 10291 56513 10303 56516
+rect 10250 56485 10303 56513
+rect 10250 56473 10256 56485
+rect 10291 56482 10303 56485
+rect 10337 56482 10349 56516
+rect 10291 56476 10349 56482
+rect 10672 56473 10678 56525
+rect 10730 56513 10736 56525
+rect 11059 56516 11117 56522
+rect 11059 56513 11071 56516
+rect 10730 56485 11071 56513
+rect 10730 56473 10736 56485
+rect 11059 56482 11071 56485
+rect 11105 56482 11117 56516
+rect 11059 56476 11117 56482
+rect 11728 56473 11734 56525
+rect 11786 56513 11792 56525
+rect 11827 56516 11885 56522
+rect 11827 56513 11839 56516
+rect 11786 56485 11839 56513
+rect 11786 56473 11792 56485
+rect 11827 56482 11839 56485
+rect 11873 56482 11885 56516
+rect 11827 56476 11885 56482
+rect 12304 56473 12310 56525
+rect 12362 56513 12368 56525
+rect 12595 56516 12653 56522
+rect 12595 56513 12607 56516
+rect 12362 56485 12607 56513
+rect 12362 56473 12368 56485
+rect 12595 56482 12607 56485
+rect 12641 56482 12653 56516
+rect 12595 56476 12653 56482
+rect 13360 56473 13366 56525
+rect 13418 56513 13424 56525
+rect 13459 56516 13517 56522
+rect 13459 56513 13471 56516
+rect 13418 56485 13471 56513
+rect 13418 56473 13424 56485
+rect 13459 56482 13471 56485
+rect 13505 56482 13517 56516
+rect 13459 56476 13517 56482
+rect 14896 56473 14902 56525
+rect 14954 56513 14960 56525
+rect 14995 56516 15053 56522
+rect 14995 56513 15007 56516
+rect 14954 56485 15007 56513
+rect 14954 56473 14960 56485
+rect 14995 56482 15007 56485
+rect 15041 56482 15053 56516
+rect 14995 56476 15053 56482
+rect 17008 56473 17014 56525
+rect 17066 56513 17072 56525
+rect 17107 56516 17165 56522
+rect 17107 56513 17119 56516
+rect 17066 56485 17119 56513
+rect 17066 56473 17072 56485
+rect 17107 56482 17119 56485
+rect 17153 56482 17165 56516
+rect 17107 56476 17165 56482
+rect 18064 56473 18070 56525
+rect 18122 56513 18128 56525
+rect 18163 56516 18221 56522
+rect 18163 56513 18175 56516
+rect 18122 56485 18175 56513
+rect 18122 56473 18128 56485
+rect 18163 56482 18175 56485
+rect 18209 56482 18221 56516
+rect 18163 56476 18221 56482
+rect 18544 56473 18550 56525
+rect 18602 56513 18608 56525
+rect 18931 56516 18989 56522
+rect 18931 56513 18943 56516
+rect 18602 56485 18943 56513
+rect 18602 56473 18608 56485
+rect 18931 56482 18943 56485
+rect 18977 56482 18989 56516
+rect 18931 56476 18989 56482
+rect 19984 56473 19990 56525
+rect 20042 56513 20048 56525
+rect 20275 56516 20333 56522
+rect 20275 56513 20287 56516
+rect 20042 56485 20287 56513
+rect 20042 56473 20048 56485
+rect 20275 56482 20287 56485
+rect 20321 56482 20333 56516
+rect 20275 56476 20333 56482
+rect 21232 56473 21238 56525
+rect 21290 56513 21296 56525
+rect 21331 56516 21389 56522
+rect 21331 56513 21343 56516
+rect 21290 56485 21343 56513
+rect 21290 56473 21296 56485
+rect 21331 56482 21343 56485
+rect 21377 56482 21389 56516
+rect 21331 56476 21389 56482
+rect 21712 56473 21718 56525
+rect 21770 56513 21776 56525
+rect 22195 56516 22253 56522
+rect 22195 56513 22207 56516
+rect 21770 56485 22207 56513
+rect 21770 56473 21776 56485
+rect 22195 56482 22207 56485
+rect 22241 56482 22253 56516
+rect 22195 56476 22253 56482
+rect 22768 56473 22774 56525
+rect 22826 56513 22832 56525
+rect 22867 56516 22925 56522
+rect 22867 56513 22879 56516
+rect 22826 56485 22879 56513
+rect 22826 56473 22832 56485
+rect 22867 56482 22879 56485
+rect 22913 56482 22925 56516
+rect 22867 56476 22925 56482
+rect 24307 56516 24365 56522
+rect 24307 56482 24319 56516
+rect 24353 56513 24365 56516
+rect 24400 56513 24406 56525
+rect 24353 56485 24406 56513
+rect 24353 56482 24365 56485
+rect 24307 56476 24365 56482
+rect 24400 56473 24406 56485
+rect 24458 56473 24464 56525
+rect 25936 56473 25942 56525
+rect 25994 56513 26000 56525
+rect 26035 56516 26093 56522
+rect 26035 56513 26047 56516
+rect 25994 56485 26047 56513
+rect 25994 56473 26000 56485
+rect 26035 56482 26047 56485
+rect 26081 56482 26093 56516
+rect 26035 56476 26093 56482
+rect 26512 56473 26518 56525
+rect 26570 56513 26576 56525
+rect 26899 56516 26957 56522
+rect 26899 56513 26911 56516
+rect 26570 56485 26911 56513
+rect 26570 56473 26576 56485
+rect 26899 56482 26911 56485
+rect 26945 56482 26957 56516
+rect 26899 56476 26957 56482
+rect 27568 56473 27574 56525
+rect 27626 56513 27632 56525
+rect 27763 56516 27821 56522
+rect 27763 56513 27775 56516
+rect 27626 56485 27775 56513
+rect 27626 56473 27632 56485
+rect 27763 56482 27775 56485
+rect 27809 56482 27821 56516
+rect 27763 56476 27821 56482
+rect 28048 56473 28054 56525
+rect 28106 56513 28112 56525
+rect 28435 56516 28493 56522
+rect 28435 56513 28447 56516
+rect 28106 56485 28447 56513
+rect 28106 56473 28112 56485
+rect 28435 56482 28447 56485
+rect 28481 56482 28493 56516
+rect 29680 56513 29686 56525
+rect 29641 56485 29686 56513
+rect 28435 56476 28493 56482
+rect 29680 56473 29686 56485
+rect 29738 56473 29744 56525
+rect 30640 56473 30646 56525
+rect 30698 56513 30704 56525
+rect 30931 56516 30989 56522
+rect 30931 56513 30943 56516
+rect 30698 56485 30943 56513
+rect 30698 56473 30704 56485
+rect 30931 56482 30943 56485
+rect 30977 56482 30989 56516
+rect 30931 56476 30989 56482
+rect 31216 56473 31222 56525
+rect 31274 56513 31280 56525
+rect 31603 56516 31661 56522
+rect 31603 56513 31615 56516
+rect 31274 56485 31615 56513
+rect 31274 56473 31280 56485
+rect 31603 56482 31615 56485
+rect 31649 56482 31661 56516
+rect 31603 56476 31661 56482
+rect 32272 56473 32278 56525
+rect 32330 56513 32336 56525
+rect 32371 56516 32429 56522
+rect 32371 56513 32383 56516
+rect 32330 56485 32383 56513
+rect 32330 56473 32336 56485
+rect 32371 56482 32383 56485
+rect 32417 56482 32429 56516
+rect 32371 56476 32429 56482
+rect 33808 56473 33814 56525
+rect 33866 56513 33872 56525
+rect 34003 56516 34061 56522
+rect 34003 56513 34015 56516
+rect 33866 56485 34015 56513
+rect 33866 56473 33872 56485
+rect 34003 56482 34015 56485
+rect 34049 56482 34061 56516
+rect 34003 56476 34061 56482
+rect 34384 56473 34390 56525
+rect 34442 56513 34448 56525
+rect 34675 56516 34733 56522
+rect 34675 56513 34687 56516
+rect 34442 56485 34687 56513
+rect 34442 56473 34448 56485
+rect 34675 56482 34687 56485
+rect 34721 56482 34733 56516
+rect 34675 56476 34733 56482
+rect 35440 56473 35446 56525
+rect 35498 56513 35504 56525
+rect 36115 56516 36173 56522
+rect 36115 56513 36127 56516
+rect 35498 56485 36127 56513
+rect 35498 56473 35504 56485
+rect 36115 56482 36127 56485
+rect 36161 56482 36173 56516
+rect 36115 56476 36173 56482
+rect 36208 56473 36214 56525
+rect 36266 56513 36272 56525
+rect 36979 56516 37037 56522
+rect 36979 56513 36991 56516
+rect 36266 56485 36991 56513
+rect 36266 56473 36272 56485
+rect 36979 56482 36991 56485
+rect 37025 56482 37037 56516
+rect 36979 56476 37037 56482
+rect 37552 56473 37558 56525
+rect 37610 56513 37616 56525
+rect 37651 56516 37709 56522
+rect 37651 56513 37663 56516
+rect 37610 56485 37663 56513
+rect 37610 56473 37616 56485
+rect 37651 56482 37663 56485
+rect 37697 56482 37709 56516
+rect 37651 56476 37709 56482
+rect 38608 56473 38614 56525
+rect 38666 56513 38672 56525
+rect 38803 56516 38861 56522
+rect 38803 56513 38815 56516
+rect 38666 56485 38815 56513
+rect 38666 56473 38672 56485
+rect 38803 56482 38815 56485
+rect 38849 56482 38861 56516
+rect 40144 56513 40150 56525
+rect 40105 56485 40150 56513
+rect 38803 56476 38861 56482
+rect 40144 56473 40150 56485
+rect 40202 56473 40208 56525
+rect 41776 56473 41782 56525
+rect 41834 56513 41840 56525
+rect 41875 56516 41933 56522
+rect 41875 56513 41887 56516
+rect 41834 56485 41887 56513
+rect 41834 56473 41840 56485
+rect 41875 56482 41887 56485
+rect 41921 56482 41933 56516
+rect 41875 56476 41933 56482
+rect 42256 56473 42262 56525
+rect 42314 56513 42320 56525
+rect 42739 56516 42797 56522
+rect 42739 56513 42751 56516
+rect 42314 56485 42751 56513
+rect 42314 56473 42320 56485
+rect 42739 56482 42751 56485
+rect 42785 56482 42797 56516
+rect 42739 56476 42797 56482
+rect 43312 56473 43318 56525
+rect 43370 56513 43376 56525
+rect 43507 56516 43565 56522
+rect 43507 56513 43519 56516
+rect 43370 56485 43519 56513
+rect 43370 56473 43376 56485
+rect 43507 56482 43519 56485
+rect 43553 56482 43565 56516
+rect 43507 56476 43565 56482
+rect 43888 56473 43894 56525
+rect 43946 56513 43952 56525
+rect 44275 56516 44333 56522
+rect 44275 56513 44287 56516
+rect 43946 56485 44287 56513
+rect 43946 56473 43952 56485
+rect 44275 56482 44287 56485
+rect 44321 56482 44333 56516
+rect 44275 56476 44333 56482
+rect 44944 56473 44950 56525
+rect 45002 56513 45008 56525
+rect 45139 56516 45197 56522
+rect 45139 56513 45151 56516
+rect 45002 56485 45151 56513
+rect 45002 56473 45008 56485
+rect 45139 56482 45151 56485
+rect 45185 56482 45197 56516
+rect 45139 56476 45197 56482
+rect 46480 56473 46486 56525
+rect 46538 56513 46544 56525
+rect 46771 56516 46829 56522
+rect 46771 56513 46783 56516
+rect 46538 56485 46783 56513
+rect 46538 56473 46544 56485
+rect 46771 56482 46783 56485
+rect 46817 56482 46829 56516
+rect 46771 56476 46829 56482
+rect 48016 56473 48022 56525
+rect 48074 56513 48080 56525
+rect 48211 56516 48269 56522
+rect 48211 56513 48223 56516
+rect 48074 56485 48223 56513
+rect 48074 56473 48080 56485
+rect 48211 56482 48223 56485
+rect 48257 56482 48269 56516
+rect 48211 56476 48269 56482
+rect 49648 56473 49654 56525
+rect 49706 56513 49712 56525
+rect 49747 56516 49805 56522
+rect 49747 56513 49759 56516
+rect 49706 56485 49759 56513
+rect 49706 56473 49712 56485
+rect 49747 56482 49759 56485
+rect 49793 56482 49805 56516
+rect 49747 56476 49805 56482
+rect 50128 56473 50134 56525
+rect 50186 56513 50192 56525
+rect 50515 56516 50573 56522
+rect 50515 56513 50527 56516
+rect 50186 56485 50527 56513
+rect 50186 56473 50192 56485
+rect 50515 56482 50527 56485
+rect 50561 56482 50573 56516
+rect 50515 56476 50573 56482
+rect 52912 56473 52918 56525
+rect 52970 56513 52976 56525
+rect 53011 56516 53069 56522
+rect 53011 56513 53023 56516
+rect 52970 56485 53023 56513
+rect 52970 56473 52976 56485
+rect 53011 56482 53023 56485
+rect 53057 56482 53069 56516
+rect 53011 56476 53069 56482
+rect 53296 56473 53302 56525
+rect 53354 56513 53360 56525
+rect 53683 56516 53741 56522
+rect 53683 56513 53695 56516
+rect 53354 56485 53695 56513
+rect 53354 56473 53360 56485
+rect 53683 56482 53695 56485
+rect 53729 56482 53741 56516
+rect 53683 56476 53741 56482
+rect 54352 56473 54358 56525
+rect 54410 56513 54416 56525
+rect 54547 56516 54605 56522
+rect 54547 56513 54559 56516
+rect 54410 56485 54559 56513
+rect 54410 56473 54416 56485
+rect 54547 56482 54559 56485
+rect 54593 56482 54605 56516
+rect 54547 56476 54605 56482
+rect 54928 56473 54934 56525
+rect 54986 56513 54992 56525
+rect 55315 56516 55373 56522
+rect 55315 56513 55327 56516
+rect 54986 56485 55327 56513
+rect 54986 56473 54992 56485
+rect 55315 56482 55327 56485
+rect 55361 56482 55373 56516
+rect 55315 56476 55373 56482
+rect 55984 56473 55990 56525
+rect 56042 56513 56048 56525
+rect 56083 56516 56141 56522
+rect 56083 56513 56095 56516
+rect 56042 56485 56095 56513
+rect 56042 56473 56048 56485
+rect 56083 56482 56095 56485
+rect 56129 56482 56141 56516
+rect 56083 56476 56141 56482
+rect 28336 56439 28342 56451
+rect 19042 56411 28342 56439
+rect 19042 56374 19070 56411
+rect 28336 56399 28342 56411
+rect 28394 56399 28400 56451
+rect 42448 56439 42454 56451
+rect 36226 56411 42454 56439
+rect 18739 56368 18797 56374
+rect 18739 56334 18751 56368
+rect 18785 56365 18797 56368
+rect 19027 56368 19085 56374
+rect 19027 56365 19039 56368
+rect 18785 56337 19039 56365
+rect 18785 56334 18797 56337
+rect 18739 56328 18797 56334
+rect 19027 56334 19039 56337
+rect 19073 56334 19085 56368
+rect 19027 56328 19085 56334
+rect 25168 56325 25174 56377
+rect 25226 56365 25232 56377
+rect 36226 56374 36254 56411
+rect 42448 56399 42454 56411
+rect 42506 56399 42512 56451
+rect 43984 56399 43990 56451
+rect 44042 56439 44048 56451
+rect 47731 56442 47789 56448
+rect 47731 56439 47743 56442
+rect 44042 56411 47743 56439
+rect 44042 56399 44048 56411
+rect 47731 56408 47743 56411
+rect 47777 56439 47789 56442
+rect 47827 56442 47885 56448
+rect 47827 56439 47839 56442
+rect 47777 56411 47839 56439
+rect 47777 56408 47789 56411
+rect 47731 56402 47789 56408
+rect 47827 56408 47839 56411
+rect 47873 56408 47885 56442
+rect 55699 56442 55757 56448
+rect 55699 56439 55711 56442
+rect 47827 56402 47885 56408
+rect 48034 56411 55711 56439
+rect 31699 56368 31757 56374
+rect 31699 56365 31711 56368
+rect 25226 56337 31711 56365
+rect 25226 56325 25232 56337
+rect 31699 56334 31711 56337
+rect 31745 56334 31757 56368
+rect 31699 56328 31757 56334
+rect 36211 56368 36269 56374
+rect 36211 56334 36223 56368
+rect 36257 56334 36269 56368
+rect 36211 56328 36269 56334
+rect 38800 56325 38806 56377
+rect 38858 56365 38864 56377
+rect 41971 56368 42029 56374
+rect 41971 56365 41983 56368
+rect 38858 56337 41983 56365
+rect 38858 56325 38864 56337
+rect 41971 56334 41983 56337
+rect 42017 56334 42029 56368
+rect 41971 56328 42029 56334
+rect 46864 56325 46870 56377
+rect 46922 56365 46928 56377
+rect 48034 56365 48062 56411
+rect 55699 56408 55711 56411
+rect 55745 56439 55757 56442
+rect 55745 56411 56030 56439
+rect 55745 56408 55757 56411
+rect 55699 56402 55757 56408
+rect 46922 56337 48062 56365
+rect 49843 56368 49901 56374
+rect 46922 56325 46928 56337
+rect 49843 56334 49855 56368
+rect 49889 56365 49901 56368
+rect 52720 56365 52726 56377
+rect 49889 56337 52726 56365
+rect 49889 56334 49901 56337
+rect 49843 56328 49901 56334
+rect 52720 56325 52726 56337
+rect 52778 56325 52784 56377
+rect 56002 56374 56030 56411
+rect 55987 56368 56045 56374
+rect 55987 56334 55999 56368
+rect 56033 56334 56045 56368
+rect 55987 56328 56045 56334
+rect 2227 56294 2285 56300
+rect 2227 56260 2239 56294
+rect 2273 56291 2285 56294
+rect 2515 56294 2573 56300
+rect 2515 56291 2527 56294
+rect 2273 56263 2527 56291
+rect 2273 56260 2285 56263
+rect 2227 56254 2285 56260
+rect 2515 56260 2527 56263
+rect 2561 56291 2573 56294
+rect 3760 56291 3766 56303
+rect 2561 56263 3766 56291
+rect 2561 56260 2573 56263
+rect 2515 56254 2573 56260
+rect 3760 56251 3766 56263
+rect 3818 56251 3824 56303
+rect 13555 56294 13613 56300
+rect 13555 56260 13567 56294
+rect 13601 56291 13613 56294
+rect 22864 56291 22870 56303
+rect 13601 56263 22870 56291
+rect 13601 56260 13613 56263
+rect 13555 56254 13613 56260
+rect 22864 56251 22870 56263
+rect 22922 56251 22928 56303
+rect 32467 56294 32525 56300
+rect 32467 56260 32479 56294
+rect 32513 56291 32525 56294
+rect 35440 56291 35446 56303
+rect 32513 56263 35446 56291
+rect 32513 56260 32525 56263
+rect 32467 56254 32525 56260
+rect 35440 56251 35446 56263
+rect 35498 56251 35504 56303
+rect 40243 56294 40301 56300
+rect 40243 56260 40255 56294
+rect 40289 56291 40301 56294
+rect 43792 56291 43798 56303
+rect 40289 56263 43798 56291
+rect 40289 56260 40301 56263
+rect 40243 56254 40301 56260
+rect 43792 56251 43798 56263
+rect 43850 56251 43856 56303
+rect 43888 56251 43894 56303
+rect 43946 56291 43952 56303
+rect 44755 56294 44813 56300
+rect 44755 56291 44767 56294
+rect 43946 56263 44767 56291
+rect 43946 56251 43952 56263
+rect 44755 56260 44767 56263
+rect 44801 56291 44813 56294
+rect 45043 56294 45101 56300
+rect 45043 56291 45055 56294
+rect 44801 56263 45055 56291
+rect 44801 56260 44813 56263
+rect 44755 56254 44813 56260
+rect 45043 56260 45055 56263
+rect 45089 56260 45101 56294
+rect 45043 56254 45101 56260
+rect 47056 56251 47062 56303
+rect 47114 56291 47120 56303
+rect 52051 56294 52109 56300
+rect 52051 56291 52063 56294
+rect 47114 56263 52063 56291
+rect 47114 56251 47120 56263
+rect 52051 56260 52063 56263
+rect 52097 56260 52109 56294
+rect 52051 56254 52109 56260
+rect 57811 56294 57869 56300
+rect 57811 56260 57823 56294
+rect 57857 56291 57869 56294
+rect 58576 56291 58582 56303
+rect 57857 56263 58582 56291
+rect 57857 56260 57869 56263
+rect 57811 56254 57869 56260
+rect 58576 56251 58582 56263
+rect 58634 56251 58640 56303
+rect 1744 56217 1750 56229
+rect 1705 56189 1750 56217
+rect 1744 56177 1750 56189
+rect 1802 56217 1808 56229
+rect 1939 56220 1997 56226
+rect 1939 56217 1951 56220
+rect 1802 56189 1951 56217
+rect 1802 56177 1808 56189
+rect 1939 56186 1951 56189
+rect 1985 56186 1997 56220
+rect 3280 56217 3286 56229
+rect 3241 56189 3286 56217
+rect 1939 56180 1997 56186
+rect 3280 56177 3286 56189
+rect 3338 56177 3344 56229
+rect 4243 56220 4301 56226
+rect 4243 56186 4255 56220
+rect 4289 56217 4301 56220
+rect 4531 56220 4589 56226
+rect 4531 56217 4543 56220
+rect 4289 56189 4543 56217
+rect 4289 56186 4301 56189
+rect 4243 56180 4301 56186
+rect 4531 56186 4543 56189
+rect 4577 56217 4589 56220
+rect 4720 56217 4726 56229
+rect 4577 56189 4726 56217
+rect 4577 56186 4589 56189
+rect 4531 56180 4589 56186
+rect 4720 56177 4726 56189
+rect 4778 56177 4784 56229
+rect 5584 56217 5590 56229
+rect 5545 56189 5590 56217
+rect 5584 56177 5590 56189
+rect 5642 56177 5648 56229
+rect 6352 56217 6358 56229
+rect 6313 56189 6358 56217
+rect 6352 56177 6358 56189
+rect 6410 56177 6416 56229
+rect 7216 56177 7222 56229
+rect 7274 56217 7280 56229
+rect 8176 56217 8182 56229
+rect 7274 56189 7319 56217
+rect 8137 56189 8182 56217
+rect 7274 56177 7280 56189
+rect 8176 56177 8182 56189
+rect 8234 56217 8240 56229
+rect 8467 56220 8525 56226
+rect 8467 56217 8479 56220
+rect 8234 56189 8479 56217
+rect 8234 56177 8240 56189
+rect 8467 56186 8479 56189
+rect 8513 56186 8525 56220
+rect 10384 56217 10390 56229
+rect 10345 56189 10390 56217
+rect 8467 56180 8525 56186
+rect 10384 56177 10390 56189
+rect 10442 56177 10448 56229
+rect 10867 56220 10925 56226
+rect 10867 56186 10879 56220
+rect 10913 56217 10925 56220
+rect 11152 56217 11158 56229
+rect 10913 56189 11158 56217
+rect 10913 56186 10925 56189
+rect 10867 56180 10925 56186
+rect 11152 56177 11158 56189
+rect 11210 56177 11216 56229
+rect 11536 56217 11542 56229
+rect 11497 56189 11542 56217
+rect 11536 56177 11542 56189
+rect 11594 56217 11600 56229
+rect 11923 56220 11981 56226
+rect 11923 56217 11935 56220
+rect 11594 56189 11935 56217
+rect 11594 56177 11600 56189
+rect 11923 56186 11935 56189
+rect 11969 56186 11981 56220
+rect 12688 56217 12694 56229
+rect 12649 56189 12694 56217
+rect 11923 56180 11981 56186
+rect 12688 56177 12694 56189
+rect 12746 56177 12752 56229
+rect 15091 56220 15149 56226
+rect 15091 56186 15103 56220
+rect 15137 56217 15149 56220
+rect 15184 56217 15190 56229
+rect 15137 56189 15190 56217
+rect 15137 56186 15149 56189
+rect 15091 56180 15149 56186
+rect 15184 56177 15190 56189
+rect 15242 56177 15248 56229
+rect 15571 56220 15629 56226
+rect 15571 56186 15583 56220
+rect 15617 56217 15629 56220
+rect 15760 56217 15766 56229
+rect 15617 56189 15766 56217
+rect 15617 56186 15629 56189
+rect 15571 56180 15629 56186
+rect 15760 56177 15766 56189
+rect 15818 56177 15824 56229
+rect 15859 56220 15917 56226
+rect 15859 56186 15871 56220
+rect 15905 56186 15917 56220
+rect 17200 56217 17206 56229
+rect 17161 56189 17206 56217
+rect 15859 56180 15917 56186
+rect 15376 56103 15382 56155
+rect 15434 56143 15440 56155
+rect 15874 56143 15902 56180
+rect 17200 56177 17206 56189
+rect 17258 56177 17264 56229
+rect 17872 56217 17878 56229
+rect 17833 56189 17878 56217
+rect 17872 56177 17878 56189
+rect 17930 56217 17936 56229
+rect 18259 56220 18317 56226
+rect 18259 56217 18271 56220
+rect 17930 56189 18271 56217
+rect 17930 56177 17936 56189
+rect 18259 56186 18271 56189
+rect 18305 56186 18317 56220
+rect 18259 56180 18317 56186
+rect 20083 56220 20141 56226
+rect 20083 56186 20095 56220
+rect 20129 56217 20141 56220
+rect 20368 56217 20374 56229
+rect 20129 56189 20374 56217
+rect 20129 56186 20141 56189
+rect 20083 56180 20141 56186
+rect 20368 56177 20374 56189
+rect 20426 56177 20432 56229
+rect 21424 56177 21430 56229
+rect 21482 56217 21488 56229
+rect 21907 56220 21965 56226
+rect 21482 56189 21527 56217
+rect 21482 56177 21488 56189
+rect 21907 56186 21919 56220
+rect 21953 56217 21965 56220
+rect 22096 56217 22102 56229
+rect 21953 56189 22102 56217
+rect 21953 56186 21965 56189
+rect 21907 56180 21965 56186
+rect 22096 56177 22102 56189
+rect 22154 56177 22160 56229
+rect 22960 56177 22966 56229
+rect 23018 56217 23024 56229
+rect 24400 56217 24406 56229
+rect 23018 56189 23063 56217
+rect 24361 56189 24406 56217
+rect 23018 56177 23024 56189
+rect 24400 56177 24406 56189
+rect 24458 56177 24464 56229
+rect 26128 56217 26134 56229
+rect 26089 56189 26134 56217
+rect 26128 56177 26134 56189
+rect 26186 56177 26192 56229
+rect 26512 56217 26518 56229
+rect 26473 56189 26518 56217
+rect 26512 56177 26518 56189
+rect 26570 56217 26576 56229
+rect 26803 56220 26861 56226
+rect 26803 56217 26815 56220
+rect 26570 56189 26815 56217
+rect 26570 56177 26576 56189
+rect 26803 56186 26815 56189
+rect 26849 56186 26861 56220
+rect 27472 56217 27478 56229
+rect 27433 56189 27478 56217
+rect 26803 56180 26861 56186
+rect 27472 56177 27478 56189
+rect 27530 56217 27536 56229
+rect 27667 56220 27725 56226
+rect 27667 56217 27679 56220
+rect 27530 56189 27679 56217
+rect 27530 56177 27536 56189
+rect 27667 56186 27679 56189
+rect 27713 56186 27725 56220
+rect 28144 56217 28150 56229
+rect 28105 56189 28150 56217
+rect 27667 56180 27725 56186
+rect 28144 56177 28150 56189
+rect 28202 56217 28208 56229
+rect 28531 56220 28589 56226
+rect 28531 56217 28543 56220
+rect 28202 56189 28543 56217
+rect 28202 56177 28208 56189
+rect 28531 56186 28543 56189
+rect 28577 56186 28589 56220
+rect 29296 56217 29302 56229
+rect 29257 56189 29302 56217
+rect 28531 56180 28589 56186
+rect 29296 56177 29302 56189
+rect 29354 56217 29360 56229
+rect 29587 56220 29645 56226
+rect 29587 56217 29599 56220
+rect 29354 56189 29599 56217
+rect 29354 56177 29360 56189
+rect 29587 56186 29599 56189
+rect 29633 56186 29645 56220
+rect 29587 56180 29645 56186
+rect 30643 56220 30701 56226
+rect 30643 56186 30655 56220
+rect 30689 56217 30701 56220
+rect 30832 56217 30838 56229
+rect 30689 56189 30838 56217
+rect 30689 56186 30701 56189
+rect 30643 56180 30701 56186
+rect 30832 56177 30838 56189
+rect 30890 56177 30896 56229
+rect 32947 56220 33005 56226
+rect 32947 56186 32959 56220
+rect 32993 56217 33005 56220
+rect 33040 56217 33046 56229
+rect 32993 56189 33046 56217
+rect 32993 56186 33005 56189
+rect 32947 56180 33005 56186
+rect 33040 56177 33046 56189
+rect 33098 56217 33104 56229
+rect 33139 56220 33197 56226
+rect 33139 56217 33151 56220
+rect 33098 56189 33151 56217
+rect 33098 56177 33104 56189
+rect 33139 56186 33151 56189
+rect 33185 56186 33197 56220
+rect 33139 56180 33197 56186
+rect 33235 56220 33293 56226
+rect 33235 56186 33247 56220
+rect 33281 56186 33293 56220
+rect 33235 56180 33293 56186
+rect 33715 56220 33773 56226
+rect 33715 56186 33727 56220
+rect 33761 56217 33773 56220
+rect 33907 56220 33965 56226
+rect 33907 56217 33919 56220
+rect 33761 56189 33919 56217
+rect 33761 56186 33773 56189
+rect 33715 56180 33773 56186
+rect 33907 56186 33919 56189
+rect 33953 56217 33965 56220
+rect 34192 56217 34198 56229
+rect 33953 56189 34198 56217
+rect 33953 56186 33965 56189
+rect 33907 56180 33965 56186
+rect 15434 56115 15902 56143
+rect 15434 56103 15440 56115
+rect 32752 56103 32758 56155
+rect 32810 56143 32816 56155
+rect 33250 56143 33278 56180
+rect 34192 56177 34198 56189
+rect 34250 56177 34256 56229
+rect 34483 56220 34541 56226
+rect 34483 56186 34495 56220
+rect 34529 56217 34541 56220
+rect 34768 56217 34774 56229
+rect 34529 56189 34774 56217
+rect 34529 56186 34541 56189
+rect 34483 56180 34541 56186
+rect 34768 56177 34774 56189
+rect 34826 56177 34832 56229
+rect 36691 56220 36749 56226
+rect 36691 56186 36703 56220
+rect 36737 56217 36749 56220
+rect 36880 56217 36886 56229
+rect 36737 56189 36886 56217
+rect 36737 56186 36749 56189
+rect 36691 56180 36749 56186
+rect 36880 56177 36886 56189
+rect 36938 56177 36944 56229
+rect 37744 56177 37750 56229
+rect 37802 56217 37808 56229
+rect 38515 56220 38573 56226
+rect 37802 56189 37847 56217
+rect 37802 56177 37808 56189
+rect 38515 56186 38527 56220
+rect 38561 56217 38573 56220
+rect 38704 56217 38710 56229
+rect 38561 56189 38710 56217
+rect 38561 56186 38573 56189
+rect 38515 56180 38573 56186
+rect 38704 56177 38710 56189
+rect 38762 56217 38768 56229
+rect 38995 56220 39053 56226
+rect 38995 56217 39007 56220
+rect 38762 56189 39007 56217
+rect 38762 56177 38768 56189
+rect 38995 56186 39007 56189
+rect 39041 56186 39053 56220
+rect 42352 56217 42358 56229
+rect 42313 56189 42358 56217
+rect 38995 56180 39053 56186
+rect 42352 56177 42358 56189
+rect 42410 56217 42416 56229
+rect 42643 56220 42701 56226
+rect 42643 56217 42655 56220
+rect 42410 56189 42655 56217
+rect 42410 56177 42416 56189
+rect 42643 56186 42655 56189
+rect 42689 56186 42701 56220
+rect 43216 56217 43222 56229
+rect 43177 56189 43222 56217
+rect 42643 56180 42701 56186
+rect 43216 56177 43222 56189
+rect 43274 56217 43280 56229
+rect 43411 56220 43469 56226
+rect 43411 56217 43423 56220
+rect 43274 56189 43423 56217
+rect 43274 56177 43280 56189
+rect 43411 56186 43423 56189
+rect 43457 56186 43469 56220
+rect 43411 56180 43469 56186
+rect 43987 56220 44045 56226
+rect 43987 56186 43999 56220
+rect 44033 56217 44045 56220
+rect 44176 56217 44182 56229
+rect 44033 56189 44182 56217
+rect 44033 56186 44045 56189
+rect 43987 56180 44045 56186
+rect 44176 56177 44182 56189
+rect 44234 56177 44240 56229
+rect 44368 56177 44374 56229
+rect 44426 56217 44432 56229
+rect 46387 56220 46445 56226
+rect 46387 56217 46399 56220
+rect 44426 56189 46399 56217
+rect 44426 56177 44432 56189
+rect 46387 56186 46399 56189
+rect 46433 56217 46445 56220
+rect 46675 56220 46733 56226
+rect 46675 56217 46687 56220
+rect 46433 56189 46687 56217
+rect 46433 56186 46445 56189
+rect 46387 56180 46445 56186
+rect 46675 56186 46687 56189
+rect 46721 56186 46733 56220
+rect 46675 56180 46733 56186
+rect 47731 56220 47789 56226
+rect 47731 56186 47743 56220
+rect 47777 56217 47789 56220
+rect 48115 56220 48173 56226
+rect 48115 56217 48127 56220
+rect 47777 56189 48127 56217
+rect 47777 56186 47789 56189
+rect 47731 56180 47789 56186
+rect 48115 56186 48127 56189
+rect 48161 56217 48173 56220
+rect 48403 56220 48461 56226
+rect 48403 56217 48415 56220
+rect 48161 56189 48415 56217
+rect 48161 56186 48173 56189
+rect 48115 56180 48173 56186
+rect 48403 56186 48415 56189
+rect 48449 56186 48461 56220
+rect 48403 56180 48461 56186
+rect 48691 56220 48749 56226
+rect 48691 56186 48703 56220
+rect 48737 56217 48749 56220
+rect 48784 56217 48790 56229
+rect 48737 56189 48790 56217
+rect 48737 56186 48749 56189
+rect 48691 56180 48749 56186
+rect 48784 56177 48790 56189
+rect 48842 56217 48848 56229
+rect 48883 56220 48941 56226
+rect 48883 56217 48895 56220
+rect 48842 56189 48895 56217
+rect 48842 56177 48848 56189
+rect 48883 56186 48895 56189
+rect 48929 56186 48941 56220
+rect 48883 56180 48941 56186
+rect 48979 56220 49037 56226
+rect 48979 56186 48991 56220
+rect 49025 56186 49037 56220
+rect 48979 56180 49037 56186
+rect 32810 56115 33278 56143
+rect 32810 56103 32816 56115
+rect 48592 56103 48598 56155
+rect 48650 56143 48656 56155
+rect 48994 56143 49022 56180
+rect 49072 56177 49078 56229
+rect 49130 56217 49136 56229
+rect 50611 56220 50669 56226
+rect 50611 56217 50623 56220
+rect 49130 56189 50623 56217
+rect 49130 56177 49136 56189
+rect 50611 56186 50623 56189
+rect 50657 56186 50669 56220
+rect 50611 56180 50669 56186
+rect 51955 56220 52013 56226
+rect 51955 56186 51967 56220
+rect 52001 56186 52013 56220
+rect 51955 56180 52013 56186
+rect 52723 56220 52781 56226
+rect 52723 56186 52735 56220
+rect 52769 56217 52781 56220
+rect 52912 56217 52918 56229
+rect 52769 56189 52918 56217
+rect 52769 56186 52781 56189
+rect 52723 56180 52781 56186
+rect 48650 56115 49022 56143
+rect 48650 56103 48656 56115
+rect 51184 56103 51190 56155
+rect 51242 56143 51248 56155
+rect 51970 56143 51998 56180
+rect 52912 56177 52918 56189
+rect 52970 56177 52976 56229
+rect 53776 56217 53782 56229
+rect 53737 56189 53782 56217
+rect 53776 56177 53782 56189
+rect 53834 56177 53840 56229
+rect 54259 56220 54317 56226
+rect 54259 56186 54271 56220
+rect 54305 56217 54317 56220
+rect 54448 56217 54454 56229
+rect 54305 56189 54454 56217
+rect 54305 56186 54317 56189
+rect 54259 56180 54317 56186
+rect 54448 56177 54454 56189
+rect 54506 56177 54512 56229
+rect 55027 56220 55085 56226
+rect 55027 56186 55039 56220
+rect 55073 56217 55085 56220
+rect 55216 56217 55222 56229
+rect 55073 56189 55222 56217
+rect 55073 56186 55085 56189
+rect 55027 56180 55085 56186
+rect 55216 56177 55222 56189
+rect 55274 56217 55280 56229
+rect 55507 56220 55565 56226
+rect 55507 56217 55519 56220
+rect 55274 56189 55519 56217
+rect 55274 56177 55280 56189
+rect 55507 56186 55519 56189
+rect 55553 56186 55565 56220
+rect 55507 56180 55565 56186
+rect 51242 56115 51998 56143
+rect 51242 56103 51248 56115
+rect 36976 56029 36982 56081
+rect 37034 56069 37040 56081
+rect 40816 56069 40822 56081
+rect 37034 56041 40822 56069
+rect 37034 56029 37040 56041
+rect 40816 56029 40822 56041
+rect 40874 56029 40880 56081
+rect 1152 55970 58848 55992
+rect 1152 55918 4294 55970
+rect 4346 55918 4358 55970
+rect 4410 55918 4422 55970
+rect 4474 55918 4486 55970
+rect 4538 55918 35014 55970
+rect 35066 55918 35078 55970
+rect 35130 55918 35142 55970
+rect 35194 55918 35206 55970
+rect 35258 55918 58848 55970
+rect 1152 55896 58848 55918
+rect 41491 55776 41549 55782
+rect 41491 55742 41503 55776
+rect 41537 55773 41549 55776
+rect 41779 55776 41837 55782
+rect 41779 55773 41791 55776
+rect 41537 55745 41791 55773
+rect 41537 55742 41549 55745
+rect 41491 55736 41549 55742
+rect 41779 55742 41791 55745
+rect 41825 55773 41837 55776
+rect 49264 55773 49270 55785
+rect 41825 55745 49270 55773
+rect 41825 55742 41837 55745
+rect 41779 55736 41837 55742
+rect 49264 55733 49270 55745
+rect 49322 55733 49328 55785
+rect 1168 55659 1174 55711
+rect 1226 55699 1232 55711
+rect 1651 55702 1709 55708
+rect 1651 55699 1663 55702
+rect 1226 55671 1663 55699
+rect 1226 55659 1232 55671
+rect 1651 55668 1663 55671
+rect 1697 55668 1709 55702
+rect 1651 55662 1709 55668
+rect 4435 55702 4493 55708
+rect 4435 55668 4447 55702
+rect 4481 55699 4493 55702
+rect 4624 55699 4630 55711
+rect 4481 55671 4630 55699
+rect 4481 55668 4493 55671
+rect 4435 55662 4493 55668
+rect 4624 55659 4630 55671
+rect 4682 55659 4688 55711
+rect 7504 55659 7510 55711
+rect 7562 55699 7568 55711
+rect 7603 55702 7661 55708
+rect 7603 55699 7615 55702
+rect 7562 55671 7615 55699
+rect 7562 55659 7568 55671
+rect 7603 55668 7615 55671
+rect 7649 55668 7661 55702
+rect 7603 55662 7661 55668
+rect 9136 55659 9142 55711
+rect 9194 55699 9200 55711
+rect 9331 55702 9389 55708
+rect 9331 55699 9343 55702
+rect 9194 55671 9343 55699
+rect 9194 55659 9200 55671
+rect 9331 55668 9343 55671
+rect 9377 55668 9389 55702
+rect 9331 55662 9389 55668
+rect 13840 55659 13846 55711
+rect 13898 55699 13904 55711
+rect 13939 55702 13997 55708
+rect 13939 55699 13951 55702
+rect 13898 55671 13951 55699
+rect 13898 55659 13904 55671
+rect 13939 55668 13951 55671
+rect 13985 55668 13997 55702
+rect 13939 55662 13997 55668
+rect 20176 55659 20182 55711
+rect 20234 55699 20240 55711
+rect 20371 55702 20429 55708
+rect 20371 55699 20383 55702
+rect 20234 55671 20383 55699
+rect 20234 55659 20240 55671
+rect 20371 55668 20383 55671
+rect 20417 55668 20429 55702
+rect 20371 55662 20429 55668
+rect 23344 55659 23350 55711
+rect 23402 55699 23408 55711
+rect 23539 55702 23597 55708
+rect 23539 55699 23551 55702
+rect 23402 55671 23551 55699
+rect 23402 55659 23408 55671
+rect 23539 55668 23551 55671
+rect 23585 55668 23597 55702
+rect 23539 55662 23597 55668
+rect 24880 55659 24886 55711
+rect 24938 55699 24944 55711
+rect 24979 55702 25037 55708
+rect 24979 55699 24991 55702
+rect 24938 55671 24991 55699
+rect 24938 55659 24944 55671
+rect 24979 55668 24991 55671
+rect 25025 55668 25037 55702
+rect 24979 55662 25037 55668
+rect 39088 55659 39094 55711
+rect 39146 55699 39152 55711
+rect 39283 55702 39341 55708
+rect 39283 55699 39295 55702
+rect 39146 55671 39295 55699
+rect 39146 55659 39152 55671
+rect 39283 55668 39295 55671
+rect 39329 55668 39341 55702
+rect 39283 55662 39341 55668
+rect 40720 55659 40726 55711
+rect 40778 55699 40784 55711
+rect 40915 55702 40973 55708
+rect 40915 55699 40927 55702
+rect 40778 55671 40927 55699
+rect 40778 55659 40784 55671
+rect 40915 55668 40927 55671
+rect 40961 55668 40973 55702
+rect 40915 55662 40973 55668
+rect 43795 55702 43853 55708
+rect 43795 55668 43807 55702
+rect 43841 55699 43853 55702
+rect 44083 55702 44141 55708
+rect 44083 55699 44095 55702
+rect 43841 55671 44095 55699
+rect 43841 55668 43853 55671
+rect 43795 55662 43853 55668
+rect 44083 55668 44095 55671
+rect 44129 55699 44141 55702
+rect 45328 55699 45334 55711
+rect 44129 55671 45334 55699
+rect 44129 55668 44141 55671
+rect 44083 55662 44141 55668
+rect 45328 55659 45334 55671
+rect 45386 55659 45392 55711
+rect 45424 55659 45430 55711
+rect 45482 55699 45488 55711
+rect 45619 55702 45677 55708
+rect 45619 55699 45631 55702
+rect 45482 55671 45631 55699
+rect 45482 55659 45488 55671
+rect 45619 55668 45631 55671
+rect 45665 55668 45677 55702
+rect 45619 55662 45677 55668
+rect 46960 55659 46966 55711
+rect 47018 55699 47024 55711
+rect 47059 55702 47117 55708
+rect 47059 55699 47071 55702
+rect 47018 55671 47071 55699
+rect 47018 55659 47024 55671
+rect 47059 55668 47071 55671
+rect 47105 55668 47117 55702
+rect 47059 55662 47117 55668
+rect 51760 55659 51766 55711
+rect 51818 55699 51824 55711
+rect 51955 55702 52013 55708
+rect 51955 55699 51967 55702
+rect 51818 55671 51967 55699
+rect 51818 55659 51824 55671
+rect 51955 55668 51967 55671
+rect 52001 55668 52013 55702
+rect 51955 55662 52013 55668
+rect 56464 55659 56470 55711
+rect 56522 55699 56528 55711
+rect 56563 55702 56621 55708
+rect 56563 55699 56575 55702
+rect 56522 55671 56575 55699
+rect 56522 55659 56528 55671
+rect 56563 55668 56575 55671
+rect 56609 55668 56621 55702
+rect 56563 55662 56621 55668
+rect 57520 55659 57526 55711
+rect 57578 55699 57584 55711
+rect 57715 55702 57773 55708
+rect 57715 55699 57727 55702
+rect 57578 55671 57727 55699
+rect 57578 55659 57584 55671
+rect 57715 55668 57727 55671
+rect 57761 55668 57773 55702
+rect 57715 55662 57773 55668
+rect 7216 55585 7222 55637
+rect 7274 55625 7280 55637
+rect 54451 55628 54509 55634
+rect 54451 55625 54463 55628
+rect 7274 55597 54463 55625
+rect 7274 55585 7280 55597
+rect 54451 55594 54463 55597
+rect 54497 55594 54509 55628
+rect 54451 55588 54509 55594
+rect 1747 55554 1805 55560
+rect 1747 55520 1759 55554
+rect 1793 55551 1805 55554
+rect 1840 55551 1846 55563
+rect 1793 55523 1846 55551
+rect 1793 55520 1805 55523
+rect 1747 55514 1805 55520
+rect 1840 55511 1846 55523
+rect 1898 55511 1904 55563
+rect 4243 55554 4301 55560
+rect 4243 55520 4255 55554
+rect 4289 55551 4301 55554
+rect 4531 55554 4589 55560
+rect 4531 55551 4543 55554
+rect 4289 55523 4543 55551
+rect 4289 55520 4301 55523
+rect 4243 55514 4301 55520
+rect 4531 55520 4543 55523
+rect 4577 55551 4589 55554
+rect 4624 55551 4630 55563
+rect 4577 55523 4630 55551
+rect 4577 55520 4589 55523
+rect 4531 55514 4589 55520
+rect 4624 55511 4630 55523
+rect 4682 55511 4688 55563
+rect 7696 55551 7702 55563
+rect 7657 55523 7702 55551
+rect 7696 55511 7702 55523
+rect 7754 55511 7760 55563
+rect 8371 55554 8429 55560
+rect 8371 55520 8383 55554
+rect 8417 55551 8429 55554
+rect 8656 55551 8662 55563
+rect 8417 55523 8662 55551
+rect 8417 55520 8429 55523
+rect 8371 55514 8429 55520
+rect 8656 55511 8662 55523
+rect 8714 55511 8720 55563
+rect 9043 55554 9101 55560
+rect 9043 55520 9055 55554
+rect 9089 55551 9101 55554
+rect 9232 55551 9238 55563
+rect 9089 55523 9238 55551
+rect 9089 55520 9101 55523
+rect 9043 55514 9101 55520
+rect 9232 55511 9238 55523
+rect 9290 55511 9296 55563
+rect 10576 55511 10582 55563
+rect 10634 55551 10640 55563
+rect 14035 55554 14093 55560
+rect 14035 55551 14047 55554
+rect 10634 55523 14047 55551
+rect 10634 55511 10640 55523
+rect 14035 55520 14047 55523
+rect 14081 55520 14093 55554
+rect 14035 55514 14093 55520
+rect 15667 55554 15725 55560
+rect 15667 55520 15679 55554
+rect 15713 55551 15725 55554
+rect 15952 55551 15958 55563
+rect 15713 55523 15958 55551
+rect 15713 55520 15725 55523
+rect 15667 55514 15725 55520
+rect 15952 55511 15958 55523
+rect 16010 55511 16016 55563
+rect 20275 55554 20333 55560
+rect 20275 55551 20287 55554
+rect 20002 55523 20287 55551
+rect 20002 55415 20030 55523
+rect 20275 55520 20287 55523
+rect 20321 55520 20333 55554
+rect 23443 55554 23501 55560
+rect 23443 55551 23455 55554
+rect 20275 55514 20333 55520
+rect 23170 55523 23455 55551
+rect 23170 55415 23198 55523
+rect 23443 55520 23455 55523
+rect 23489 55520 23501 55554
+rect 23443 55514 23501 55520
+rect 24976 55511 24982 55563
+rect 25034 55551 25040 55563
+rect 25075 55554 25133 55560
+rect 25075 55551 25087 55554
+rect 25034 55523 25087 55551
+rect 25034 55511 25040 55523
+rect 25075 55520 25087 55523
+rect 25121 55520 25133 55554
+rect 25075 55514 25133 55520
+rect 38995 55554 39053 55560
+rect 38995 55520 39007 55554
+rect 39041 55551 39053 55554
+rect 39184 55551 39190 55563
+rect 39041 55523 39190 55551
+rect 39041 55520 39053 55523
+rect 38995 55514 39053 55520
+rect 39184 55511 39190 55523
+rect 39242 55511 39248 55563
+rect 40528 55511 40534 55563
+rect 40586 55551 40592 55563
+rect 40819 55554 40877 55560
+rect 40819 55551 40831 55554
+rect 40586 55523 40831 55551
+rect 40586 55511 40592 55523
+rect 40819 55520 40831 55523
+rect 40865 55520 40877 55554
+rect 40819 55514 40877 55520
+rect 45232 55511 45238 55563
+rect 45290 55551 45296 55563
+rect 45523 55554 45581 55560
+rect 45523 55551 45535 55554
+rect 45290 55523 45535 55551
+rect 45290 55511 45296 55523
+rect 45523 55520 45535 55523
+rect 45569 55520 45581 55554
+rect 45523 55514 45581 55520
+rect 46867 55554 46925 55560
+rect 46867 55520 46879 55554
+rect 46913 55551 46925 55554
+rect 47155 55554 47213 55560
+rect 47155 55551 47167 55554
+rect 46913 55523 47167 55551
+rect 46913 55520 46925 55523
+rect 46867 55514 46925 55520
+rect 47155 55520 47167 55523
+rect 47201 55551 47213 55554
+rect 49648 55551 49654 55563
+rect 47201 55523 49654 55551
+rect 47201 55520 47213 55523
+rect 47155 55514 47213 55520
+rect 49648 55511 49654 55523
+rect 49706 55511 49712 55563
+rect 51760 55511 51766 55563
+rect 51818 55551 51824 55563
+rect 51859 55554 51917 55560
+rect 51859 55551 51871 55554
+rect 51818 55523 51871 55551
+rect 51818 55511 51824 55523
+rect 51859 55520 51871 55523
+rect 51905 55551 51917 55554
+rect 52147 55554 52205 55560
+rect 52147 55551 52159 55554
+rect 51905 55523 52159 55551
+rect 51905 55520 51917 55523
+rect 51859 55514 51917 55520
+rect 52147 55520 52159 55523
+rect 52193 55520 52205 55554
+rect 52147 55514 52205 55520
+rect 56659 55554 56717 55560
+rect 56659 55520 56671 55554
+rect 56705 55520 56717 55554
+rect 57619 55554 57677 55560
+rect 57619 55551 57631 55554
+rect 56659 55514 56717 55520
+rect 57346 55523 57631 55551
+rect 32176 55437 32182 55489
+rect 32234 55477 32240 55489
+rect 56674 55477 56702 55514
+rect 32234 55449 56702 55477
+rect 32234 55437 32240 55449
+rect 19984 55403 19990 55415
+rect 19945 55375 19990 55403
+rect 19984 55363 19990 55375
+rect 20042 55363 20048 55415
+rect 23152 55403 23158 55415
+rect 23113 55375 23158 55403
+rect 23152 55363 23158 55375
+rect 23210 55363 23216 55415
+rect 40528 55403 40534 55415
+rect 40489 55375 40534 55403
+rect 40528 55363 40534 55375
+rect 40586 55363 40592 55415
+rect 45232 55403 45238 55415
+rect 45193 55375 45238 55403
+rect 45232 55363 45238 55375
+rect 45290 55363 45296 55415
+rect 51667 55406 51725 55412
+rect 51667 55372 51679 55406
+rect 51713 55403 51725 55406
+rect 51760 55403 51766 55415
+rect 51713 55375 51766 55403
+rect 51713 55372 51725 55375
+rect 51667 55366 51725 55372
+rect 51760 55363 51766 55375
+rect 51818 55363 51824 55415
+rect 57232 55363 57238 55415
+rect 57290 55403 57296 55415
+rect 57346 55412 57374 55523
+rect 57619 55520 57631 55523
+rect 57665 55520 57677 55554
+rect 57619 55514 57677 55520
+rect 57331 55406 57389 55412
+rect 57331 55403 57343 55406
+rect 57290 55375 57343 55403
+rect 57290 55363 57296 55375
+rect 57331 55372 57343 55375
+rect 57377 55372 57389 55406
+rect 57331 55366 57389 55372
+rect 1152 55304 58848 55326
+rect 1152 55252 19654 55304
+rect 19706 55252 19718 55304
+rect 19770 55252 19782 55304
+rect 19834 55252 19846 55304
+rect 19898 55252 50374 55304
+rect 50426 55252 50438 55304
+rect 50490 55252 50502 55304
+rect 50554 55252 50566 55304
+rect 50618 55252 58848 55304
+rect 1152 55230 58848 55252
+rect 15376 55141 15382 55193
+rect 15434 55181 15440 55193
+rect 40528 55181 40534 55193
+rect 15434 55153 40534 55181
+rect 15434 55141 15440 55153
+rect 40528 55141 40534 55153
+rect 40586 55141 40592 55193
+rect 57907 55184 57965 55190
+rect 57907 55150 57919 55184
+rect 57953 55181 57965 55184
+rect 59152 55181 59158 55193
+rect 57953 55153 59158 55181
+rect 57953 55150 57965 55153
+rect 57907 55144 57965 55150
+rect 59152 55141 59158 55153
+rect 59210 55141 59216 55193
+rect 15952 55067 15958 55119
+rect 16010 55107 16016 55119
+rect 37456 55107 37462 55119
+rect 16010 55079 37462 55107
+rect 16010 55067 16016 55079
+rect 37456 55067 37462 55079
+rect 37514 55067 37520 55119
+rect 8656 54919 8662 54971
+rect 8714 54959 8720 54971
+rect 40624 54959 40630 54971
+rect 8714 54931 40630 54959
+rect 8714 54919 8720 54931
+rect 40624 54919 40630 54931
+rect 40682 54919 40688 54971
+rect 26032 54845 26038 54897
+rect 26090 54885 26096 54897
+rect 57619 54888 57677 54894
+rect 57619 54885 57631 54888
+rect 26090 54857 57631 54885
+rect 26090 54845 26096 54857
+rect 57619 54854 57631 54857
+rect 57665 54885 57677 54888
+rect 57811 54888 57869 54894
+rect 57811 54885 57823 54888
+rect 57665 54857 57823 54885
+rect 57665 54854 57677 54857
+rect 57619 54848 57677 54854
+rect 57811 54854 57823 54857
+rect 57857 54854 57869 54888
+rect 57811 54848 57869 54854
+rect 2224 54737 2230 54749
+rect 2185 54709 2230 54737
+rect 2224 54697 2230 54709
+rect 2282 54737 2288 54749
+rect 2611 54740 2669 54746
+rect 2611 54737 2623 54740
+rect 2282 54709 2623 54737
+rect 2282 54697 2288 54709
+rect 2611 54706 2623 54709
+rect 2657 54706 2669 54740
+rect 2611 54700 2669 54706
+rect 6835 54740 6893 54746
+rect 6835 54706 6847 54740
+rect 6881 54737 6893 54740
+rect 10576 54737 10582 54749
+rect 6881 54709 10582 54737
+rect 6881 54706 6893 54709
+rect 6835 54700 6893 54706
+rect 10576 54697 10582 54709
+rect 10634 54697 10640 54749
+rect 41104 54737 41110 54749
+rect 41065 54709 41110 54737
+rect 41104 54697 41110 54709
+rect 41162 54737 41168 54749
+rect 41299 54740 41357 54746
+rect 41299 54737 41311 54740
+rect 41162 54709 41311 54737
+rect 41162 54697 41168 54709
+rect 41299 54706 41311 54709
+rect 41345 54706 41357 54740
+rect 41299 54700 41357 54706
+rect 1152 54638 58848 54660
+rect 1152 54586 4294 54638
+rect 4346 54586 4358 54638
+rect 4410 54586 4422 54638
+rect 4474 54586 4486 54638
+rect 4538 54586 35014 54638
+rect 35066 54586 35078 54638
+rect 35130 54586 35142 54638
+rect 35194 54586 35206 54638
+rect 35258 54586 58848 54638
+rect 1152 54564 58848 54586
+rect 45331 54518 45389 54524
+rect 45331 54484 45343 54518
+rect 45377 54515 45389 54518
+rect 49072 54515 49078 54527
+rect 45377 54487 49078 54515
+rect 45377 54484 45389 54487
+rect 45331 54478 45389 54484
+rect 49072 54475 49078 54487
+rect 49130 54475 49136 54527
+rect 43792 54327 43798 54379
+rect 43850 54367 43856 54379
+rect 44467 54370 44525 54376
+rect 44467 54367 44479 54370
+rect 43850 54339 44479 54367
+rect 43850 54327 43856 54339
+rect 44467 54336 44479 54339
+rect 44513 54336 44525 54370
+rect 44467 54330 44525 54336
+rect 57811 54370 57869 54376
+rect 57811 54336 57823 54370
+rect 57857 54367 57869 54370
+rect 58096 54367 58102 54379
+rect 57857 54339 58102 54367
+rect 57857 54336 57869 54339
+rect 57811 54330 57869 54336
+rect 58096 54327 58102 54339
+rect 58154 54327 58160 54379
+rect 6352 54253 6358 54305
+rect 6410 54293 6416 54305
+rect 56467 54296 56525 54302
+rect 56467 54293 56479 54296
+rect 6410 54265 56479 54293
+rect 6410 54253 6416 54265
+rect 56467 54262 56479 54265
+rect 56513 54262 56525 54296
+rect 56467 54256 56525 54262
+rect 7699 54222 7757 54228
+rect 7699 54188 7711 54222
+rect 7745 54219 7757 54222
+rect 7987 54222 8045 54228
+rect 7987 54219 7999 54222
+rect 7745 54191 7999 54219
+rect 7745 54188 7757 54191
+rect 7699 54182 7757 54188
+rect 7987 54188 7999 54191
+rect 8033 54219 8045 54222
+rect 10480 54219 10486 54231
+rect 8033 54191 10486 54219
+rect 8033 54188 8045 54191
+rect 7987 54182 8045 54188
+rect 10480 54179 10486 54191
+rect 10538 54179 10544 54231
+rect 28243 54222 28301 54228
+rect 28243 54188 28255 54222
+rect 28289 54219 28301 54222
+rect 28339 54222 28397 54228
+rect 28339 54219 28351 54222
+rect 28289 54191 28351 54219
+rect 28289 54188 28301 54191
+rect 28243 54182 28301 54188
+rect 28339 54188 28351 54191
+rect 28385 54188 28397 54222
+rect 28339 54182 28397 54188
+rect 52435 54222 52493 54228
+rect 52435 54188 52447 54222
+rect 52481 54188 52493 54222
+rect 57904 54219 57910 54231
+rect 57865 54191 57910 54219
+rect 52435 54182 52493 54188
+rect 18832 54105 18838 54157
+rect 18890 54145 18896 54157
+rect 52243 54148 52301 54154
+rect 52243 54145 52255 54148
+rect 18890 54117 52255 54145
+rect 18890 54105 18896 54117
+rect 52243 54114 52255 54117
+rect 52289 54145 52301 54148
+rect 52450 54145 52478 54182
+rect 57904 54179 57910 54191
+rect 57962 54179 57968 54231
+rect 52289 54117 52478 54145
+rect 52289 54114 52301 54117
+rect 52243 54108 52301 54114
+rect 28339 54074 28397 54080
+rect 28339 54040 28351 54074
+rect 28385 54071 28397 54074
+rect 44080 54071 44086 54083
+rect 28385 54043 44086 54071
+rect 28385 54040 28397 54043
+rect 28339 54034 28397 54040
+rect 44080 54031 44086 54043
+rect 44138 54031 44144 54083
+rect 1152 53972 58848 53994
+rect 1152 53920 19654 53972
+rect 19706 53920 19718 53972
+rect 19770 53920 19782 53972
+rect 19834 53920 19846 53972
+rect 19898 53920 50374 53972
+rect 50426 53920 50438 53972
+rect 50490 53920 50502 53972
+rect 50554 53920 50566 53972
+rect 50618 53920 58848 53972
+rect 1152 53898 58848 53920
+rect 57907 53852 57965 53858
+rect 57907 53818 57919 53852
+rect 57953 53849 57965 53852
+rect 59632 53849 59638 53861
+rect 57953 53821 59638 53849
+rect 57953 53818 57965 53821
+rect 57907 53812 57965 53818
+rect 59632 53809 59638 53821
+rect 59690 53809 59696 53861
+rect 11731 53556 11789 53562
+rect 11731 53522 11743 53556
+rect 11777 53553 11789 53556
+rect 40432 53553 40438 53565
+rect 11777 53525 40438 53553
+rect 11777 53522 11789 53525
+rect 11731 53516 11789 53522
+rect 40432 53513 40438 53525
+rect 40490 53513 40496 53565
+rect 57811 53556 57869 53562
+rect 57811 53553 57823 53556
+rect 57634 53525 57823 53553
+rect 57634 53417 57662 53525
+rect 57811 53522 57823 53525
+rect 57857 53522 57869 53556
+rect 57811 53516 57869 53522
+rect 16915 53408 16973 53414
+rect 16915 53374 16927 53408
+rect 16961 53405 16973 53408
+rect 18064 53405 18070 53417
+rect 16961 53377 18070 53405
+rect 16961 53374 16973 53377
+rect 16915 53368 16973 53374
+rect 18064 53365 18070 53377
+rect 18122 53365 18128 53417
+rect 57616 53365 57622 53417
+rect 57674 53405 57680 53417
+rect 57674 53377 57719 53405
+rect 57674 53365 57680 53377
+rect 1152 53306 58848 53328
+rect 1152 53254 4294 53306
+rect 4346 53254 4358 53306
+rect 4410 53254 4422 53306
+rect 4474 53254 4486 53306
+rect 4538 53254 35014 53306
+rect 35066 53254 35078 53306
+rect 35130 53254 35142 53306
+rect 35194 53254 35206 53306
+rect 35258 53254 58848 53306
+rect 1152 53232 58848 53254
+rect 2512 52847 2518 52899
+rect 2570 52887 2576 52899
+rect 31027 52890 31085 52896
+rect 31027 52887 31039 52890
+rect 2570 52859 31039 52887
+rect 2570 52847 2576 52859
+rect 31027 52856 31039 52859
+rect 31073 52887 31085 52890
+rect 31219 52890 31277 52896
+rect 31219 52887 31231 52890
+rect 31073 52859 31231 52887
+rect 31073 52856 31085 52859
+rect 31027 52850 31085 52856
+rect 31219 52856 31231 52859
+rect 31265 52856 31277 52890
+rect 31219 52850 31277 52856
+rect 33523 52890 33581 52896
+rect 33523 52856 33535 52890
+rect 33569 52887 33581 52890
+rect 53776 52887 53782 52899
+rect 33569 52859 53782 52887
+rect 33569 52856 33581 52859
+rect 33523 52850 33581 52856
+rect 53776 52847 53782 52859
+rect 53834 52847 53840 52899
+rect 1152 52640 58848 52662
+rect 1152 52588 19654 52640
+rect 19706 52588 19718 52640
+rect 19770 52588 19782 52640
+rect 19834 52588 19846 52640
+rect 19898 52588 50374 52640
+rect 50426 52588 50438 52640
+rect 50490 52588 50502 52640
+rect 50554 52588 50566 52640
+rect 50618 52588 58848 52640
+rect 1152 52566 58848 52588
+rect 22864 52477 22870 52529
+rect 22922 52517 22928 52529
+rect 27091 52520 27149 52526
+rect 27091 52517 27103 52520
+rect 22922 52489 27103 52517
+rect 22922 52477 22928 52489
+rect 27091 52486 27103 52489
+rect 27137 52486 27149 52520
+rect 27091 52480 27149 52486
+rect 25363 52076 25421 52082
+rect 25363 52042 25375 52076
+rect 25409 52073 25421 52076
+rect 25651 52076 25709 52082
+rect 25651 52073 25663 52076
+rect 25409 52045 25663 52073
+rect 25409 52042 25421 52045
+rect 25363 52036 25421 52042
+rect 25651 52042 25663 52045
+rect 25697 52073 25709 52076
+rect 28240 52073 28246 52085
+rect 25697 52045 28246 52073
+rect 25697 52042 25709 52045
+rect 25651 52036 25709 52042
+rect 28240 52033 28246 52045
+rect 28298 52033 28304 52085
+rect 47923 52076 47981 52082
+rect 47923 52042 47935 52076
+rect 47969 52073 47981 52076
+rect 48016 52073 48022 52085
+rect 47969 52045 48022 52073
+rect 47969 52042 47981 52045
+rect 47923 52036 47981 52042
+rect 48016 52033 48022 52045
+rect 48074 52033 48080 52085
+rect 1152 51974 58848 51996
+rect 1152 51922 4294 51974
+rect 4346 51922 4358 51974
+rect 4410 51922 4422 51974
+rect 4474 51922 4486 51974
+rect 4538 51922 35014 51974
+rect 35066 51922 35078 51974
+rect 35130 51922 35142 51974
+rect 35194 51922 35206 51974
+rect 35258 51922 58848 51974
+rect 1152 51900 58848 51922
+rect 24307 51632 24365 51638
+rect 24307 51598 24319 51632
+rect 24353 51629 24365 51632
+rect 24595 51632 24653 51638
+rect 24595 51629 24607 51632
+rect 24353 51601 24607 51629
+rect 24353 51598 24365 51601
+rect 24307 51592 24365 51598
+rect 24595 51598 24607 51601
+rect 24641 51629 24653 51632
+rect 24641 51601 25406 51629
+rect 24641 51598 24653 51601
+rect 24595 51592 24653 51598
+rect 15283 51558 15341 51564
+rect 15283 51524 15295 51558
+rect 15329 51524 15341 51558
+rect 15283 51518 15341 51524
+rect 25075 51558 25133 51564
+rect 25075 51524 25087 51558
+rect 25121 51524 25133 51558
+rect 25075 51518 25133 51524
+rect 15088 51407 15094 51419
+rect 15049 51379 15094 51407
+rect 15088 51367 15094 51379
+rect 15146 51407 15152 51419
+rect 15298 51407 15326 51518
+rect 15146 51379 15326 51407
+rect 15146 51367 15152 51379
+rect 18160 51367 18166 51419
+rect 18218 51407 18224 51419
+rect 24883 51410 24941 51416
+rect 24883 51407 24895 51410
+rect 18218 51379 24895 51407
+rect 18218 51367 18224 51379
+rect 24883 51376 24895 51379
+rect 24929 51407 24941 51410
+rect 25090 51407 25118 51518
+rect 25378 51481 25406 51601
+rect 26128 51515 26134 51567
+rect 26186 51555 26192 51567
+rect 51379 51558 51437 51564
+rect 51379 51555 51391 51558
+rect 26186 51527 51391 51555
+rect 26186 51515 26192 51527
+rect 51379 51524 51391 51527
+rect 51425 51524 51437 51558
+rect 51379 51518 51437 51524
+rect 46288 51481 46294 51493
+rect 25378 51453 46294 51481
+rect 46288 51441 46294 51453
+rect 46346 51441 46352 51493
+rect 24929 51379 25118 51407
+rect 24929 51376 24941 51379
+rect 24883 51370 24941 51376
+rect 1152 51308 58848 51330
+rect 1152 51256 19654 51308
+rect 19706 51256 19718 51308
+rect 19770 51256 19782 51308
+rect 19834 51256 19846 51308
+rect 19898 51256 50374 51308
+rect 50426 51256 50438 51308
+rect 50490 51256 50502 51308
+rect 50554 51256 50566 51308
+rect 50618 51256 58848 51308
+rect 1152 51234 58848 51256
+rect 52720 51185 52726 51197
+rect 52681 51157 52726 51185
+rect 52720 51145 52726 51157
+rect 52778 51145 52784 51197
+rect 8371 50744 8429 50750
+rect 8371 50710 8383 50744
+rect 8417 50741 8429 50744
+rect 8656 50741 8662 50753
+rect 8417 50713 8662 50741
+rect 8417 50710 8429 50713
+rect 8371 50704 8429 50710
+rect 8656 50701 8662 50713
+rect 8714 50701 8720 50753
+rect 27184 50701 27190 50753
+rect 27242 50741 27248 50753
+rect 30547 50744 30605 50750
+rect 30547 50741 30559 50744
+rect 27242 50713 30559 50741
+rect 27242 50701 27248 50713
+rect 30547 50710 30559 50713
+rect 30593 50741 30605 50744
+rect 30739 50744 30797 50750
+rect 30739 50741 30751 50744
+rect 30593 50713 30751 50741
+rect 30593 50710 30605 50713
+rect 30547 50704 30605 50710
+rect 30739 50710 30751 50713
+rect 30785 50710 30797 50744
+rect 30739 50704 30797 50710
+rect 1152 50642 58848 50664
+rect 1152 50590 4294 50642
+rect 4346 50590 4358 50642
+rect 4410 50590 4422 50642
+rect 4474 50590 4486 50642
+rect 4538 50590 35014 50642
+rect 35066 50590 35078 50642
+rect 35130 50590 35142 50642
+rect 35194 50590 35206 50642
+rect 35258 50590 58848 50642
+rect 1152 50568 58848 50590
+rect 8656 50479 8662 50531
+rect 8714 50519 8720 50531
+rect 42256 50519 42262 50531
+rect 8714 50491 42262 50519
+rect 8714 50479 8720 50491
+rect 42256 50479 42262 50491
+rect 42314 50479 42320 50531
+rect 44275 50226 44333 50232
+rect 44275 50192 44287 50226
+rect 44321 50223 44333 50226
+rect 44563 50226 44621 50232
+rect 44563 50223 44575 50226
+rect 44321 50195 44575 50223
+rect 44321 50192 44333 50195
+rect 44275 50186 44333 50192
+rect 44563 50192 44575 50195
+rect 44609 50223 44621 50226
+rect 46768 50223 46774 50235
+rect 44609 50195 46774 50223
+rect 44609 50192 44621 50195
+rect 44563 50186 44621 50192
+rect 46768 50183 46774 50195
+rect 46826 50183 46832 50235
+rect 52528 50183 52534 50235
+rect 52586 50223 52592 50235
+rect 52723 50226 52781 50232
+rect 52723 50223 52735 50226
+rect 52586 50195 52735 50223
+rect 52586 50183 52592 50195
+rect 52723 50192 52735 50195
+rect 52769 50192 52781 50226
+rect 52723 50186 52781 50192
+rect 54643 50226 54701 50232
+rect 54643 50192 54655 50226
+rect 54689 50192 54701 50226
+rect 54643 50186 54701 50192
+rect 55603 50226 55661 50232
+rect 55603 50192 55615 50226
+rect 55649 50192 55661 50226
+rect 55603 50186 55661 50192
+rect 19408 50109 19414 50161
+rect 19466 50149 19472 50161
+rect 54451 50152 54509 50158
+rect 54451 50149 54463 50152
+rect 19466 50121 54463 50149
+rect 19466 50109 19472 50121
+rect 54451 50118 54463 50121
+rect 54497 50149 54509 50152
+rect 54658 50149 54686 50186
+rect 54497 50121 54686 50149
+rect 54497 50118 54509 50121
+rect 54451 50112 54509 50118
+rect 10384 50035 10390 50087
+rect 10442 50075 10448 50087
+rect 45715 50078 45773 50084
+rect 45715 50075 45727 50078
+rect 10442 50047 45727 50075
+rect 10442 50035 10448 50047
+rect 45715 50044 45727 50047
+rect 45761 50044 45773 50078
+rect 52528 50075 52534 50087
+rect 52489 50047 52534 50075
+rect 45715 50038 45773 50044
+rect 52528 50035 52534 50047
+rect 52586 50035 52592 50087
+rect 55408 50075 55414 50087
+rect 55369 50047 55414 50075
+rect 55408 50035 55414 50047
+rect 55466 50075 55472 50087
+rect 55618 50075 55646 50186
+rect 55466 50047 55646 50075
+rect 55466 50035 55472 50047
+rect 1152 49976 58848 49998
+rect 1152 49924 19654 49976
+rect 19706 49924 19718 49976
+rect 19770 49924 19782 49976
+rect 19834 49924 19846 49976
+rect 19898 49924 50374 49976
+rect 50426 49924 50438 49976
+rect 50490 49924 50502 49976
+rect 50554 49924 50566 49976
+rect 50618 49924 58848 49976
+rect 1152 49902 58848 49924
+rect 38416 49813 38422 49865
+rect 38474 49853 38480 49865
+rect 55408 49853 55414 49865
+rect 38474 49825 55414 49853
+rect 38474 49813 38480 49825
+rect 55408 49813 55414 49825
+rect 55466 49813 55472 49865
+rect 13744 49739 13750 49791
+rect 13802 49779 13808 49791
+rect 52528 49779 52534 49791
+rect 13802 49751 52534 49779
+rect 13802 49739 13808 49751
+rect 52528 49739 52534 49751
+rect 52586 49739 52592 49791
+rect 29491 49412 29549 49418
+rect 29491 49378 29503 49412
+rect 29537 49409 29549 49412
+rect 38800 49409 38806 49421
+rect 29537 49381 38806 49409
+rect 29537 49378 29549 49381
+rect 29491 49372 29549 49378
+rect 38800 49369 38806 49381
+rect 38858 49369 38864 49421
+rect 1152 49310 58848 49332
+rect 1152 49258 4294 49310
+rect 4346 49258 4358 49310
+rect 4410 49258 4422 49310
+rect 4474 49258 4486 49310
+rect 4538 49258 35014 49310
+rect 35066 49258 35078 49310
+rect 35130 49258 35142 49310
+rect 35194 49258 35206 49310
+rect 35258 49258 58848 49310
+rect 1152 49236 58848 49258
+rect 7186 48937 27374 48965
+rect 3187 48894 3245 48900
+rect 3187 48860 3199 48894
+rect 3233 48891 3245 48894
+rect 3475 48894 3533 48900
+rect 3475 48891 3487 48894
+rect 3233 48863 3487 48891
+rect 3233 48860 3245 48863
+rect 3187 48854 3245 48860
+rect 3475 48860 3487 48863
+rect 3521 48891 3533 48894
+rect 7186 48891 7214 48937
+rect 3521 48863 7214 48891
+rect 23443 48894 23501 48900
+rect 3521 48860 3533 48863
+rect 3475 48854 3533 48860
+rect 23443 48860 23455 48894
+rect 23489 48860 23501 48894
+rect 27346 48891 27374 48937
+rect 55600 48891 55606 48903
+rect 27346 48863 55606 48891
+rect 23443 48854 23501 48860
+rect 23155 48820 23213 48826
+rect 23155 48786 23167 48820
+rect 23201 48817 23213 48820
+rect 23458 48817 23486 48854
+rect 55600 48851 55606 48863
+rect 55658 48851 55664 48903
+rect 53968 48817 53974 48829
+rect 23201 48789 53974 48817
+rect 23201 48786 23213 48789
+rect 23155 48780 23213 48786
+rect 53968 48777 53974 48789
+rect 54026 48777 54032 48829
+rect 1152 48644 58848 48666
+rect 1152 48592 19654 48644
+rect 19706 48592 19718 48644
+rect 19770 48592 19782 48644
+rect 19834 48592 19846 48644
+rect 19898 48592 50374 48644
+rect 50426 48592 50438 48644
+rect 50490 48592 50502 48644
+rect 50554 48592 50566 48644
+rect 50618 48592 58848 48644
+rect 1152 48570 58848 48592
+rect 7186 48123 27374 48151
+rect 4627 48080 4685 48086
+rect 4627 48046 4639 48080
+rect 4673 48077 4685 48080
+rect 4912 48077 4918 48089
+rect 4673 48049 4918 48077
+rect 4673 48046 4685 48049
+rect 4627 48040 4685 48046
+rect 4912 48037 4918 48049
+rect 4970 48037 4976 48089
+rect 5776 48037 5782 48089
+rect 5834 48077 5840 48089
+rect 7186 48077 7214 48123
+rect 5834 48049 7214 48077
+rect 23443 48080 23501 48086
+rect 5834 48037 5840 48049
+rect 23443 48046 23455 48080
+rect 23489 48077 23501 48080
+rect 23728 48077 23734 48089
+rect 23489 48049 23734 48077
+rect 23489 48046 23501 48049
+rect 23443 48040 23501 48046
+rect 23728 48037 23734 48049
+rect 23786 48037 23792 48089
+rect 27346 48077 27374 48123
+rect 43795 48080 43853 48086
+rect 43795 48077 43807 48080
+rect 27346 48049 43807 48077
+rect 43795 48046 43807 48049
+rect 43841 48077 43853 48080
+rect 43987 48080 44045 48086
+rect 43987 48077 43999 48080
+rect 43841 48049 43999 48077
+rect 43841 48046 43853 48049
+rect 43795 48040 43853 48046
+rect 43987 48046 43999 48049
+rect 44033 48046 44045 48080
+rect 43987 48040 44045 48046
+rect 1152 47978 58848 48000
+rect 1152 47926 4294 47978
+rect 4346 47926 4358 47978
+rect 4410 47926 4422 47978
+rect 4474 47926 4486 47978
+rect 4538 47926 35014 47978
+rect 35066 47926 35078 47978
+rect 35130 47926 35142 47978
+rect 35194 47926 35206 47978
+rect 35258 47926 58848 47978
+rect 1152 47904 58848 47926
+rect 7696 47815 7702 47867
+rect 7754 47855 7760 47867
+rect 11251 47858 11309 47864
+rect 11251 47855 11263 47858
+rect 7754 47827 11263 47855
+rect 7754 47815 7760 47827
+rect 11251 47824 11263 47827
+rect 11297 47824 11309 47858
+rect 11251 47818 11309 47824
+rect 23728 47815 23734 47867
+rect 23786 47855 23792 47867
+rect 52240 47855 52246 47867
+rect 23786 47827 52246 47855
+rect 23786 47815 23792 47827
+rect 52240 47815 52246 47827
+rect 52298 47815 52304 47867
+rect 4912 47741 4918 47793
+rect 4970 47781 4976 47793
+rect 25072 47781 25078 47793
+rect 4970 47753 25078 47781
+rect 4970 47741 4976 47753
+rect 25072 47741 25078 47753
+rect 25130 47741 25136 47793
+rect 22768 47519 22774 47571
+rect 22826 47559 22832 47571
+rect 44179 47562 44237 47568
+rect 44179 47559 44191 47562
+rect 22826 47531 44191 47559
+rect 22826 47519 22832 47531
+rect 44179 47528 44191 47531
+rect 44225 47559 44237 47562
+rect 44371 47562 44429 47568
+rect 44371 47559 44383 47562
+rect 44225 47531 44383 47559
+rect 44225 47528 44237 47531
+rect 44179 47522 44237 47528
+rect 44371 47528 44383 47531
+rect 44417 47528 44429 47562
+rect 44371 47522 44429 47528
+rect 15763 47414 15821 47420
+rect 15763 47380 15775 47414
+rect 15809 47411 15821 47414
+rect 43216 47411 43222 47423
+rect 15809 47383 43222 47411
+rect 15809 47380 15821 47383
+rect 15763 47374 15821 47380
+rect 43216 47371 43222 47383
+rect 43274 47371 43280 47423
+rect 1152 47312 58848 47334
+rect 1152 47260 19654 47312
+rect 19706 47260 19718 47312
+rect 19770 47260 19782 47312
+rect 19834 47260 19846 47312
+rect 19898 47260 50374 47312
+rect 50426 47260 50438 47312
+rect 50490 47260 50502 47312
+rect 50554 47260 50566 47312
+rect 50618 47260 58848 47312
+rect 1152 47238 58848 47260
+rect 22960 46779 22966 46831
+rect 23018 46819 23024 46831
+rect 23018 46791 37454 46819
+rect 23018 46779 23024 46791
+rect 23539 46748 23597 46754
+rect 23539 46714 23551 46748
+rect 23585 46745 23597 46748
+rect 23824 46745 23830 46757
+rect 23585 46717 23830 46745
+rect 23585 46714 23597 46717
+rect 23539 46708 23597 46714
+rect 23824 46705 23830 46717
+rect 23882 46705 23888 46757
+rect 31024 46745 31030 46757
+rect 30985 46717 31030 46745
+rect 31024 46705 31030 46717
+rect 31082 46705 31088 46757
+rect 31411 46748 31469 46754
+rect 31411 46714 31423 46748
+rect 31457 46745 31469 46748
+rect 31696 46745 31702 46757
+rect 31457 46717 31702 46745
+rect 31457 46714 31469 46717
+rect 31411 46708 31469 46714
+rect 31696 46705 31702 46717
+rect 31754 46705 31760 46757
+rect 37426 46745 37454 46791
+rect 52915 46748 52973 46754
+rect 52915 46745 52927 46748
+rect 37426 46717 52927 46745
+rect 52915 46714 52927 46717
+rect 52961 46714 52973 46748
+rect 52915 46708 52973 46714
+rect 1152 46646 58848 46668
+rect 1152 46594 4294 46646
+rect 4346 46594 4358 46646
+rect 4410 46594 4422 46646
+rect 4474 46594 4486 46646
+rect 4538 46594 35014 46646
+rect 35066 46594 35078 46646
+rect 35130 46594 35142 46646
+rect 35194 46594 35206 46646
+rect 35258 46594 58848 46646
+rect 1152 46572 58848 46594
+rect 31696 46483 31702 46535
+rect 31754 46523 31760 46535
+rect 55984 46523 55990 46535
+rect 31754 46495 55990 46523
+rect 31754 46483 31760 46495
+rect 55984 46483 55990 46495
+rect 56042 46483 56048 46535
+rect 23824 46409 23830 46461
+rect 23882 46449 23888 46461
+rect 40240 46449 40246 46461
+rect 23882 46421 40246 46449
+rect 23882 46409 23888 46421
+rect 40240 46409 40246 46421
+rect 40298 46409 40304 46461
+rect 57427 46230 57485 46236
+rect 57427 46196 57439 46230
+rect 57473 46196 57485 46230
+rect 57427 46190 57485 46196
+rect 32080 46113 32086 46165
+rect 32138 46153 32144 46165
+rect 57235 46156 57293 46162
+rect 57235 46153 57247 46156
+rect 32138 46125 57247 46153
+rect 32138 46113 32144 46125
+rect 57235 46122 57247 46125
+rect 57281 46153 57293 46156
+rect 57442 46153 57470 46190
+rect 57281 46125 57470 46153
+rect 57281 46122 57293 46125
+rect 57235 46116 57293 46122
+rect 1152 45980 58848 46002
+rect 1152 45928 19654 45980
+rect 19706 45928 19718 45980
+rect 19770 45928 19782 45980
+rect 19834 45928 19846 45980
+rect 19898 45928 50374 45980
+rect 50426 45928 50438 45980
+rect 50490 45928 50502 45980
+rect 50554 45928 50566 45980
+rect 50618 45928 58848 45980
+rect 1152 45906 58848 45928
+rect 42448 45669 42454 45721
+rect 42506 45709 42512 45721
+rect 44083 45712 44141 45718
+rect 44083 45709 44095 45712
+rect 42506 45681 44095 45709
+rect 42506 45669 42512 45681
+rect 44083 45678 44095 45681
+rect 44129 45678 44141 45712
+rect 44083 45672 44141 45678
+rect 9715 45416 9773 45422
+rect 9715 45382 9727 45416
+rect 9761 45413 9773 45416
+rect 10000 45413 10006 45425
+rect 9761 45385 10006 45413
+rect 9761 45382 9773 45385
+rect 9715 45376 9773 45382
+rect 10000 45373 10006 45385
+rect 10058 45373 10064 45425
+rect 17587 45416 17645 45422
+rect 17587 45382 17599 45416
+rect 17633 45413 17645 45416
+rect 17875 45416 17933 45422
+rect 17875 45413 17887 45416
+rect 17633 45385 17887 45413
+rect 17633 45382 17645 45385
+rect 17587 45376 17645 45382
+rect 17875 45382 17887 45385
+rect 17921 45413 17933 45416
+rect 21712 45413 21718 45425
+rect 17921 45385 21718 45413
+rect 17921 45382 17933 45385
+rect 17875 45376 17933 45382
+rect 21712 45373 21718 45385
+rect 21770 45373 21776 45425
+rect 48208 45373 48214 45425
+rect 48266 45413 48272 45425
+rect 50515 45416 50573 45422
+rect 50515 45413 50527 45416
+rect 48266 45385 50527 45413
+rect 48266 45373 48272 45385
+rect 50515 45382 50527 45385
+rect 50561 45413 50573 45416
+rect 50707 45416 50765 45422
+rect 50707 45413 50719 45416
+rect 50561 45385 50719 45413
+rect 50561 45382 50573 45385
+rect 50515 45376 50573 45382
+rect 50707 45382 50719 45385
+rect 50753 45382 50765 45416
+rect 50707 45376 50765 45382
+rect 1152 45314 58848 45336
+rect 1152 45262 4294 45314
+rect 4346 45262 4358 45314
+rect 4410 45262 4422 45314
+rect 4474 45262 4486 45314
+rect 4538 45262 35014 45314
+rect 35066 45262 35078 45314
+rect 35130 45262 35142 45314
+rect 35194 45262 35206 45314
+rect 35258 45262 58848 45314
+rect 1152 45240 58848 45262
+rect 10000 45151 10006 45203
+rect 10058 45191 10064 45203
+rect 48880 45191 48886 45203
+rect 10058 45163 48886 45191
+rect 10058 45151 10064 45163
+rect 48880 45151 48886 45163
+rect 48938 45151 48944 45203
+rect 1648 45043 1654 45055
+rect 1609 45015 1654 45043
+rect 1648 45003 1654 45015
+rect 1706 45003 1712 45055
+rect 1747 44972 1805 44978
+rect 1747 44938 1759 44972
+rect 1793 44969 1805 44972
+rect 1793 44941 17294 44969
+rect 1793 44938 1805 44941
+rect 1747 44932 1805 44938
+rect 4147 44898 4205 44904
+rect 4147 44864 4159 44898
+rect 4193 44864 4205 44898
+rect 4147 44858 4205 44864
+rect 3859 44824 3917 44830
+rect 3859 44790 3871 44824
+rect 3905 44821 3917 44824
+rect 4162 44821 4190 44858
+rect 12592 44855 12598 44907
+rect 12650 44895 12656 44907
+rect 12787 44898 12845 44904
+rect 12787 44895 12799 44898
+rect 12650 44867 12799 44895
+rect 12650 44855 12656 44867
+rect 12787 44864 12799 44867
+rect 12833 44864 12845 44898
+rect 17266 44895 17294 44941
+rect 31024 44895 31030 44907
+rect 17266 44867 31030 44895
+rect 12787 44858 12845 44864
+rect 31024 44855 31030 44867
+rect 31082 44855 31088 44907
+rect 3905 44793 17294 44821
+rect 3905 44790 3917 44793
+rect 3859 44784 3917 44790
+rect 12592 44747 12598 44759
+rect 12553 44719 12598 44747
+rect 12592 44707 12598 44719
+rect 12650 44707 12656 44759
+rect 17266 44747 17294 44793
+rect 34480 44747 34486 44759
+rect 17266 44719 34486 44747
+rect 34480 44707 34486 44719
+rect 34538 44707 34544 44759
+rect 1152 44648 58848 44670
+rect 1152 44596 19654 44648
+rect 19706 44596 19718 44648
+rect 19770 44596 19782 44648
+rect 19834 44596 19846 44648
+rect 19898 44596 50374 44648
+rect 50426 44596 50438 44648
+rect 50490 44596 50502 44648
+rect 50554 44596 50566 44648
+rect 50618 44596 58848 44648
+rect 1152 44574 58848 44596
+rect 20944 44041 20950 44093
+rect 21002 44081 21008 44093
+rect 27667 44084 27725 44090
+rect 27667 44081 27679 44084
+rect 21002 44053 27679 44081
+rect 21002 44041 21008 44053
+rect 27667 44050 27679 44053
+rect 27713 44081 27725 44084
+rect 27763 44084 27821 44090
+rect 27763 44081 27775 44084
+rect 27713 44053 27775 44081
+rect 27713 44050 27725 44053
+rect 27667 44044 27725 44050
+rect 27763 44050 27775 44053
+rect 27809 44050 27821 44084
+rect 27763 44044 27821 44050
+rect 33520 44041 33526 44093
+rect 33578 44081 33584 44093
+rect 41107 44084 41165 44090
+rect 41107 44081 41119 44084
+rect 33578 44053 41119 44081
+rect 33578 44041 33584 44053
+rect 41107 44050 41119 44053
+rect 41153 44081 41165 44084
+rect 41299 44084 41357 44090
+rect 41299 44081 41311 44084
+rect 41153 44053 41311 44081
+rect 41153 44050 41165 44053
+rect 41107 44044 41165 44050
+rect 41299 44050 41311 44053
+rect 41345 44050 41357 44084
+rect 41299 44044 41357 44050
+rect 1152 43982 58848 44004
+rect 1152 43930 4294 43982
+rect 4346 43930 4358 43982
+rect 4410 43930 4422 43982
+rect 4474 43930 4486 43982
+rect 4538 43930 35014 43982
+rect 35066 43930 35078 43982
+rect 35130 43930 35142 43982
+rect 35194 43930 35206 43982
+rect 35258 43930 58848 43982
+rect 1152 43908 58848 43930
+rect 35440 43819 35446 43871
+rect 35498 43859 35504 43871
+rect 37651 43862 37709 43868
+rect 37651 43859 37663 43862
+rect 35498 43831 37663 43859
+rect 35498 43819 35504 43831
+rect 37651 43828 37663 43831
+rect 37697 43828 37709 43862
+rect 37651 43822 37709 43828
+rect 1152 43316 58848 43338
+rect 1152 43264 19654 43316
+rect 19706 43264 19718 43316
+rect 19770 43264 19782 43316
+rect 19834 43264 19846 43316
+rect 19898 43264 50374 43316
+rect 50426 43264 50438 43316
+rect 50490 43264 50502 43316
+rect 50554 43264 50566 43316
+rect 50618 43264 58848 43316
+rect 1152 43242 58848 43264
+rect 2896 42709 2902 42761
+rect 2954 42749 2960 42761
+rect 37555 42752 37613 42758
+rect 37555 42749 37567 42752
+rect 2954 42721 37567 42749
+rect 2954 42709 2960 42721
+rect 37555 42718 37567 42721
+rect 37601 42749 37613 42752
+rect 37651 42752 37709 42758
+rect 37651 42749 37663 42752
+rect 37601 42721 37663 42749
+rect 37601 42718 37613 42721
+rect 37555 42712 37613 42718
+rect 37651 42718 37663 42721
+rect 37697 42718 37709 42752
+rect 37651 42712 37709 42718
+rect 1152 42650 58848 42672
+rect 1152 42598 4294 42650
+rect 4346 42598 4358 42650
+rect 4410 42598 4422 42650
+rect 4474 42598 4486 42650
+rect 4538 42598 35014 42650
+rect 35066 42598 35078 42650
+rect 35130 42598 35142 42650
+rect 35194 42598 35206 42650
+rect 35258 42598 58848 42650
+rect 1152 42576 58848 42598
+rect 23155 42234 23213 42240
+rect 23155 42200 23167 42234
+rect 23201 42231 23213 42234
+rect 23443 42234 23501 42240
+rect 23443 42231 23455 42234
+rect 23201 42203 23455 42231
+rect 23201 42200 23213 42203
+rect 23155 42194 23213 42200
+rect 23443 42200 23455 42203
+rect 23489 42231 23501 42234
+rect 36784 42231 36790 42243
+rect 23489 42203 36790 42231
+rect 23489 42200 23501 42203
+rect 23443 42194 23501 42200
+rect 36784 42191 36790 42203
+rect 36842 42191 36848 42243
+rect 40147 42234 40205 42240
+rect 40147 42231 40159 42234
+rect 39970 42203 40159 42231
+rect 7186 42129 27374 42157
+rect 3664 42043 3670 42095
+rect 3722 42083 3728 42095
+rect 7186 42083 7214 42129
+rect 3722 42055 7214 42083
+rect 27346 42083 27374 42129
+rect 39970 42092 39998 42203
+rect 40147 42200 40159 42203
+rect 40193 42200 40205 42234
+rect 40147 42194 40205 42200
+rect 39955 42086 40013 42092
+rect 39955 42083 39967 42086
+rect 27346 42055 39967 42083
+rect 3722 42043 3728 42055
+rect 39955 42052 39967 42055
+rect 40001 42052 40013 42086
+rect 39955 42046 40013 42052
+rect 1152 41984 58848 42006
+rect 1152 41932 19654 41984
+rect 19706 41932 19718 41984
+rect 19770 41932 19782 41984
+rect 19834 41932 19846 41984
+rect 19898 41932 50374 41984
+rect 50426 41932 50438 41984
+rect 50490 41932 50502 41984
+rect 50554 41932 50566 41984
+rect 50618 41932 58848 41984
+rect 1152 41910 58848 41932
+rect 17200 41525 17206 41577
+rect 17258 41525 17264 41577
+rect 9523 41494 9581 41500
+rect 9523 41460 9535 41494
+rect 9569 41491 9581 41494
+rect 9811 41494 9869 41500
+rect 9811 41491 9823 41494
+rect 9569 41463 9823 41491
+rect 9569 41460 9581 41463
+rect 9523 41454 9581 41460
+rect 9811 41460 9823 41463
+rect 9857 41491 9869 41494
+rect 12016 41491 12022 41503
+rect 9857 41463 12022 41491
+rect 9857 41460 9869 41463
+rect 9811 41454 9869 41460
+rect 12016 41451 12022 41463
+rect 12074 41451 12080 41503
+rect 17218 41491 17246 41525
+rect 40051 41494 40109 41500
+rect 40051 41491 40063 41494
+rect 17218 41463 40063 41491
+rect 40051 41460 40063 41463
+rect 40097 41460 40109 41494
+rect 40051 41454 40109 41460
+rect 11635 41420 11693 41426
+rect 11635 41386 11647 41420
+rect 11681 41417 11693 41420
+rect 11728 41417 11734 41429
+rect 11681 41389 11734 41417
+rect 11681 41386 11693 41389
+rect 11635 41380 11693 41386
+rect 11728 41377 11734 41389
+rect 11786 41377 11792 41429
+rect 17203 41420 17261 41426
+rect 17203 41386 17215 41420
+rect 17249 41417 17261 41420
+rect 17491 41420 17549 41426
+rect 17491 41417 17503 41420
+rect 17249 41389 17503 41417
+rect 17249 41386 17261 41389
+rect 17203 41380 17261 41386
+rect 17491 41386 17503 41389
+rect 17537 41417 17549 41420
+rect 20656 41417 20662 41429
+rect 17537 41389 20662 41417
+rect 17537 41386 17549 41389
+rect 17491 41380 17549 41386
+rect 20656 41377 20662 41389
+rect 20714 41377 20720 41429
+rect 43024 41417 43030 41429
+rect 42985 41389 43030 41417
+rect 43024 41377 43030 41389
+rect 43082 41417 43088 41429
+rect 43219 41420 43277 41426
+rect 43219 41417 43231 41420
+rect 43082 41389 43231 41417
+rect 43082 41377 43088 41389
+rect 43219 41386 43231 41389
+rect 43265 41386 43277 41420
+rect 43219 41380 43277 41386
+rect 1152 41318 58848 41340
+rect 1152 41266 4294 41318
+rect 4346 41266 4358 41318
+rect 4410 41266 4422 41318
+rect 4474 41266 4486 41318
+rect 4538 41266 35014 41318
+rect 35066 41266 35078 41318
+rect 35130 41266 35142 41318
+rect 35194 41266 35206 41318
+rect 35258 41266 58848 41318
+rect 1152 41244 58848 41266
+rect 20656 41155 20662 41207
+rect 20714 41195 20720 41207
+rect 33712 41195 33718 41207
+rect 20714 41167 33718 41195
+rect 20714 41155 20720 41167
+rect 33712 41155 33718 41167
+rect 33770 41155 33776 41207
+rect 28432 41081 28438 41133
+rect 28490 41121 28496 41133
+rect 43024 41121 43030 41133
+rect 28490 41093 43030 41121
+rect 28490 41081 28496 41093
+rect 43024 41081 43030 41093
+rect 43082 41081 43088 41133
+rect 12688 40859 12694 40911
+rect 12746 40899 12752 40911
+rect 40435 40902 40493 40908
+rect 40435 40899 40447 40902
+rect 12746 40871 40447 40899
+rect 12746 40859 12752 40871
+rect 40435 40868 40447 40871
+rect 40481 40868 40493 40902
+rect 40435 40862 40493 40868
+rect 1152 40652 58848 40674
+rect 1152 40600 19654 40652
+rect 19706 40600 19718 40652
+rect 19770 40600 19782 40652
+rect 19834 40600 19846 40652
+rect 19898 40600 50374 40652
+rect 50426 40600 50438 40652
+rect 50490 40600 50502 40652
+rect 50554 40600 50566 40652
+rect 50618 40600 58848 40652
+rect 1152 40578 58848 40600
+rect 21424 40415 21430 40467
+rect 21482 40455 21488 40467
+rect 50323 40458 50381 40464
+rect 50323 40455 50335 40458
+rect 21482 40427 50335 40455
+rect 21482 40415 21488 40427
+rect 50323 40424 50335 40427
+rect 50369 40424 50381 40458
+rect 50323 40418 50381 40424
+rect 24400 40341 24406 40393
+rect 24458 40381 24464 40393
+rect 53779 40384 53837 40390
+rect 53779 40381 53791 40384
+rect 24458 40353 53791 40381
+rect 24458 40341 24464 40353
+rect 53779 40350 53791 40353
+rect 53825 40350 53837 40384
+rect 53779 40344 53837 40350
+rect 22483 40088 22541 40094
+rect 22483 40054 22495 40088
+rect 22529 40085 22541 40088
+rect 22771 40088 22829 40094
+rect 22771 40085 22783 40088
+rect 22529 40057 22783 40085
+rect 22529 40054 22541 40057
+rect 22483 40048 22541 40054
+rect 22771 40054 22783 40057
+rect 22817 40085 22829 40088
+rect 37168 40085 37174 40097
+rect 22817 40057 37174 40085
+rect 22817 40054 22829 40057
+rect 22771 40048 22829 40054
+rect 37168 40045 37174 40057
+rect 37226 40045 37232 40097
+rect 1152 39986 58848 40008
+rect 1152 39934 4294 39986
+rect 4346 39934 4358 39986
+rect 4410 39934 4422 39986
+rect 4474 39934 4486 39986
+rect 4538 39934 35014 39986
+rect 35066 39934 35078 39986
+rect 35130 39934 35142 39986
+rect 35194 39934 35206 39986
+rect 35258 39934 58848 39986
+rect 1152 39912 58848 39934
+rect 3280 39527 3286 39579
+rect 3338 39567 3344 39579
+rect 53107 39570 53165 39576
+rect 53107 39567 53119 39570
+rect 3338 39539 53119 39567
+rect 3338 39527 3344 39539
+rect 53107 39536 53119 39539
+rect 53153 39536 53165 39570
+rect 53107 39530 53165 39536
+rect 1152 39320 58848 39342
+rect 1152 39268 19654 39320
+rect 19706 39268 19718 39320
+rect 19770 39268 19782 39320
+rect 19834 39268 19846 39320
+rect 19898 39268 50374 39320
+rect 50426 39268 50438 39320
+rect 50490 39268 50502 39320
+rect 50554 39268 50566 39320
+rect 50618 39268 58848 39320
+rect 1152 39246 58848 39268
+rect 1152 38654 58848 38676
+rect 1152 38602 4294 38654
+rect 4346 38602 4358 38654
+rect 4410 38602 4422 38654
+rect 4474 38602 4486 38654
+rect 4538 38602 35014 38654
+rect 35066 38602 35078 38654
+rect 35130 38602 35142 38654
+rect 35194 38602 35206 38654
+rect 35258 38602 58848 38654
+rect 1152 38580 58848 38602
+rect 54643 38534 54701 38540
+rect 54643 38500 54655 38534
+rect 54689 38531 54701 38534
+rect 57904 38531 57910 38543
+rect 54689 38503 57910 38531
+rect 54689 38500 54701 38503
+rect 54643 38494 54701 38500
+rect 57904 38491 57910 38503
+rect 57962 38491 57968 38543
+rect 12208 38269 12214 38321
+rect 12266 38309 12272 38321
+rect 20467 38312 20525 38318
+rect 20467 38309 20479 38312
+rect 12266 38281 20479 38309
+rect 12266 38269 12272 38281
+rect 20467 38278 20479 38281
+rect 20513 38309 20525 38312
+rect 20659 38312 20717 38318
+rect 20659 38309 20671 38312
+rect 20513 38281 20671 38309
+rect 20513 38278 20525 38281
+rect 20467 38272 20525 38278
+rect 20659 38278 20671 38281
+rect 20705 38278 20717 38312
+rect 20659 38272 20717 38278
+rect 37744 38269 37750 38321
+rect 37802 38309 37808 38321
+rect 57139 38312 57197 38318
+rect 57139 38309 57151 38312
+rect 37802 38281 57151 38309
+rect 37802 38269 37808 38281
+rect 57139 38278 57151 38281
+rect 57185 38278 57197 38312
+rect 57139 38272 57197 38278
+rect 2419 38238 2477 38244
+rect 2419 38204 2431 38238
+rect 2465 38235 2477 38238
+rect 2704 38235 2710 38247
+rect 2465 38207 2710 38235
+rect 2465 38204 2477 38207
+rect 2419 38198 2477 38204
+rect 2704 38195 2710 38207
+rect 2762 38195 2768 38247
+rect 20176 38235 20182 38247
+rect 20137 38207 20182 38235
+rect 20176 38195 20182 38207
+rect 20234 38195 20240 38247
+rect 26611 38238 26669 38244
+rect 26611 38204 26623 38238
+rect 26657 38235 26669 38238
+rect 26899 38238 26957 38244
+rect 26899 38235 26911 38238
+rect 26657 38207 26911 38235
+rect 26657 38204 26669 38207
+rect 26611 38198 26669 38204
+rect 26899 38204 26911 38207
+rect 26945 38235 26957 38238
+rect 43408 38235 43414 38247
+rect 26945 38207 43414 38235
+rect 26945 38204 26957 38207
+rect 26899 38198 26957 38204
+rect 43408 38195 43414 38207
+rect 43466 38195 43472 38247
+rect 46771 38238 46829 38244
+rect 46771 38204 46783 38238
+rect 46817 38235 46829 38238
+rect 47059 38238 47117 38244
+rect 47059 38235 47071 38238
+rect 46817 38207 47071 38235
+rect 46817 38204 46829 38207
+rect 46771 38198 46829 38204
+rect 47059 38204 47071 38207
+rect 47105 38204 47117 38238
+rect 57427 38238 57485 38244
+rect 57427 38235 57439 38238
+rect 47059 38198 47117 38204
+rect 47506 38207 57439 38235
+rect 47506 38161 47534 38207
+rect 57427 38204 57439 38207
+rect 57473 38235 57485 38238
+rect 57619 38238 57677 38244
+rect 57619 38235 57631 38238
+rect 57473 38207 57631 38235
+rect 57473 38204 57485 38207
+rect 57427 38198 57485 38204
+rect 57619 38204 57631 38207
+rect 57665 38204 57677 38238
+rect 57619 38198 57677 38204
+rect 7186 38133 26654 38161
+rect 3856 38047 3862 38099
+rect 3914 38087 3920 38099
+rect 7186 38087 7214 38133
+rect 3914 38059 7214 38087
+rect 26626 38087 26654 38133
+rect 42466 38133 47534 38161
+rect 42466 38087 42494 38133
+rect 26626 38059 42494 38087
+rect 3914 38047 3920 38059
+rect 46672 38047 46678 38099
+rect 46730 38087 46736 38099
+rect 46771 38090 46829 38096
+rect 46771 38087 46783 38090
+rect 46730 38059 46783 38087
+rect 46730 38047 46736 38059
+rect 46771 38056 46783 38059
+rect 46817 38087 46829 38090
+rect 46867 38090 46925 38096
+rect 46867 38087 46879 38090
+rect 46817 38059 46879 38087
+rect 46817 38056 46829 38059
+rect 46771 38050 46829 38056
+rect 46867 38056 46879 38059
+rect 46913 38056 46925 38090
+rect 46867 38050 46925 38056
+rect 1152 37988 58848 38010
+rect 1152 37936 19654 37988
+rect 19706 37936 19718 37988
+rect 19770 37936 19782 37988
+rect 19834 37936 19846 37988
+rect 19898 37936 50374 37988
+rect 50426 37936 50438 37988
+rect 50490 37936 50502 37988
+rect 50554 37936 50566 37988
+rect 50618 37936 58848 37988
+rect 1152 37914 58848 37936
+rect 33808 37825 33814 37877
+rect 33866 37865 33872 37877
+rect 46672 37865 46678 37877
+rect 33866 37837 46678 37865
+rect 33866 37825 33872 37837
+rect 46672 37825 46678 37837
+rect 46730 37825 46736 37877
+rect 26995 37572 27053 37578
+rect 26995 37538 27007 37572
+rect 27041 37569 27053 37572
+rect 46960 37569 46966 37581
+rect 27041 37541 46966 37569
+rect 27041 37538 27053 37541
+rect 26995 37532 27053 37538
+rect 46960 37529 46966 37541
+rect 47018 37529 47024 37581
+rect 14722 37467 15038 37495
+rect 1840 37381 1846 37433
+rect 1898 37421 1904 37433
+rect 14722 37421 14750 37467
+rect 1898 37393 14750 37421
+rect 14803 37424 14861 37430
+rect 1898 37381 1904 37393
+rect 14803 37390 14815 37424
+rect 14849 37421 14861 37424
+rect 14896 37421 14902 37433
+rect 14849 37393 14902 37421
+rect 14849 37390 14861 37393
+rect 14803 37384 14861 37390
+rect 14896 37381 14902 37393
+rect 14954 37381 14960 37433
+rect 15010 37421 15038 37467
+rect 20851 37424 20909 37430
+rect 20851 37421 20863 37424
+rect 15010 37393 20863 37421
+rect 20851 37390 20863 37393
+rect 20897 37390 20909 37424
+rect 20851 37384 20909 37390
+rect 32368 37381 32374 37433
+rect 32426 37421 32432 37433
+rect 41491 37424 41549 37430
+rect 41491 37421 41503 37424
+rect 32426 37393 41503 37421
+rect 32426 37381 32432 37393
+rect 41491 37390 41503 37393
+rect 41537 37421 41549 37424
+rect 41683 37424 41741 37430
+rect 41683 37421 41695 37424
+rect 41537 37393 41695 37421
+rect 41537 37390 41549 37393
+rect 41491 37384 41549 37390
+rect 41683 37390 41695 37393
+rect 41729 37390 41741 37424
+rect 41683 37384 41741 37390
+rect 1152 37322 58848 37344
+rect 1152 37270 4294 37322
+rect 4346 37270 4358 37322
+rect 4410 37270 4422 37322
+rect 4474 37270 4486 37322
+rect 4538 37270 35014 37322
+rect 35066 37270 35078 37322
+rect 35130 37270 35142 37322
+rect 35194 37270 35206 37322
+rect 35258 37270 58848 37322
+rect 1152 37248 58848 37270
+rect 19315 37202 19373 37208
+rect 19315 37168 19327 37202
+rect 19361 37199 19373 37202
+rect 25168 37199 25174 37211
+rect 19361 37171 25174 37199
+rect 19361 37168 19373 37171
+rect 19315 37162 19373 37168
+rect 25168 37159 25174 37171
+rect 25226 37159 25232 37211
+rect 24115 36906 24173 36912
+rect 24115 36872 24127 36906
+rect 24161 36903 24173 36906
+rect 24403 36906 24461 36912
+rect 24403 36903 24415 36906
+rect 24161 36875 24415 36903
+rect 24161 36872 24173 36875
+rect 24115 36866 24173 36872
+rect 24403 36872 24415 36875
+rect 24449 36903 24461 36906
+rect 28624 36903 28630 36915
+rect 24449 36875 28630 36903
+rect 24449 36872 24461 36875
+rect 24403 36866 24461 36872
+rect 28624 36863 28630 36875
+rect 28682 36863 28688 36915
+rect 29488 36903 29494 36915
+rect 29449 36875 29494 36903
+rect 29488 36863 29494 36875
+rect 29546 36863 29552 36915
+rect 47347 36906 47405 36912
+rect 47347 36872 47359 36906
+rect 47393 36903 47405 36906
+rect 54064 36903 54070 36915
+rect 47393 36875 54070 36903
+rect 47393 36872 47405 36875
+rect 47347 36866 47405 36872
+rect 54064 36863 54070 36875
+rect 54122 36863 54128 36915
+rect 1152 36656 58848 36678
+rect 1152 36604 19654 36656
+rect 19706 36604 19718 36656
+rect 19770 36604 19782 36656
+rect 19834 36604 19846 36656
+rect 19898 36604 50374 36656
+rect 50426 36604 50438 36656
+rect 50490 36604 50502 36656
+rect 50554 36604 50566 36656
+rect 50618 36604 58848 36656
+rect 1152 36582 58848 36604
+rect 30931 36240 30989 36246
+rect 30931 36237 30943 36240
+rect 27346 36209 30943 36237
+rect 22384 36123 22390 36175
+rect 22442 36163 22448 36175
+rect 27346 36163 27374 36209
+rect 30931 36206 30943 36209
+rect 30977 36206 30989 36240
+rect 43315 36240 43373 36246
+rect 43315 36237 43327 36240
+rect 30931 36200 30989 36206
+rect 40354 36209 43327 36237
+rect 22442 36135 27374 36163
+rect 30850 36135 31070 36163
+rect 22442 36123 22448 36135
+rect 5872 36049 5878 36101
+rect 5930 36089 5936 36101
+rect 13459 36092 13517 36098
+rect 13459 36089 13471 36092
+rect 5930 36061 13471 36089
+rect 5930 36049 5936 36061
+rect 13459 36058 13471 36061
+rect 13505 36089 13517 36092
+rect 13651 36092 13709 36098
+rect 13651 36089 13663 36092
+rect 13505 36061 13663 36089
+rect 13505 36058 13517 36061
+rect 13459 36052 13517 36058
+rect 13651 36058 13663 36061
+rect 13697 36058 13709 36092
+rect 13651 36052 13709 36058
+rect 15475 36092 15533 36098
+rect 15475 36058 15487 36092
+rect 15521 36089 15533 36092
+rect 15763 36092 15821 36098
+rect 15763 36089 15775 36092
+rect 15521 36061 15775 36089
+rect 15521 36058 15533 36061
+rect 15475 36052 15533 36058
+rect 15763 36058 15775 36061
+rect 15809 36089 15821 36092
+rect 30850 36089 30878 36135
+rect 15809 36061 30878 36089
+rect 31042 36089 31070 36135
+rect 32656 36123 32662 36175
+rect 32714 36163 32720 36175
+rect 40354 36163 40382 36209
+rect 43315 36206 43327 36209
+rect 43361 36206 43373 36240
+rect 43315 36200 43373 36206
+rect 55888 36163 55894 36175
+rect 32714 36135 40382 36163
+rect 42178 36135 55894 36163
+rect 32714 36123 32720 36135
+rect 42178 36089 42206 36135
+rect 55888 36123 55894 36135
+rect 55946 36123 55952 36175
+rect 31042 36061 42206 36089
+rect 15809 36058 15821 36061
+rect 15763 36052 15821 36058
+rect 1152 35990 58848 36012
+rect 1152 35938 4294 35990
+rect 4346 35938 4358 35990
+rect 4410 35938 4422 35990
+rect 4474 35938 4486 35990
+rect 4538 35938 35014 35990
+rect 35066 35938 35078 35990
+rect 35130 35938 35142 35990
+rect 35194 35938 35206 35990
+rect 35258 35938 58848 35990
+rect 1152 35916 58848 35938
+rect 30256 35571 30262 35583
+rect 30217 35543 30262 35571
+rect 30256 35531 30262 35543
+rect 30314 35531 30320 35583
+rect 31027 35574 31085 35580
+rect 31027 35540 31039 35574
+rect 31073 35540 31085 35574
+rect 31027 35534 31085 35540
+rect 30739 35500 30797 35506
+rect 30739 35466 30751 35500
+rect 30785 35497 30797 35500
+rect 31042 35497 31070 35534
+rect 31120 35531 31126 35583
+rect 31178 35571 31184 35583
+rect 57043 35574 57101 35580
+rect 57043 35571 57055 35574
+rect 31178 35543 57055 35571
+rect 31178 35531 31184 35543
+rect 57043 35540 57055 35543
+rect 57089 35571 57101 35574
+rect 57235 35574 57293 35580
+rect 57235 35571 57247 35574
+rect 57089 35543 57247 35571
+rect 57089 35540 57101 35543
+rect 57043 35534 57101 35540
+rect 57235 35540 57247 35543
+rect 57281 35540 57293 35574
+rect 57235 35534 57293 35540
+rect 30785 35469 37454 35497
+rect 30785 35466 30797 35469
+rect 30739 35460 30797 35466
+rect 37426 35423 37454 35469
+rect 42448 35423 42454 35435
+rect 37426 35395 42454 35423
+rect 42448 35383 42454 35395
+rect 42506 35383 42512 35435
+rect 1152 35324 58848 35346
+rect 1152 35272 19654 35324
+rect 19706 35272 19718 35324
+rect 19770 35272 19782 35324
+rect 19834 35272 19846 35324
+rect 19898 35272 50374 35324
+rect 50426 35272 50438 35324
+rect 50490 35272 50502 35324
+rect 50554 35272 50566 35324
+rect 50618 35272 58848 35324
+rect 1152 35250 58848 35272
+rect 30547 34908 30605 34914
+rect 30547 34905 30559 34908
+rect 27346 34877 30559 34905
+rect 25648 34791 25654 34843
+rect 25706 34831 25712 34843
+rect 27346 34831 27374 34877
+rect 30547 34874 30559 34877
+rect 30593 34905 30605 34908
+rect 30739 34908 30797 34914
+rect 30739 34905 30751 34908
+rect 30593 34877 30751 34905
+rect 30593 34874 30605 34877
+rect 30547 34868 30605 34874
+rect 30739 34874 30751 34877
+rect 30785 34874 30797 34908
+rect 30739 34868 30797 34874
+rect 25706 34803 27374 34831
+rect 30466 34803 37454 34831
+rect 25706 34791 25712 34803
+rect 26707 34760 26765 34766
+rect 26707 34726 26719 34760
+rect 26753 34757 26765 34760
+rect 26995 34760 27053 34766
+rect 26995 34757 27007 34760
+rect 26753 34729 27007 34757
+rect 26753 34726 26765 34729
+rect 26707 34720 26765 34726
+rect 26995 34726 27007 34729
+rect 27041 34757 27053 34760
+rect 30466 34757 30494 34803
+rect 27041 34729 30494 34757
+rect 37426 34757 37454 34803
+rect 50032 34757 50038 34769
+rect 37426 34729 50038 34757
+rect 27041 34726 27053 34729
+rect 26995 34720 27053 34726
+rect 50032 34717 50038 34729
+rect 50090 34717 50096 34769
+rect 1152 34658 58848 34680
+rect 1152 34606 4294 34658
+rect 4346 34606 4358 34658
+rect 4410 34606 4422 34658
+rect 4474 34606 4486 34658
+rect 4538 34606 35014 34658
+rect 35066 34606 35078 34658
+rect 35130 34606 35142 34658
+rect 35194 34606 35206 34658
+rect 35258 34606 58848 34658
+rect 1152 34584 58848 34606
+rect 26032 34535 26038 34547
+rect 25993 34507 26038 34535
+rect 26032 34495 26038 34507
+rect 26090 34495 26096 34547
+rect 12307 34242 12365 34248
+rect 12307 34208 12319 34242
+rect 12353 34239 12365 34242
+rect 12595 34242 12653 34248
+rect 12595 34239 12607 34242
+rect 12353 34211 12607 34239
+rect 12353 34208 12365 34211
+rect 12307 34202 12365 34208
+rect 12595 34208 12607 34211
+rect 12641 34239 12653 34242
+rect 32944 34239 32950 34251
+rect 12641 34211 32950 34239
+rect 12641 34208 12653 34211
+rect 12595 34202 12653 34208
+rect 32944 34199 32950 34211
+rect 33002 34199 33008 34251
+rect 1152 33992 58848 34014
+rect 1152 33940 19654 33992
+rect 19706 33940 19718 33992
+rect 19770 33940 19782 33992
+rect 19834 33940 19846 33992
+rect 19898 33940 50374 33992
+rect 50426 33940 50438 33992
+rect 50490 33940 50502 33992
+rect 50554 33940 50566 33992
+rect 50618 33940 58848 33992
+rect 1152 33918 58848 33940
+rect 48304 33385 48310 33437
+rect 48362 33425 48368 33437
+rect 57235 33428 57293 33434
+rect 57235 33425 57247 33428
+rect 48362 33397 57247 33425
+rect 48362 33385 48368 33397
+rect 57235 33394 57247 33397
+rect 57281 33425 57293 33428
+rect 57427 33428 57485 33434
+rect 57427 33425 57439 33428
+rect 57281 33397 57439 33425
+rect 57281 33394 57293 33397
+rect 57235 33388 57293 33394
+rect 57427 33394 57439 33397
+rect 57473 33394 57485 33428
+rect 57427 33388 57485 33394
+rect 1152 33326 58848 33348
+rect 1152 33274 4294 33326
+rect 4346 33274 4358 33326
+rect 4410 33274 4422 33326
+rect 4474 33274 4486 33326
+rect 4538 33274 35014 33326
+rect 35066 33274 35078 33326
+rect 35130 33274 35142 33326
+rect 35194 33274 35206 33326
+rect 35258 33274 58848 33326
+rect 1152 33252 58848 33274
+rect 31216 33163 31222 33215
+rect 31274 33203 31280 33215
+rect 36307 33206 36365 33212
+rect 36307 33203 36319 33206
+rect 31274 33175 36319 33203
+rect 31274 33163 31280 33175
+rect 36307 33172 36319 33175
+rect 36353 33172 36365 33206
+rect 36307 33166 36365 33172
+rect 1152 32660 58848 32682
+rect 1152 32608 19654 32660
+rect 19706 32608 19718 32660
+rect 19770 32608 19782 32660
+rect 19834 32608 19846 32660
+rect 19898 32608 50374 32660
+rect 50426 32608 50438 32660
+rect 50490 32608 50502 32660
+rect 50554 32608 50566 32660
+rect 50618 32608 58848 32660
+rect 1152 32586 58848 32608
+rect 4819 32244 4877 32250
+rect 4819 32210 4831 32244
+rect 4865 32241 4877 32244
+rect 44368 32241 44374 32253
+rect 4865 32213 44374 32241
+rect 4865 32210 4877 32213
+rect 4819 32204 4877 32210
+rect 44368 32201 44374 32213
+rect 44426 32201 44432 32253
+rect 12307 32096 12365 32102
+rect 12307 32062 12319 32096
+rect 12353 32093 12365 32096
+rect 53200 32093 53206 32105
+rect 12353 32065 53206 32093
+rect 12353 32062 12365 32065
+rect 12307 32056 12365 32062
+rect 53200 32053 53206 32065
+rect 53258 32053 53264 32105
+rect 1152 31994 58848 32016
+rect 1152 31942 4294 31994
+rect 4346 31942 4358 31994
+rect 4410 31942 4422 31994
+rect 4474 31942 4486 31994
+rect 4538 31942 35014 31994
+rect 35066 31942 35078 31994
+rect 35130 31942 35142 31994
+rect 35194 31942 35206 31994
+rect 35258 31942 58848 31994
+rect 1152 31920 58848 31942
+rect 32176 31871 32182 31883
+rect 32137 31843 32182 31871
+rect 32176 31831 32182 31843
+rect 32234 31831 32240 31883
+rect 13456 31757 13462 31809
+rect 13514 31797 13520 31809
+rect 29971 31800 30029 31806
+rect 29971 31797 29983 31800
+rect 13514 31769 29983 31797
+rect 13514 31757 13520 31769
+rect 29971 31766 29983 31769
+rect 30017 31766 30029 31800
+rect 29971 31760 30029 31766
+rect 19504 31683 19510 31735
+rect 19562 31723 19568 31735
+rect 40627 31726 40685 31732
+rect 40627 31723 40639 31726
+rect 19562 31695 40639 31723
+rect 19562 31683 19568 31695
+rect 40627 31692 40639 31695
+rect 40673 31692 40685 31726
+rect 40627 31686 40685 31692
+rect 5584 31387 5590 31439
+rect 5642 31427 5648 31439
+rect 18835 31430 18893 31436
+rect 18835 31427 18847 31430
+rect 5642 31399 18847 31427
+rect 5642 31387 5648 31399
+rect 18835 31396 18847 31399
+rect 18881 31396 18893 31430
+rect 18835 31390 18893 31396
+rect 1152 31328 58848 31350
+rect 1152 31276 19654 31328
+rect 19706 31276 19718 31328
+rect 19770 31276 19782 31328
+rect 19834 31276 19846 31328
+rect 19898 31276 50374 31328
+rect 50426 31276 50438 31328
+rect 50490 31276 50502 31328
+rect 50554 31276 50566 31328
+rect 50618 31276 58848 31328
+rect 1152 31254 58848 31276
+rect 9811 30912 9869 30918
+rect 9811 30878 9823 30912
+rect 9857 30909 9869 30912
+rect 48112 30909 48118 30921
+rect 9857 30881 48118 30909
+rect 9857 30878 9869 30881
+rect 9811 30872 9869 30878
+rect 48112 30869 48118 30881
+rect 48170 30869 48176 30921
+rect 10675 30838 10733 30844
+rect 10675 30804 10687 30838
+rect 10721 30835 10733 30838
+rect 24976 30835 24982 30847
+rect 10721 30807 24982 30835
+rect 10721 30804 10733 30807
+rect 10675 30798 10733 30804
+rect 24976 30795 24982 30807
+rect 25034 30795 25040 30847
+rect 17683 30764 17741 30770
+rect 17683 30730 17695 30764
+rect 17729 30761 17741 30764
+rect 26416 30761 26422 30773
+rect 17729 30733 26422 30761
+rect 17729 30730 17741 30733
+rect 17683 30724 17741 30730
+rect 26416 30721 26422 30733
+rect 26474 30721 26480 30773
+rect 30928 30761 30934 30773
+rect 30889 30733 30934 30761
+rect 30928 30721 30934 30733
+rect 30986 30721 30992 30773
+rect 32560 30761 32566 30773
+rect 32521 30733 32566 30761
+rect 32560 30721 32566 30733
+rect 32618 30721 32624 30773
+rect 44944 30721 44950 30773
+rect 45002 30761 45008 30773
+rect 55699 30764 55757 30770
+rect 55699 30761 55711 30764
+rect 45002 30733 55711 30761
+rect 45002 30721 45008 30733
+rect 55699 30730 55711 30733
+rect 55745 30730 55757 30764
+rect 55699 30724 55757 30730
+rect 1152 30662 58848 30684
+rect 1152 30610 4294 30662
+rect 4346 30610 4358 30662
+rect 4410 30610 4422 30662
+rect 4474 30610 4486 30662
+rect 4538 30610 35014 30662
+rect 35066 30610 35078 30662
+rect 35130 30610 35142 30662
+rect 35194 30610 35206 30662
+rect 35258 30610 58848 30662
+rect 1152 30588 58848 30610
+rect 4816 30499 4822 30551
+rect 4874 30539 4880 30551
+rect 32560 30539 32566 30551
+rect 4874 30511 32566 30539
+rect 4874 30499 4880 30511
+rect 32560 30499 32566 30511
+rect 32618 30499 32624 30551
+rect 6832 30425 6838 30477
+rect 6890 30465 6896 30477
+rect 30928 30465 30934 30477
+rect 6890 30437 30934 30465
+rect 6890 30425 6896 30437
+rect 30928 30425 30934 30437
+rect 30986 30425 30992 30477
+rect 46192 30351 46198 30403
+rect 46250 30391 46256 30403
+rect 57907 30394 57965 30400
+rect 57907 30391 57919 30394
+rect 46250 30363 57919 30391
+rect 46250 30351 46256 30363
+rect 57907 30360 57919 30363
+rect 57953 30360 57965 30394
+rect 57907 30354 57965 30360
+rect 49651 30320 49709 30326
+rect 49651 30286 49663 30320
+rect 49697 30317 49709 30320
+rect 49744 30317 49750 30329
+rect 49697 30289 49750 30317
+rect 49697 30286 49709 30289
+rect 49651 30280 49709 30286
+rect 49744 30277 49750 30289
+rect 49802 30277 49808 30329
+rect 57808 30095 57814 30107
+rect 57769 30067 57814 30095
+rect 57808 30055 57814 30067
+rect 57866 30055 57872 30107
+rect 1152 29996 58848 30018
+rect 1152 29944 19654 29996
+rect 19706 29944 19718 29996
+rect 19770 29944 19782 29996
+rect 19834 29944 19846 29996
+rect 19898 29944 50374 29996
+rect 50426 29944 50438 29996
+rect 50490 29944 50502 29996
+rect 50554 29944 50566 29996
+rect 50618 29944 58848 29996
+rect 1152 29922 58848 29944
+rect 8656 29463 8662 29515
+rect 8714 29503 8720 29515
+rect 19216 29503 19222 29515
+rect 8714 29475 19222 29503
+rect 8714 29463 8720 29475
+rect 19216 29463 19222 29475
+rect 19274 29463 19280 29515
+rect 8080 29389 8086 29441
+rect 8138 29429 8144 29441
+rect 14320 29429 14326 29441
+rect 8138 29401 14326 29429
+rect 8138 29389 8144 29401
+rect 14320 29389 14326 29401
+rect 14378 29389 14384 29441
+rect 1152 29330 58848 29352
+rect 1152 29278 4294 29330
+rect 4346 29278 4358 29330
+rect 4410 29278 4422 29330
+rect 4474 29278 4486 29330
+rect 4538 29278 35014 29330
+rect 35066 29278 35078 29330
+rect 35130 29278 35142 29330
+rect 35194 29278 35206 29330
+rect 35258 29278 58848 29330
+rect 1152 29256 58848 29278
+rect 5491 29210 5549 29216
+rect 5491 29176 5503 29210
+rect 5537 29207 5549 29210
+rect 5779 29210 5837 29216
+rect 5779 29207 5791 29210
+rect 5537 29179 5791 29207
+rect 5537 29176 5549 29179
+rect 5491 29170 5549 29176
+rect 5779 29176 5791 29179
+rect 5825 29207 5837 29210
+rect 7888 29207 7894 29219
+rect 5825 29179 7894 29207
+rect 5825 29176 5837 29179
+rect 5779 29170 5837 29176
+rect 7888 29167 7894 29179
+rect 7946 29167 7952 29219
+rect 8674 28923 8702 29024
+rect 8656 28871 8662 28923
+rect 8714 28871 8720 28923
+rect 10483 28914 10541 28920
+rect 10483 28880 10495 28914
+rect 10529 28911 10541 28914
+rect 10771 28914 10829 28920
+rect 10771 28911 10783 28914
+rect 10529 28883 10783 28911
+rect 10529 28880 10541 28883
+rect 10483 28874 10541 28880
+rect 10771 28880 10783 28883
+rect 10817 28911 10829 28914
+rect 40912 28911 40918 28923
+rect 10817 28883 40918 28911
+rect 10817 28880 10829 28883
+rect 10771 28874 10829 28880
+rect 40912 28871 40918 28883
+rect 40970 28871 40976 28923
+rect 45811 28914 45869 28920
+rect 45811 28880 45823 28914
+rect 45857 28880 45869 28914
+rect 45811 28874 45869 28880
+rect 8080 28797 8086 28849
+rect 8138 28837 8144 28849
+rect 8138 28809 8256 28837
+rect 8138 28797 8144 28809
+rect 15856 28797 15862 28849
+rect 15914 28837 15920 28849
+rect 45826 28837 45854 28874
+rect 15914 28809 45854 28837
+rect 15914 28797 15920 28809
+rect 8609 28723 8615 28775
+rect 8667 28723 8673 28775
+rect 1152 28664 58848 28686
+rect 1152 28612 19654 28664
+rect 19706 28612 19718 28664
+rect 19770 28612 19782 28664
+rect 19834 28612 19846 28664
+rect 19898 28612 50374 28664
+rect 50426 28612 50438 28664
+rect 50490 28612 50502 28664
+rect 50554 28612 50566 28664
+rect 50618 28612 58848 28664
+rect 1152 28590 58848 28612
+rect 8609 28501 8615 28553
+rect 8667 28541 8673 28553
+rect 18928 28541 18934 28553
+rect 8667 28513 18934 28541
+rect 8667 28501 8673 28513
+rect 18928 28501 18934 28513
+rect 18986 28501 18992 28553
+rect 7186 28291 37454 28319
+rect 4048 28205 4054 28257
+rect 4106 28245 4112 28257
+rect 7186 28245 7214 28291
+rect 4106 28217 7214 28245
+rect 4106 28205 4112 28217
+rect 11536 28205 11542 28257
+rect 11594 28245 11600 28257
+rect 28147 28248 28205 28254
+rect 28147 28245 28159 28248
+rect 11594 28217 17294 28245
+rect 11594 28205 11600 28217
+rect 8176 28131 8182 28183
+rect 8234 28171 8240 28183
+rect 16624 28171 16630 28183
+rect 8234 28143 16630 28171
+rect 8234 28131 8240 28143
+rect 16624 28131 16630 28143
+rect 16682 28131 16688 28183
+rect 9328 28057 9334 28109
+rect 9386 28097 9392 28109
+rect 14224 28097 14230 28109
+rect 9386 28069 14230 28097
+rect 9386 28057 9392 28069
+rect 14224 28057 14230 28069
+rect 14282 28057 14288 28109
+rect 17266 28097 17294 28217
+rect 27346 28217 28159 28245
+rect 27346 28097 27374 28217
+rect 28147 28214 28159 28217
+rect 28193 28245 28205 28248
+rect 28243 28248 28301 28254
+rect 28243 28245 28255 28248
+rect 28193 28217 28255 28245
+rect 28193 28214 28205 28217
+rect 28147 28208 28205 28214
+rect 28243 28214 28255 28217
+rect 28289 28214 28301 28248
+rect 37426 28245 37454 28291
+rect 38704 28245 38710 28257
+rect 37426 28217 38710 28245
+rect 28243 28208 28301 28214
+rect 38704 28205 38710 28217
+rect 38762 28205 38768 28257
+rect 17266 28069 27374 28097
+rect 1152 27998 58848 28020
+rect 1152 27946 4294 27998
+rect 4346 27946 4358 27998
+rect 4410 27946 4422 27998
+rect 4474 27946 4486 27998
+rect 4538 27946 35014 27998
+rect 35066 27946 35078 27998
+rect 35130 27946 35142 27998
+rect 35194 27946 35206 27998
+rect 35258 27946 58848 27998
+rect 1152 27924 58848 27946
+rect 3763 27878 3821 27884
+rect 3763 27844 3775 27878
+rect 3809 27875 3821 27878
+rect 4048 27875 4054 27887
+rect 3809 27847 4054 27875
+rect 3809 27844 3821 27847
+rect 3763 27838 3821 27844
+rect 4048 27835 4054 27847
+rect 4106 27835 4112 27887
+rect 9331 27878 9389 27884
+rect 9331 27844 9343 27878
+rect 9377 27875 9389 27878
+rect 18352 27875 18358 27887
+rect 9377 27847 18358 27875
+rect 9377 27844 9389 27847
+rect 9331 27838 9389 27844
+rect 18352 27835 18358 27847
+rect 18410 27835 18416 27887
+rect 8947 27656 9005 27662
+rect 8947 27622 8959 27656
+rect 8993 27653 9005 27656
+rect 9235 27656 9293 27662
+rect 9235 27653 9247 27656
+rect 8993 27625 9247 27653
+rect 8993 27622 9005 27625
+rect 8947 27616 9005 27622
+rect 9235 27622 9247 27625
+rect 9281 27622 9293 27656
+rect 9235 27616 9293 27622
+rect 15955 27656 16013 27662
+rect 15955 27622 15967 27656
+rect 16001 27653 16013 27656
+rect 36112 27653 36118 27665
+rect 16001 27625 36118 27653
+rect 16001 27622 16013 27625
+rect 15955 27616 16013 27622
+rect 36112 27613 36118 27625
+rect 36170 27613 36176 27665
+rect 32176 27539 32182 27591
+rect 32234 27579 32240 27591
+rect 56563 27582 56621 27588
+rect 56563 27579 56575 27582
+rect 32234 27551 56575 27579
+rect 32234 27539 32240 27551
+rect 56563 27548 56575 27551
+rect 56609 27548 56621 27582
+rect 56563 27542 56621 27548
+rect 8176 27465 8182 27517
+rect 8234 27465 8240 27517
+rect 9328 27465 9334 27517
+rect 9386 27465 9392 27517
+rect 8947 27434 9005 27440
+rect 8947 27431 8959 27434
+rect 8641 27403 8959 27431
+rect 8947 27400 8959 27403
+rect 8993 27400 9005 27434
+rect 8947 27394 9005 27400
+rect 1152 27332 58848 27354
+rect 1152 27280 19654 27332
+rect 19706 27280 19718 27332
+rect 19770 27280 19782 27332
+rect 19834 27280 19846 27332
+rect 19898 27280 50374 27332
+rect 50426 27280 50438 27332
+rect 50490 27280 50502 27332
+rect 50554 27280 50566 27332
+rect 50618 27280 58848 27332
+rect 1152 27258 58848 27280
+rect 11635 27064 11693 27070
+rect 11635 27030 11647 27064
+rect 11681 27061 11693 27064
+rect 11923 27064 11981 27070
+rect 11923 27061 11935 27064
+rect 11681 27033 11935 27061
+rect 11681 27030 11693 27033
+rect 11635 27024 11693 27030
+rect 11923 27030 11935 27033
+rect 11969 27061 11981 27064
+rect 19984 27061 19990 27073
+rect 11969 27033 19990 27061
+rect 11969 27030 11981 27033
+rect 11923 27024 11981 27030
+rect 19984 27021 19990 27033
+rect 20042 27021 20048 27073
+rect 10960 26765 10966 26777
+rect 10921 26737 10966 26765
+rect 10960 26725 10966 26737
+rect 11018 26725 11024 26777
+rect 20947 26768 21005 26774
+rect 20947 26734 20959 26768
+rect 20993 26765 21005 26768
+rect 22672 26765 22678 26777
+rect 20993 26737 22678 26765
+rect 20993 26734 21005 26737
+rect 20947 26728 21005 26734
+rect 22672 26725 22678 26737
+rect 22730 26725 22736 26777
+rect 1152 26666 58848 26688
+rect 1152 26614 4294 26666
+rect 4346 26614 4358 26666
+rect 4410 26614 4422 26666
+rect 4474 26614 4486 26666
+rect 4538 26614 35014 26666
+rect 35066 26614 35078 26666
+rect 35130 26614 35142 26666
+rect 35194 26614 35206 26666
+rect 35258 26614 58848 26666
+rect 1152 26592 58848 26614
+rect 15664 26543 15670 26555
+rect 8530 26515 15670 26543
+rect 8530 26469 8558 26515
+rect 15664 26503 15670 26515
+rect 15722 26503 15728 26555
+rect 7954 26441 8558 26469
+rect 8755 26472 8813 26478
+rect 7954 26381 7982 26441
+rect 8755 26438 8767 26472
+rect 8801 26469 8813 26472
+rect 16528 26469 16534 26481
+rect 8801 26441 16534 26469
+rect 8801 26438 8813 26441
+rect 8755 26432 8813 26438
+rect 16528 26429 16534 26441
+rect 16586 26429 16592 26481
+rect 8371 26398 8429 26404
+rect 8371 26395 8383 26398
+rect 8256 26367 8383 26395
+rect 8371 26364 8383 26367
+rect 8417 26364 8429 26398
+rect 8371 26358 8429 26364
+rect 49171 26250 49229 26256
+rect 49171 26247 49183 26250
+rect 48994 26219 49183 26247
+rect 12304 26099 12310 26111
+rect 8640 26071 12310 26099
+rect 12304 26059 12310 26071
+rect 12362 26059 12368 26111
+rect 28144 26059 28150 26111
+rect 28202 26099 28208 26111
+rect 48994 26108 49022 26219
+rect 49171 26216 49183 26219
+rect 49217 26216 49229 26250
+rect 49171 26210 49229 26216
+rect 48979 26102 49037 26108
+rect 48979 26099 48991 26102
+rect 28202 26071 48991 26099
+rect 28202 26059 28208 26071
+rect 48979 26068 48991 26071
+rect 49025 26068 49037 26102
+rect 48979 26062 49037 26068
+rect 1152 26000 58848 26022
+rect 1152 25948 19654 26000
+rect 19706 25948 19718 26000
+rect 19770 25948 19782 26000
+rect 19834 25948 19846 26000
+rect 19898 25948 50374 26000
+rect 50426 25948 50438 26000
+rect 50490 25948 50502 26000
+rect 50554 25948 50566 26000
+rect 50618 25948 58848 26000
+rect 1152 25926 58848 25948
+rect 15184 25467 15190 25519
+rect 15242 25507 15248 25519
+rect 49267 25510 49325 25516
+rect 49267 25507 49279 25510
+rect 15242 25479 49279 25507
+rect 15242 25467 15248 25479
+rect 49267 25476 49279 25479
+rect 49313 25476 49325 25510
+rect 49267 25470 49325 25476
+rect 47056 25393 47062 25445
+rect 47114 25433 47120 25445
+rect 47155 25436 47213 25442
+rect 47155 25433 47167 25436
+rect 47114 25405 47167 25433
+rect 47114 25393 47120 25405
+rect 47155 25402 47167 25405
+rect 47201 25433 47213 25436
+rect 47347 25436 47405 25442
+rect 47347 25433 47359 25436
+rect 47201 25405 47359 25433
+rect 47201 25402 47213 25405
+rect 47155 25396 47213 25402
+rect 47347 25402 47359 25405
+rect 47393 25402 47405 25436
+rect 56176 25433 56182 25445
+rect 56137 25405 56182 25433
+rect 47347 25396 47405 25402
+rect 56176 25393 56182 25405
+rect 56234 25393 56240 25445
+rect 1152 25334 58848 25356
+rect 1152 25282 4294 25334
+rect 4346 25282 4358 25334
+rect 4410 25282 4422 25334
+rect 4474 25282 4486 25334
+rect 4538 25282 35014 25334
+rect 35066 25282 35078 25334
+rect 35130 25282 35142 25334
+rect 35194 25282 35206 25334
+rect 35258 25282 58848 25334
+rect 1152 25260 58848 25282
+rect 13072 25211 13078 25223
+rect 8530 25183 13078 25211
+rect 8530 25137 8558 25183
+rect 13072 25171 13078 25183
+rect 13130 25171 13136 25223
+rect 8242 25109 8558 25137
+rect 8080 25063 8086 25075
+rect 7968 25035 8086 25063
+rect 8080 25023 8086 25035
+rect 8138 25023 8144 25075
+rect 8242 25049 8270 25109
+rect 17875 24918 17933 24924
+rect 17875 24884 17887 24918
+rect 17921 24915 17933 24918
+rect 17921 24887 27374 24915
+rect 17921 24884 17933 24887
+rect 17875 24878 17933 24884
+rect 27346 24841 27374 24887
+rect 32464 24875 32470 24927
+rect 32522 24915 32528 24927
+rect 35923 24918 35981 24924
+rect 35923 24915 35935 24918
+rect 32522 24887 35935 24915
+rect 32522 24875 32528 24887
+rect 35923 24884 35935 24887
+rect 35969 24884 35981 24918
+rect 35923 24878 35981 24884
+rect 52432 24841 52438 24853
+rect 27346 24813 52438 24841
+rect 52432 24801 52438 24813
+rect 52490 24801 52496 24853
+rect 15952 24767 15958 24779
+rect 8640 24739 15958 24767
+rect 15952 24727 15958 24739
+rect 16010 24727 16016 24779
+rect 1152 24668 58848 24690
+rect 1152 24616 19654 24668
+rect 19706 24616 19718 24668
+rect 19770 24616 19782 24668
+rect 19834 24616 19846 24668
+rect 19898 24616 50374 24668
+rect 50426 24616 50438 24668
+rect 50490 24616 50502 24668
+rect 50554 24616 50566 24668
+rect 50618 24616 58848 24668
+rect 1152 24594 58848 24616
+rect 8080 24505 8086 24557
+rect 8138 24545 8144 24557
+rect 15280 24545 15286 24557
+rect 8138 24517 15286 24545
+rect 8138 24505 8144 24517
+rect 15280 24505 15286 24517
+rect 15338 24505 15344 24557
+rect 30643 24548 30701 24554
+rect 30643 24514 30655 24548
+rect 30689 24545 30701 24548
+rect 30931 24548 30989 24554
+rect 30931 24545 30943 24548
+rect 30689 24517 30943 24545
+rect 30689 24514 30701 24517
+rect 30643 24508 30701 24514
+rect 30931 24514 30943 24517
+rect 30977 24545 30989 24548
+rect 52912 24545 52918 24557
+rect 30977 24517 52918 24545
+rect 30977 24514 30989 24517
+rect 30931 24508 30989 24514
+rect 52912 24505 52918 24517
+rect 52970 24505 52976 24557
+rect 44080 24431 44086 24483
+rect 44138 24471 44144 24483
+rect 49552 24471 49558 24483
+rect 44138 24443 49558 24471
+rect 44138 24431 44144 24443
+rect 49552 24431 49558 24443
+rect 49610 24431 49616 24483
+rect 6448 24135 6454 24187
+rect 6506 24175 6512 24187
+rect 41104 24175 41110 24187
+rect 6506 24147 41110 24175
+rect 6506 24135 6512 24147
+rect 41104 24135 41110 24147
+rect 41162 24135 41168 24187
+rect 12112 24101 12118 24113
+rect 12073 24073 12118 24101
+rect 12112 24061 12118 24073
+rect 12170 24061 12176 24113
+rect 30640 24061 30646 24113
+rect 30698 24101 30704 24113
+rect 31795 24104 31853 24110
+rect 31795 24101 31807 24104
+rect 30698 24073 31807 24101
+rect 30698 24061 30704 24073
+rect 31795 24070 31807 24073
+rect 31841 24070 31853 24104
+rect 39280 24101 39286 24113
+rect 39241 24073 39286 24101
+rect 31795 24064 31853 24070
+rect 39280 24061 39286 24073
+rect 39338 24061 39344 24113
+rect 1152 24002 58848 24024
+rect 1152 23950 4294 24002
+rect 4346 23950 4358 24002
+rect 4410 23950 4422 24002
+rect 4474 23950 4486 24002
+rect 4538 23950 35014 24002
+rect 35066 23950 35078 24002
+rect 35130 23950 35142 24002
+rect 35194 23950 35206 24002
+rect 35258 23950 58848 24002
+rect 1152 23928 58848 23950
+rect 8194 23851 8558 23879
+rect 8194 23791 8222 23851
+rect 8530 23805 8558 23851
+rect 23728 23839 23734 23891
+rect 23786 23879 23792 23891
+rect 39280 23879 39286 23891
+rect 23786 23851 39286 23879
+rect 23786 23839 23792 23851
+rect 39280 23839 39286 23851
+rect 39338 23839 39344 23891
+rect 15472 23805 15478 23817
+rect 8530 23777 15478 23805
+rect 15472 23765 15478 23777
+rect 15530 23765 15536 23817
+rect 11056 23543 11062 23595
+rect 11114 23583 11120 23595
+rect 44371 23586 44429 23592
+rect 44371 23583 44383 23586
+rect 11114 23555 44383 23583
+rect 11114 23543 11120 23555
+rect 44371 23552 44383 23555
+rect 44417 23552 44429 23586
+rect 44371 23546 44429 23552
+rect 8080 23509 8086 23521
+rect 7968 23481 8086 23509
+rect 8080 23469 8086 23481
+rect 8138 23469 8144 23521
+rect 13264 23509 13270 23521
+rect 8242 23435 8270 23495
+rect 8544 23481 13270 23509
+rect 13264 23469 13270 23481
+rect 13322 23469 13328 23521
+rect 8464 23435 8470 23447
+rect 8242 23407 8470 23435
+rect 8464 23395 8470 23407
+rect 8522 23395 8528 23447
+rect 1152 23336 58848 23358
+rect 1152 23284 19654 23336
+rect 19706 23284 19718 23336
+rect 19770 23284 19782 23336
+rect 19834 23284 19846 23336
+rect 19898 23284 50374 23336
+rect 50426 23284 50438 23336
+rect 50490 23284 50502 23336
+rect 50554 23284 50566 23336
+rect 50618 23284 58848 23336
+rect 1152 23262 58848 23284
+rect 8080 23173 8086 23225
+rect 8138 23213 8144 23225
+rect 12400 23213 12406 23225
+rect 8138 23185 12406 23213
+rect 8138 23173 8144 23185
+rect 12400 23173 12406 23185
+rect 12458 23173 12464 23225
+rect 8464 23099 8470 23151
+rect 8522 23139 8528 23151
+rect 13168 23139 13174 23151
+rect 8522 23111 13174 23139
+rect 8522 23099 8528 23111
+rect 13168 23099 13174 23111
+rect 13226 23099 13232 23151
+rect 10576 22951 10582 23003
+rect 10634 22991 10640 23003
+rect 55504 22991 55510 23003
+rect 10634 22963 55510 22991
+rect 10634 22951 10640 22963
+rect 55504 22951 55510 22963
+rect 55562 22951 55568 23003
+rect 8272 22877 8278 22929
+rect 8330 22917 8336 22929
+rect 57616 22917 57622 22929
+rect 8330 22889 57622 22917
+rect 8330 22877 8336 22889
+rect 57616 22877 57622 22889
+rect 57674 22877 57680 22929
+rect 8080 22803 8086 22855
+rect 8138 22843 8144 22855
+rect 41008 22843 41014 22855
+rect 8138 22815 41014 22843
+rect 8138 22803 8144 22815
+rect 41008 22803 41014 22815
+rect 41066 22803 41072 22855
+rect 8560 22769 8566 22781
+rect 8521 22741 8566 22769
+rect 8560 22729 8566 22741
+rect 8618 22729 8624 22781
+rect 12307 22772 12365 22778
+rect 12307 22738 12319 22772
+rect 12353 22769 12365 22772
+rect 12595 22772 12653 22778
+rect 12595 22769 12607 22772
+rect 12353 22741 12607 22769
+rect 12353 22738 12365 22741
+rect 12307 22732 12365 22738
+rect 12595 22738 12607 22741
+rect 12641 22769 12653 22772
+rect 12688 22769 12694 22781
+rect 12641 22741 12694 22769
+rect 12641 22738 12653 22741
+rect 12595 22732 12653 22738
+rect 12688 22729 12694 22741
+rect 12746 22729 12752 22781
+rect 23920 22729 23926 22781
+rect 23978 22769 23984 22781
+rect 26035 22772 26093 22778
+rect 26035 22769 26047 22772
+rect 23978 22741 26047 22769
+rect 23978 22729 23984 22741
+rect 26035 22738 26047 22741
+rect 26081 22738 26093 22772
+rect 26035 22732 26093 22738
+rect 28531 22772 28589 22778
+rect 28531 22738 28543 22772
+rect 28577 22769 28589 22772
+rect 32560 22769 32566 22781
+rect 28577 22741 32566 22769
+rect 28577 22738 28589 22741
+rect 28531 22732 28589 22738
+rect 32560 22729 32566 22741
+rect 32618 22729 32624 22781
+rect 44848 22769 44854 22781
+rect 44809 22741 44854 22769
+rect 44848 22729 44854 22741
+rect 44906 22729 44912 22781
+rect 1152 22670 58848 22692
+rect 1152 22618 4294 22670
+rect 4346 22618 4358 22670
+rect 4410 22618 4422 22670
+rect 4474 22618 4486 22670
+rect 4538 22618 35014 22670
+rect 35066 22618 35078 22670
+rect 35130 22618 35142 22670
+rect 35194 22618 35206 22670
+rect 35258 22618 58848 22670
+rect 1152 22596 58848 22618
+rect 8560 22507 8566 22559
+rect 8618 22547 8624 22559
+rect 35920 22547 35926 22559
+rect 8618 22519 35926 22547
+rect 8618 22507 8624 22519
+rect 35920 22507 35926 22519
+rect 35978 22507 35984 22559
+rect 8272 22433 8278 22485
+rect 8330 22433 8336 22485
+rect 35440 22433 35446 22485
+rect 35498 22473 35504 22485
+rect 44848 22473 44854 22485
+rect 35498 22445 44854 22473
+rect 35498 22433 35504 22445
+rect 44848 22433 44854 22445
+rect 44906 22433 44912 22485
+rect 7968 22371 8126 22399
+rect 8098 22337 8126 22371
+rect 12688 22359 12694 22411
+rect 12746 22399 12752 22411
+rect 46384 22399 46390 22411
+rect 12746 22371 46390 22399
+rect 12746 22359 12752 22371
+rect 46384 22359 46390 22371
+rect 46442 22359 46448 22411
+rect 8080 22285 8086 22337
+rect 8138 22285 8144 22337
+rect 30067 22254 30125 22260
+rect 30067 22220 30079 22254
+rect 30113 22251 30125 22254
+rect 30160 22251 30166 22263
+rect 30113 22223 30166 22251
+rect 30113 22220 30125 22223
+rect 30067 22214 30125 22220
+rect 30160 22211 30166 22223
+rect 30218 22211 30224 22263
+rect 10576 22177 10582 22189
+rect 8256 22149 10582 22177
+rect 10576 22137 10582 22149
+rect 10634 22137 10640 22189
+rect 7603 22106 7661 22112
+rect 7603 22072 7615 22106
+rect 7649 22103 7661 22106
+rect 8176 22103 8182 22115
+rect 7649 22075 8182 22103
+rect 7649 22072 7661 22075
+rect 7603 22066 7661 22072
+rect 8176 22063 8182 22075
+rect 8234 22063 8240 22115
+rect 1152 22004 58848 22026
+rect 1152 21952 19654 22004
+rect 19706 21952 19718 22004
+rect 19770 21952 19782 22004
+rect 19834 21952 19846 22004
+rect 19898 21952 50374 22004
+rect 50426 21952 50438 22004
+rect 50490 21952 50502 22004
+rect 50554 21952 50566 22004
+rect 50618 21952 58848 22004
+rect 1152 21930 58848 21952
+rect 8272 21545 8278 21597
+rect 8330 21585 8336 21597
+rect 48688 21585 48694 21597
+rect 8330 21557 48694 21585
+rect 8330 21545 8336 21557
+rect 48688 21545 48694 21557
+rect 48746 21545 48752 21597
+rect 8080 21471 8086 21523
+rect 8138 21511 8144 21523
+rect 52816 21511 52822 21523
+rect 8138 21483 52822 21511
+rect 8138 21471 8144 21483
+rect 52816 21471 52822 21483
+rect 52874 21471 52880 21523
+rect 10096 21397 10102 21449
+rect 10154 21437 10160 21449
+rect 10195 21440 10253 21446
+rect 10195 21437 10207 21440
+rect 10154 21409 10207 21437
+rect 10154 21397 10160 21409
+rect 10195 21406 10207 21409
+rect 10241 21406 10253 21440
+rect 28048 21437 28054 21449
+rect 28009 21409 28054 21437
+rect 10195 21400 10253 21406
+rect 28048 21397 28054 21409
+rect 28106 21397 28112 21449
+rect 57328 21437 57334 21449
+rect 57289 21409 57334 21437
+rect 57328 21397 57334 21409
+rect 57386 21397 57392 21449
+rect 1152 21338 58848 21360
+rect 1152 21286 4294 21338
+rect 4346 21286 4358 21338
+rect 4410 21286 4422 21338
+rect 4474 21286 4486 21338
+rect 4538 21286 35014 21338
+rect 35066 21286 35078 21338
+rect 35130 21286 35142 21338
+rect 35194 21286 35206 21338
+rect 35258 21286 58848 21338
+rect 1152 21264 58848 21286
+rect 31120 21175 31126 21227
+rect 31178 21215 31184 21227
+rect 57328 21215 57334 21227
+rect 31178 21187 57334 21215
+rect 31178 21175 31184 21187
+rect 57328 21175 57334 21187
+rect 57386 21175 57392 21227
+rect 24787 20996 24845 21002
+rect 24787 20962 24799 20996
+rect 24833 20993 24845 20996
+rect 25075 20996 25133 21002
+rect 25075 20993 25087 20996
+rect 24833 20965 25087 20993
+rect 24833 20962 24845 20965
+rect 8230 20951 8282 20957
+rect 24787 20956 24845 20962
+rect 25075 20962 25087 20965
+rect 25121 20993 25133 20996
+rect 44176 20993 44182 21005
+rect 25121 20965 44182 20993
+rect 25121 20962 25133 20965
+rect 25075 20956 25133 20962
+rect 44176 20953 44182 20965
+rect 44234 20953 44240 21005
+rect 35728 20919 35734 20931
+rect 8230 20893 8282 20899
+rect 35689 20891 35734 20919
+rect 35728 20879 35734 20891
+rect 35786 20879 35792 20931
+rect 49936 20919 49942 20931
+rect 49897 20891 49942 20919
+rect 49936 20879 49942 20891
+rect 49994 20879 50000 20931
+rect 8080 20805 8086 20857
+rect 8138 20805 8144 20857
+rect 50800 20845 50806 20857
+rect 9120 20817 50806 20845
+rect 50800 20805 50806 20817
+rect 50858 20805 50864 20857
+rect 7600 20771 7606 20783
+rect 7561 20743 7606 20771
+rect 7600 20731 7606 20743
+rect 7658 20731 7664 20783
+rect 8752 20731 8758 20783
+rect 8810 20731 8816 20783
+rect 9328 20731 9334 20783
+rect 9386 20771 9392 20783
+rect 55216 20771 55222 20783
+rect 9386 20743 55222 20771
+rect 9386 20731 9392 20743
+rect 55216 20731 55222 20743
+rect 55274 20731 55280 20783
+rect 1152 20672 58848 20694
+rect 1152 20620 19654 20672
+rect 19706 20620 19718 20672
+rect 19770 20620 19782 20672
+rect 19834 20620 19846 20672
+rect 19898 20620 50374 20672
+rect 50426 20620 50438 20672
+rect 50490 20620 50502 20672
+rect 50554 20620 50566 20672
+rect 50618 20620 58848 20672
+rect 1152 20598 58848 20620
+rect 7600 20509 7606 20561
+rect 7658 20549 7664 20561
+rect 8752 20549 8758 20561
+rect 7658 20521 8758 20549
+rect 7658 20509 7664 20521
+rect 8752 20509 8758 20521
+rect 8810 20549 8816 20561
+rect 9328 20549 9334 20561
+rect 8810 20521 9334 20549
+rect 8810 20509 8816 20521
+rect 9328 20509 9334 20521
+rect 9386 20509 9392 20561
+rect 16240 20509 16246 20561
+rect 16298 20549 16304 20561
+rect 35728 20549 35734 20561
+rect 16298 20521 35734 20549
+rect 16298 20509 16304 20521
+rect 35728 20509 35734 20521
+rect 35786 20509 35792 20561
+rect 39568 20509 39574 20561
+rect 39626 20549 39632 20561
+rect 49936 20549 49942 20561
+rect 39626 20521 49942 20549
+rect 39626 20509 39632 20521
+rect 49936 20509 49942 20521
+rect 49994 20509 50000 20561
+rect 29602 20151 29918 20179
+rect 7600 20065 7606 20117
+rect 7658 20105 7664 20117
+rect 8752 20105 8758 20117
+rect 7658 20077 8758 20105
+rect 7658 20065 7664 20077
+rect 8752 20065 8758 20077
+rect 8810 20065 8816 20117
+rect 26899 20108 26957 20114
+rect 26899 20074 26911 20108
+rect 26945 20105 26957 20108
+rect 29602 20105 29630 20151
+rect 29776 20105 29782 20117
+rect 26945 20077 29630 20105
+rect 29737 20077 29782 20105
+rect 26945 20074 26957 20077
+rect 26899 20068 26957 20074
+rect 29776 20065 29782 20077
+rect 29834 20065 29840 20117
+rect 29890 20105 29918 20151
+rect 35536 20105 35542 20117
+rect 29890 20077 35542 20105
+rect 35536 20065 35542 20077
+rect 35594 20065 35600 20117
+rect 1152 20006 58848 20028
+rect 1152 19954 4294 20006
+rect 4346 19954 4358 20006
+rect 4410 19954 4422 20006
+rect 4474 19954 4486 20006
+rect 4538 19954 35014 20006
+rect 35066 19954 35078 20006
+rect 35130 19954 35142 20006
+rect 35194 19954 35206 20006
+rect 35258 19954 58848 20006
+rect 1152 19932 58848 19954
+rect 7600 19883 7606 19895
+rect 7561 19855 7606 19883
+rect 7600 19843 7606 19855
+rect 7658 19843 7664 19895
+rect 8752 19843 8758 19895
+rect 8810 19883 8816 19895
+rect 48784 19883 48790 19895
+rect 8810 19855 48790 19883
+rect 8810 19843 8816 19855
+rect 48784 19843 48790 19855
+rect 48842 19843 48848 19895
+rect 8770 19795 8798 19843
+rect 18160 19769 18166 19821
+rect 18218 19809 18224 19821
+rect 29776 19809 29782 19821
+rect 18218 19781 29782 19809
+rect 18218 19769 18224 19781
+rect 29776 19769 29782 19781
+rect 29834 19769 29840 19821
+rect 33619 19590 33677 19596
+rect 33619 19556 33631 19590
+rect 33665 19587 33677 19590
+rect 34384 19587 34390 19599
+rect 33665 19559 34390 19587
+rect 33665 19556 33677 19559
+rect 33619 19550 33677 19556
+rect 34384 19547 34390 19559
+rect 34442 19547 34448 19599
+rect 40144 19587 40150 19599
+rect 40105 19559 40150 19587
+rect 40144 19547 40150 19559
+rect 40202 19547 40208 19599
+rect 8272 19473 8278 19525
+rect 8330 19473 8336 19525
+rect 9040 19473 9046 19525
+rect 9098 19513 9104 19525
+rect 46096 19513 46102 19525
+rect 9098 19485 46102 19513
+rect 9098 19473 9104 19485
+rect 46096 19473 46102 19485
+rect 46154 19473 46160 19525
+rect 28048 19399 28054 19451
+rect 28106 19439 28112 19451
+rect 40048 19439 40054 19451
+rect 28106 19411 40054 19439
+rect 28106 19399 28112 19411
+rect 40048 19399 40054 19411
+rect 40106 19399 40112 19451
+rect 1152 19340 58848 19362
+rect 1152 19288 19654 19340
+rect 19706 19288 19718 19340
+rect 19770 19288 19782 19340
+rect 19834 19288 19846 19340
+rect 19898 19288 50374 19340
+rect 50426 19288 50438 19340
+rect 50490 19288 50502 19340
+rect 50554 19288 50566 19340
+rect 50618 19288 58848 19340
+rect 1152 19266 58848 19288
+rect 2224 19177 2230 19229
+rect 2282 19217 2288 19229
+rect 39088 19217 39094 19229
+rect 2282 19189 39094 19217
+rect 2282 19177 2288 19189
+rect 39088 19177 39094 19189
+rect 39146 19177 39152 19229
+rect 28912 19103 28918 19155
+rect 28970 19143 28976 19155
+rect 40144 19143 40150 19155
+rect 28970 19115 40150 19143
+rect 28970 19103 28976 19115
+rect 40144 19103 40150 19115
+rect 40202 19103 40208 19155
+rect 20368 18881 20374 18933
+rect 20426 18921 20432 18933
+rect 49363 18924 49421 18930
+rect 49363 18921 49375 18924
+rect 20426 18893 49375 18921
+rect 20426 18881 20432 18893
+rect 49363 18890 49375 18893
+rect 49409 18921 49421 18924
+rect 49555 18924 49613 18930
+rect 49555 18921 49567 18924
+rect 49409 18893 49567 18921
+rect 49409 18890 49421 18893
+rect 49363 18884 49421 18890
+rect 49555 18890 49567 18893
+rect 49601 18890 49613 18924
+rect 49555 18884 49613 18890
+rect 1152 18674 58848 18696
+rect 1152 18622 4294 18674
+rect 4346 18622 4358 18674
+rect 4410 18622 4422 18674
+rect 4474 18622 4486 18674
+rect 4538 18622 35014 18674
+rect 35066 18622 35078 18674
+rect 35130 18622 35142 18674
+rect 35194 18622 35206 18674
+rect 35258 18622 58848 18674
+rect 1152 18600 58848 18622
+rect 7603 18554 7661 18560
+rect 7603 18520 7615 18554
+rect 7649 18551 7661 18554
+rect 7891 18554 7949 18560
+rect 7891 18551 7903 18554
+rect 7649 18523 7903 18551
+rect 7649 18520 7661 18523
+rect 7603 18514 7661 18520
+rect 7891 18520 7903 18523
+rect 7937 18520 7949 18554
+rect 7891 18514 7949 18520
+rect 8179 18554 8237 18560
+rect 8179 18520 8191 18554
+rect 8225 18551 8237 18554
+rect 8225 18523 17294 18551
+rect 8225 18520 8237 18523
+rect 8179 18514 8237 18520
+rect 8194 18463 8222 18514
+rect 13072 18437 13078 18489
+rect 13130 18477 13136 18489
+rect 15184 18477 15190 18489
+rect 13130 18449 15190 18477
+rect 13130 18437 13136 18449
+rect 15184 18437 15190 18449
+rect 15242 18437 15248 18489
+rect 17266 18477 17294 18523
+rect 28336 18511 28342 18563
+rect 28394 18551 28400 18563
+rect 29683 18554 29741 18560
+rect 29683 18551 29695 18554
+rect 28394 18523 29695 18551
+rect 28394 18511 28400 18523
+rect 29683 18520 29695 18523
+rect 29729 18551 29741 18554
+rect 29875 18554 29933 18560
+rect 29875 18551 29887 18554
+rect 29729 18523 29887 18551
+rect 29729 18520 29741 18523
+rect 29683 18514 29741 18520
+rect 29875 18520 29887 18523
+rect 29921 18520 29933 18554
+rect 29875 18514 29933 18520
+rect 46099 18554 46157 18560
+rect 46099 18520 46111 18554
+rect 46145 18551 46157 18554
+rect 46192 18551 46198 18563
+rect 46145 18523 46198 18551
+rect 46145 18520 46157 18523
+rect 46099 18514 46157 18520
+rect 46192 18511 46198 18523
+rect 46250 18511 46256 18563
+rect 45232 18477 45238 18489
+rect 17266 18449 45238 18477
+rect 45232 18437 45238 18449
+rect 45290 18437 45296 18489
+rect 8098 18267 8126 18368
+rect 5968 18255 5974 18267
+rect 5929 18227 5974 18255
+rect 5968 18215 5974 18227
+rect 6026 18215 6032 18267
+rect 8080 18215 8086 18267
+rect 8138 18215 8144 18267
+rect 15955 18258 16013 18264
+rect 15955 18224 15967 18258
+rect 16001 18255 16013 18258
+rect 50128 18255 50134 18267
+rect 16001 18227 17294 18255
+rect 50089 18227 50134 18255
+rect 16001 18224 16013 18227
+rect 15955 18218 16013 18224
+rect 17266 18181 17294 18227
+rect 50128 18215 50134 18227
+rect 50186 18215 50192 18267
+rect 34864 18181 34870 18193
+rect 17266 18153 34870 18181
+rect 34864 18141 34870 18153
+rect 34922 18141 34928 18193
+rect 12112 18067 12118 18119
+rect 12170 18107 12176 18119
+rect 12496 18107 12502 18119
+rect 12170 18079 12502 18107
+rect 12170 18067 12176 18079
+rect 12496 18067 12502 18079
+rect 12554 18067 12560 18119
+rect 25747 18110 25805 18116
+rect 25747 18076 25759 18110
+rect 25793 18107 25805 18110
+rect 26035 18110 26093 18116
+rect 26035 18107 26047 18110
+rect 25793 18079 26047 18107
+rect 25793 18076 25805 18079
+rect 25747 18070 25805 18076
+rect 26035 18076 26047 18079
+rect 26081 18107 26093 18110
+rect 30832 18107 30838 18119
+rect 26081 18079 30838 18107
+rect 26081 18076 26093 18079
+rect 26035 18070 26093 18076
+rect 30832 18067 30838 18079
+rect 30890 18067 30896 18119
+rect 1152 18008 58848 18030
+rect 1152 17956 19654 18008
+rect 19706 17956 19718 18008
+rect 19770 17956 19782 18008
+rect 19834 17956 19846 18008
+rect 19898 17956 50374 18008
+rect 50426 17956 50438 18008
+rect 50490 17956 50502 18008
+rect 50554 17956 50566 18008
+rect 50618 17956 58848 18008
+rect 1152 17934 58848 17956
+rect 26224 17845 26230 17897
+rect 26282 17885 26288 17897
+rect 50128 17885 50134 17897
+rect 26282 17857 50134 17885
+rect 26282 17845 26288 17857
+rect 50128 17845 50134 17857
+rect 50186 17845 50192 17897
+rect 8080 17771 8086 17823
+rect 8138 17811 8144 17823
+rect 42928 17811 42934 17823
+rect 8138 17783 42934 17811
+rect 8138 17771 8144 17783
+rect 42928 17771 42934 17783
+rect 42986 17771 42992 17823
+rect 14128 17475 14134 17527
+rect 14186 17515 14192 17527
+rect 50515 17518 50573 17524
+rect 50515 17515 50527 17518
+rect 14186 17487 50527 17515
+rect 14186 17475 14192 17487
+rect 50515 17484 50527 17487
+rect 50561 17484 50573 17518
+rect 50515 17478 50573 17484
+rect 21808 17441 21814 17453
+rect 21769 17413 21814 17441
+rect 21808 17401 21814 17413
+rect 21866 17401 21872 17453
+rect 41776 17441 41782 17453
+rect 41737 17413 41782 17441
+rect 41776 17401 41782 17413
+rect 41834 17401 41840 17453
+rect 1152 17342 58848 17364
+rect 1152 17290 4294 17342
+rect 4346 17290 4358 17342
+rect 4410 17290 4422 17342
+rect 4474 17290 4486 17342
+rect 4538 17290 35014 17342
+rect 35066 17290 35078 17342
+rect 35130 17290 35142 17342
+rect 35194 17290 35206 17342
+rect 35258 17290 58848 17342
+rect 1152 17268 58848 17290
+rect 15091 17222 15149 17228
+rect 15091 17188 15103 17222
+rect 15137 17219 15149 17222
+rect 15376 17219 15382 17231
+rect 15137 17191 15382 17219
+rect 15137 17188 15149 17191
+rect 15091 17182 15149 17188
+rect 15376 17179 15382 17191
+rect 15434 17179 15440 17231
+rect 31699 17222 31757 17228
+rect 31699 17188 31711 17222
+rect 31745 17219 31757 17222
+rect 39760 17219 39766 17231
+rect 31745 17191 39766 17219
+rect 31745 17188 31757 17191
+rect 31699 17182 31757 17188
+rect 39760 17179 39766 17191
+rect 39818 17179 39824 17231
+rect 42544 17179 42550 17231
+rect 42602 17219 42608 17231
+rect 56176 17219 56182 17231
+rect 42602 17191 56182 17219
+rect 42602 17179 42608 17191
+rect 56176 17179 56182 17191
+rect 56234 17179 56240 17231
+rect 17395 17148 17453 17154
+rect 17395 17114 17407 17148
+rect 17441 17145 17453 17148
+rect 17683 17148 17741 17154
+rect 17683 17145 17695 17148
+rect 17441 17117 17695 17145
+rect 17441 17114 17453 17117
+rect 17395 17108 17453 17114
+rect 17683 17114 17695 17117
+rect 17729 17145 17741 17148
+rect 20947 17148 21005 17154
+rect 20947 17145 20959 17148
+rect 17729 17117 20959 17145
+rect 17729 17114 17741 17117
+rect 17683 17108 17741 17114
+rect 20947 17114 20959 17117
+rect 20993 17114 21005 17148
+rect 20947 17108 21005 17114
+rect 21808 17105 21814 17157
+rect 21866 17145 21872 17157
+rect 48976 17145 48982 17157
+rect 21866 17117 48982 17145
+rect 21866 17105 21872 17117
+rect 48976 17105 48982 17117
+rect 49034 17105 49040 17157
+rect 9328 17031 9334 17083
+rect 9386 17071 9392 17083
+rect 47056 17071 47062 17083
+rect 9386 17043 47062 17071
+rect 9386 17031 9392 17043
+rect 47056 17031 47062 17043
+rect 47114 17031 47120 17083
+rect 12403 17000 12461 17006
+rect 12403 16966 12415 17000
+rect 12449 16997 12461 17000
+rect 20947 17000 21005 17006
+rect 12449 16969 20510 16997
+rect 12449 16966 12461 16969
+rect 12403 16960 12461 16966
+rect 16048 16923 16054 16935
+rect 16009 16895 16054 16923
+rect 16048 16883 16054 16895
+rect 16106 16883 16112 16935
+rect 20176 16923 20182 16935
+rect 20137 16895 20182 16923
+rect 20176 16883 20182 16895
+rect 20234 16883 20240 16935
+rect 20482 16923 20510 16969
+rect 20947 16966 20959 17000
+rect 20993 16997 21005 17000
+rect 43984 16997 43990 17009
+rect 20993 16969 43990 16997
+rect 20993 16966 21005 16969
+rect 20947 16960 21005 16966
+rect 43984 16957 43990 16969
+rect 44042 16957 44048 17009
+rect 20482 16895 31934 16923
+rect 31699 16852 31757 16858
+rect 31699 16849 31711 16852
+rect 7968 16821 31711 16849
+rect 31699 16818 31711 16821
+rect 31745 16818 31757 16852
+rect 31906 16849 31934 16895
+rect 31984 16883 31990 16935
+rect 32042 16923 32048 16935
+rect 51472 16923 51478 16935
+rect 32042 16895 32087 16923
+rect 37426 16895 51478 16923
+rect 32042 16883 32048 16895
+rect 37426 16849 37454 16895
+rect 51472 16883 51478 16895
+rect 51530 16883 51536 16935
+rect 57520 16923 57526 16935
+rect 57481 16895 57526 16923
+rect 57520 16883 57526 16895
+rect 57578 16883 57584 16935
+rect 31906 16821 37454 16849
+rect 31699 16812 31757 16818
+rect 7603 16778 7661 16784
+rect 7603 16744 7615 16778
+rect 7649 16775 7661 16778
+rect 42352 16775 42358 16787
+rect 7649 16747 42358 16775
+rect 7649 16744 7661 16747
+rect 7603 16738 7661 16744
+rect 42352 16735 42358 16747
+rect 42410 16735 42416 16787
+rect 1152 16676 58848 16698
+rect 1152 16624 19654 16676
+rect 19706 16624 19718 16676
+rect 19770 16624 19782 16676
+rect 19834 16624 19846 16676
+rect 19898 16624 50374 16676
+rect 50426 16624 50438 16676
+rect 50490 16624 50502 16676
+rect 50554 16624 50566 16676
+rect 50618 16624 58848 16676
+rect 1152 16602 58848 16624
+rect 20176 16513 20182 16565
+rect 20234 16553 20240 16565
+rect 43024 16553 43030 16565
+rect 20234 16525 43030 16553
+rect 20234 16513 20240 16525
+rect 43024 16513 43030 16525
+rect 43082 16513 43088 16565
+rect 16048 16439 16054 16491
+rect 16106 16479 16112 16491
+rect 22480 16479 22486 16491
+rect 16106 16451 22486 16479
+rect 16106 16439 16112 16451
+rect 22480 16439 22486 16451
+rect 22538 16439 22544 16491
+rect 31984 16439 31990 16491
+rect 32042 16479 32048 16491
+rect 43792 16479 43798 16491
+rect 32042 16451 43798 16479
+rect 32042 16439 32048 16451
+rect 43792 16439 43798 16451
+rect 43850 16439 43856 16491
+rect 18739 16408 18797 16414
+rect 18739 16374 18751 16408
+rect 18785 16405 18797 16408
+rect 19027 16408 19085 16414
+rect 19027 16405 19039 16408
+rect 18785 16377 19039 16405
+rect 18785 16374 18797 16377
+rect 18739 16368 18797 16374
+rect 19027 16374 19039 16377
+rect 19073 16405 19085 16408
+rect 22096 16405 22102 16417
+rect 19073 16377 22102 16405
+rect 19073 16374 19085 16377
+rect 19027 16368 19085 16374
+rect 22096 16365 22102 16377
+rect 22154 16365 22160 16417
+rect 32560 16365 32566 16417
+rect 32618 16405 32624 16417
+rect 52816 16405 52822 16417
+rect 32618 16377 52822 16405
+rect 32618 16365 32624 16377
+rect 52816 16365 52822 16377
+rect 52874 16365 52880 16417
+rect 31312 16291 31318 16343
+rect 31370 16331 31376 16343
+rect 42544 16331 42550 16343
+rect 31370 16303 42550 16331
+rect 31370 16291 31376 16303
+rect 42544 16291 42550 16303
+rect 42602 16291 42608 16343
+rect 4816 16109 4822 16121
+rect 4777 16081 4822 16109
+rect 4816 16069 4822 16081
+rect 4874 16069 4880 16121
+rect 1152 16010 58848 16032
+rect 1152 15958 4294 16010
+rect 4346 15958 4358 16010
+rect 4410 15958 4422 16010
+rect 4474 15958 4486 16010
+rect 4538 15958 35014 16010
+rect 35066 15958 35078 16010
+rect 35130 15958 35142 16010
+rect 35194 15958 35206 16010
+rect 35258 15958 58848 16010
+rect 1152 15936 58848 15958
+rect 4816 15847 4822 15899
+rect 4874 15887 4880 15899
+rect 33904 15887 33910 15899
+rect 4874 15859 33910 15887
+rect 4874 15847 4880 15859
+rect 33904 15847 33910 15859
+rect 33962 15847 33968 15899
+rect 35344 15517 35350 15529
+rect 7968 15489 35350 15517
+rect 35344 15477 35350 15489
+rect 35402 15477 35408 15529
+rect 7603 15446 7661 15452
+rect 7603 15412 7615 15446
+rect 7649 15443 7661 15446
+rect 39184 15443 39190 15455
+rect 7649 15415 39190 15443
+rect 7649 15412 7661 15415
+rect 7603 15406 7661 15412
+rect 39184 15403 39190 15415
+rect 39242 15403 39248 15455
+rect 1152 15344 58848 15366
+rect 1152 15292 19654 15344
+rect 19706 15292 19718 15344
+rect 19770 15292 19782 15344
+rect 19834 15292 19846 15344
+rect 19898 15292 50374 15344
+rect 50426 15292 50438 15344
+rect 50490 15292 50502 15344
+rect 50554 15292 50566 15344
+rect 50618 15292 58848 15344
+rect 1152 15270 58848 15292
+rect 3760 15181 3766 15233
+rect 3818 15221 3824 15233
+rect 17968 15221 17974 15233
+rect 3818 15193 17974 15221
+rect 3818 15181 3824 15193
+rect 17968 15181 17974 15193
+rect 18026 15181 18032 15233
+rect 49555 15224 49613 15230
+rect 49555 15190 49567 15224
+rect 49601 15221 49613 15224
+rect 49648 15221 49654 15233
+rect 49601 15193 49654 15221
+rect 49601 15190 49613 15193
+rect 49555 15184 49613 15190
+rect 49648 15181 49654 15193
+rect 49706 15181 49712 15233
+rect 7120 15107 7126 15159
+rect 7178 15147 7184 15159
+rect 34192 15147 34198 15159
+rect 7178 15119 34198 15147
+rect 7178 15107 7184 15119
+rect 34192 15107 34198 15119
+rect 34250 15107 34256 15159
+rect 35536 15107 35542 15159
+rect 35594 15147 35600 15159
+rect 44080 15147 44086 15159
+rect 35594 15119 44086 15147
+rect 35594 15107 35600 15119
+rect 44080 15107 44086 15119
+rect 44138 15107 44144 15159
+rect 49666 15082 49694 15181
+rect 49651 15076 49709 15082
+rect 49651 15042 49663 15076
+rect 49697 15042 49709 15076
+rect 49651 15036 49709 15042
+rect 9523 15002 9581 15008
+rect 9523 14968 9535 15002
+rect 9569 14999 9581 15002
+rect 9811 15002 9869 15008
+rect 9811 14999 9823 15002
+rect 9569 14971 9823 14999
+rect 9569 14968 9581 14971
+rect 9523 14962 9581 14968
+rect 9811 14968 9823 14971
+rect 9857 14999 9869 15002
+rect 51760 14999 51766 15011
+rect 9857 14971 51766 14999
+rect 9857 14968 9869 14971
+rect 9811 14962 9869 14968
+rect 51760 14959 51766 14971
+rect 51818 14959 51824 15011
+rect 1648 14925 1654 14937
+rect 1609 14897 1654 14925
+rect 1648 14885 1654 14897
+rect 1706 14885 1712 14937
+rect 1747 14928 1805 14934
+rect 1747 14894 1759 14928
+rect 1793 14925 1805 14928
+rect 14416 14925 14422 14937
+rect 1793 14897 14422 14925
+rect 1793 14894 1805 14897
+rect 1747 14888 1805 14894
+rect 14416 14885 14422 14897
+rect 14474 14885 14480 14937
+rect 33136 14885 33142 14937
+rect 33194 14925 33200 14937
+rect 46963 14928 47021 14934
+rect 46963 14925 46975 14928
+rect 33194 14897 46975 14925
+rect 33194 14885 33200 14897
+rect 46963 14894 46975 14897
+rect 47009 14894 47021 14928
+rect 46963 14888 47021 14894
+rect 48979 14928 49037 14934
+rect 48979 14894 48991 14928
+rect 49025 14894 49037 14928
+rect 48979 14888 49037 14894
+rect 17776 14811 17782 14863
+rect 17834 14851 17840 14863
+rect 48787 14854 48845 14860
+rect 48787 14851 48799 14854
+rect 17834 14823 48799 14851
+rect 17834 14811 17840 14823
+rect 48787 14820 48799 14823
+rect 48833 14851 48845 14854
+rect 48994 14851 49022 14888
+rect 48833 14823 49022 14851
+rect 48833 14820 48845 14823
+rect 48787 14814 48845 14820
+rect 10768 14777 10774 14789
+rect 10729 14749 10774 14777
+rect 10768 14737 10774 14749
+rect 10826 14737 10832 14789
+rect 24499 14780 24557 14786
+rect 24499 14746 24511 14780
+rect 24545 14777 24557 14780
+rect 34288 14777 34294 14789
+rect 24545 14749 34294 14777
+rect 24545 14746 24557 14749
+rect 24499 14740 24557 14746
+rect 34288 14737 34294 14749
+rect 34346 14737 34352 14789
+rect 50512 14777 50518 14789
+rect 50473 14749 50518 14777
+rect 50512 14737 50518 14749
+rect 50570 14737 50576 14789
+rect 1152 14678 58848 14700
+rect 1152 14626 4294 14678
+rect 4346 14626 4358 14678
+rect 4410 14626 4422 14678
+rect 4474 14626 4486 14678
+rect 4538 14626 35014 14678
+rect 35066 14626 35078 14678
+rect 35130 14626 35142 14678
+rect 35194 14626 35206 14678
+rect 35258 14626 58848 14678
+rect 1152 14604 58848 14626
+rect 14512 14515 14518 14567
+rect 14570 14555 14576 14567
+rect 50512 14555 50518 14567
+rect 14570 14527 50518 14555
+rect 14570 14515 14576 14527
+rect 50512 14515 50518 14527
+rect 50570 14515 50576 14567
+rect 8083 14484 8141 14490
+rect 8083 14481 8095 14484
+rect 7714 14453 8095 14481
+rect 7603 14410 7661 14416
+rect 7603 14376 7615 14410
+rect 7649 14407 7661 14410
+rect 7714 14407 7742 14453
+rect 8083 14450 8095 14453
+rect 8129 14450 8141 14484
+rect 8083 14444 8141 14450
+rect 28243 14484 28301 14490
+rect 28243 14450 28255 14484
+rect 28289 14481 28301 14484
+rect 45328 14481 45334 14493
+rect 28289 14453 45334 14481
+rect 28289 14450 28301 14453
+rect 28243 14444 28301 14450
+rect 45328 14441 45334 14453
+rect 45386 14441 45392 14493
+rect 7649 14379 7742 14407
+rect 7968 14379 17294 14407
+rect 7649 14376 7661 14379
+rect 7603 14370 7661 14376
+rect 17266 14333 17294 14379
+rect 29392 14367 29398 14419
+rect 29450 14407 29456 14419
+rect 39568 14407 39574 14419
+rect 29450 14379 39574 14407
+rect 29450 14367 29456 14379
+rect 39568 14367 39574 14379
+rect 39626 14367 39632 14419
+rect 34096 14333 34102 14345
+rect 17266 14305 34102 14333
+rect 34096 14293 34102 14305
+rect 34154 14293 34160 14345
+rect 33616 14259 33622 14271
+rect 33577 14231 33622 14259
+rect 33616 14219 33622 14231
+rect 33674 14219 33680 14271
+rect 8083 14188 8141 14194
+rect 8083 14154 8095 14188
+rect 8129 14185 8141 14188
+rect 36880 14185 36886 14197
+rect 8129 14157 36886 14185
+rect 8129 14154 8141 14157
+rect 8083 14148 8141 14154
+rect 36880 14145 36886 14157
+rect 36938 14145 36944 14197
+rect 7888 14071 7894 14123
+rect 7946 14111 7952 14123
+rect 51283 14114 51341 14120
+rect 51283 14111 51295 14114
+rect 7946 14083 51295 14111
+rect 7946 14071 7952 14083
+rect 51283 14080 51295 14083
+rect 51329 14111 51341 14114
+rect 51475 14114 51533 14120
+rect 51475 14111 51487 14114
+rect 51329 14083 51487 14111
+rect 51329 14080 51341 14083
+rect 51283 14074 51341 14080
+rect 51475 14080 51487 14083
+rect 51521 14080 51533 14114
+rect 51475 14074 51533 14080
+rect 1152 14012 58848 14034
+rect 1152 13960 19654 14012
+rect 19706 13960 19718 14012
+rect 19770 13960 19782 14012
+rect 19834 13960 19846 14012
+rect 19898 13960 50374 14012
+rect 50426 13960 50438 14012
+rect 50490 13960 50502 14012
+rect 50554 13960 50566 14012
+rect 50618 13960 58848 14012
+rect 1152 13938 58848 13960
+rect 1744 13849 1750 13901
+rect 1802 13889 1808 13901
+rect 7888 13889 7894 13901
+rect 1802 13861 7894 13889
+rect 1802 13849 1808 13861
+rect 7888 13849 7894 13861
+rect 7946 13849 7952 13901
+rect 20752 13849 20758 13901
+rect 20810 13889 20816 13901
+rect 33136 13889 33142 13901
+rect 20810 13861 33142 13889
+rect 20810 13849 20816 13861
+rect 33136 13849 33142 13861
+rect 33194 13849 33200 13901
+rect 11344 13775 11350 13827
+rect 11402 13815 11408 13827
+rect 20944 13815 20950 13827
+rect 11402 13787 20950 13815
+rect 11402 13775 11408 13787
+rect 20944 13775 20950 13787
+rect 21002 13775 21008 13827
+rect 33616 13775 33622 13827
+rect 33674 13815 33680 13827
+rect 50896 13815 50902 13827
+rect 33674 13787 50902 13815
+rect 33674 13775 33680 13787
+rect 50896 13775 50902 13787
+rect 50954 13775 50960 13827
+rect 14416 13701 14422 13753
+rect 14474 13741 14480 13753
+rect 19123 13744 19181 13750
+rect 19123 13741 19135 13744
+rect 14474 13713 19135 13741
+rect 14474 13701 14480 13713
+rect 19123 13710 19135 13713
+rect 19169 13710 19181 13744
+rect 19123 13704 19181 13710
+rect 21424 13701 21430 13753
+rect 21482 13741 21488 13753
+rect 41776 13741 41782 13753
+rect 21482 13713 41782 13741
+rect 21482 13701 21488 13713
+rect 41776 13701 41782 13713
+rect 41834 13701 41840 13753
+rect 9904 13627 9910 13679
+rect 9962 13667 9968 13679
+rect 33040 13667 33046 13679
+rect 9962 13639 33046 13667
+rect 9962 13627 9968 13639
+rect 33040 13627 33046 13639
+rect 33098 13627 33104 13679
+rect 34384 13627 34390 13679
+rect 34442 13667 34448 13679
+rect 52336 13667 52342 13679
+rect 34442 13639 52342 13667
+rect 34442 13627 34448 13639
+rect 52336 13627 52342 13639
+rect 52394 13627 52400 13679
+rect 14800 13593 14806 13605
+rect 2866 13565 14806 13593
+rect 1744 13405 1750 13457
+rect 1802 13445 1808 13457
+rect 2866 13445 2894 13565
+rect 14800 13553 14806 13565
+rect 14858 13553 14864 13605
+rect 29968 13593 29974 13605
+rect 27346 13565 29974 13593
+rect 8080 13479 8086 13531
+rect 8138 13519 8144 13531
+rect 27346 13519 27374 13565
+rect 29968 13553 29974 13565
+rect 30026 13553 30032 13605
+rect 8138 13491 27374 13519
+rect 28915 13522 28973 13528
+rect 8138 13479 8144 13491
+rect 28915 13488 28927 13522
+rect 28961 13519 28973 13522
+rect 29203 13522 29261 13528
+rect 29203 13519 29215 13522
+rect 28961 13491 29215 13519
+rect 28961 13488 28973 13491
+rect 28915 13482 28973 13488
+rect 29203 13488 29215 13491
+rect 29249 13519 29261 13522
+rect 54448 13519 54454 13531
+rect 29249 13491 54454 13519
+rect 29249 13488 29261 13491
+rect 29203 13482 29261 13488
+rect 54448 13479 54454 13491
+rect 54506 13479 54512 13531
+rect 1802 13417 2894 13445
+rect 1802 13405 1808 13417
+rect 7600 13405 7606 13457
+rect 7658 13445 7664 13457
+rect 9904 13445 9910 13457
+rect 7658 13417 9910 13445
+rect 7658 13405 7664 13417
+rect 9904 13405 9910 13417
+rect 9962 13405 9968 13457
+rect 28147 13448 28205 13454
+rect 28147 13414 28159 13448
+rect 28193 13445 28205 13448
+rect 30160 13445 30166 13457
+rect 28193 13417 30166 13445
+rect 28193 13414 28205 13417
+rect 28147 13408 28205 13414
+rect 30160 13405 30166 13417
+rect 30218 13405 30224 13457
+rect 39664 13445 39670 13457
+rect 39625 13417 39670 13445
+rect 39664 13405 39670 13417
+rect 39722 13405 39728 13457
+rect 44368 13445 44374 13457
+rect 44329 13417 44374 13445
+rect 44368 13405 44374 13417
+rect 44426 13405 44432 13457
+rect 50803 13448 50861 13454
+rect 50803 13414 50815 13448
+rect 50849 13445 50861 13448
+rect 52048 13445 52054 13457
+rect 50849 13417 52054 13445
+rect 50849 13414 50861 13417
+rect 50803 13408 50861 13414
+rect 52048 13405 52054 13417
+rect 52106 13405 52112 13457
+rect 58000 13445 58006 13457
+rect 57961 13417 58006 13445
+rect 58000 13405 58006 13417
+rect 58058 13405 58064 13457
+rect 1152 13346 58848 13368
+rect 1152 13294 4294 13346
+rect 4346 13294 4358 13346
+rect 4410 13294 4422 13346
+rect 4474 13294 4486 13346
+rect 4538 13294 35014 13346
+rect 35066 13294 35078 13346
+rect 35130 13294 35142 13346
+rect 35194 13294 35206 13346
+rect 35258 13294 58848 13346
+rect 1152 13272 58848 13294
+rect 1744 13223 1750 13235
+rect 1705 13195 1750 13223
+rect 1744 13183 1750 13195
+rect 1802 13183 1808 13235
+rect 7600 13223 7606 13235
+rect 7561 13195 7606 13223
+rect 7600 13183 7606 13195
+rect 7658 13183 7664 13235
+rect 8080 13183 8086 13235
+rect 8138 13183 8144 13235
+rect 44560 13183 44566 13235
+rect 44618 13223 44624 13235
+rect 58000 13223 58006 13235
+rect 44618 13195 58006 13223
+rect 44618 13183 44624 13195
+rect 58000 13183 58006 13195
+rect 58058 13183 58064 13235
+rect 39664 13109 39670 13161
+rect 39722 13149 39728 13161
+rect 50224 13149 50230 13161
+rect 39722 13121 50230 13149
+rect 39722 13109 39728 13121
+rect 50224 13109 50230 13121
+rect 50282 13109 50288 13161
+rect 28240 12961 28246 13013
+rect 28298 13001 28304 13013
+rect 31600 13001 31606 13013
+rect 28298 12973 31606 13001
+rect 28298 12961 28304 12973
+rect 31600 12961 31606 12973
+rect 31658 12961 31664 13013
+rect 41491 13004 41549 13010
+rect 41491 12970 41503 13004
+rect 41537 13001 41549 13004
+rect 49936 13001 49942 13013
+rect 41537 12973 49942 13001
+rect 41537 12970 41549 12973
+rect 41491 12964 41549 12970
+rect 49936 12961 49942 12973
+rect 49994 12961 50000 13013
+rect 14320 12887 14326 12939
+rect 14378 12927 14384 12939
+rect 17776 12927 17782 12939
+rect 14378 12899 17782 12927
+rect 14378 12887 14384 12899
+rect 17776 12887 17782 12899
+rect 17834 12887 17840 12939
+rect 24688 12887 24694 12939
+rect 24746 12927 24752 12939
+rect 28432 12927 28438 12939
+rect 24746 12899 28438 12927
+rect 24746 12887 24752 12899
+rect 28432 12887 28438 12899
+rect 28490 12887 28496 12939
+rect 47152 12887 47158 12939
+rect 47210 12927 47216 12939
+rect 48208 12927 48214 12939
+rect 47210 12899 48214 12927
+rect 47210 12887 47216 12899
+rect 48208 12887 48214 12899
+rect 48266 12887 48272 12939
+rect 16336 12813 16342 12865
+rect 16394 12853 16400 12865
+rect 18160 12853 18166 12865
+rect 16394 12825 18166 12853
+rect 16394 12813 16400 12825
+rect 18160 12813 18166 12825
+rect 18218 12813 18224 12865
+rect 9904 12779 9910 12791
+rect 9793 12751 9910 12779
+rect 9904 12739 9910 12751
+rect 9962 12739 9968 12791
+rect 1152 12680 58848 12702
+rect 1152 12628 19654 12680
+rect 19706 12628 19718 12680
+rect 19770 12628 19782 12680
+rect 19834 12628 19846 12680
+rect 19898 12628 50374 12680
+rect 50426 12628 50438 12680
+rect 50490 12628 50502 12680
+rect 50554 12628 50566 12680
+rect 50618 12628 58848 12680
+rect 1152 12606 58848 12628
+rect 43699 12560 43757 12566
+rect 43699 12526 43711 12560
+rect 43745 12557 43757 12560
+rect 43987 12560 44045 12566
+rect 43987 12557 43999 12560
+rect 43745 12529 43999 12557
+rect 43745 12526 43757 12529
+rect 43699 12520 43757 12526
+rect 43987 12526 43999 12529
+rect 44033 12557 44045 12560
+rect 46864 12557 46870 12569
+rect 44033 12529 46870 12557
+rect 44033 12526 44045 12529
+rect 43987 12520 44045 12526
+rect 46864 12517 46870 12529
+rect 46922 12517 46928 12569
+rect 9808 12369 9814 12421
+rect 9866 12409 9872 12421
+rect 18256 12409 18262 12421
+rect 9866 12381 18262 12409
+rect 9866 12369 9872 12381
+rect 18256 12369 18262 12381
+rect 18314 12369 18320 12421
+rect 34768 12369 34774 12421
+rect 34826 12409 34832 12421
+rect 41491 12412 41549 12418
+rect 41491 12409 41503 12412
+rect 34826 12381 41503 12409
+rect 34826 12369 34832 12381
+rect 41491 12378 41503 12381
+rect 41537 12409 41549 12412
+rect 41683 12412 41741 12418
+rect 41683 12409 41695 12412
+rect 41537 12381 41695 12409
+rect 41537 12378 41549 12381
+rect 41491 12372 41549 12378
+rect 41683 12378 41695 12381
+rect 41729 12378 41741 12412
+rect 41683 12372 41741 12378
+rect 9712 12295 9718 12347
+rect 9770 12335 9776 12347
+rect 48016 12335 48022 12347
+rect 9770 12307 48022 12335
+rect 9770 12295 9776 12307
+rect 48016 12295 48022 12307
+rect 48074 12295 48080 12347
+rect 49744 12295 49750 12347
+rect 49802 12335 49808 12347
+rect 57715 12338 57773 12344
+rect 57715 12335 57727 12338
+rect 49802 12307 57727 12335
+rect 49802 12295 49808 12307
+rect 57715 12304 57727 12307
+rect 57761 12304 57773 12338
+rect 57715 12298 57773 12304
+rect 12400 12221 12406 12273
+rect 12458 12261 12464 12273
+rect 13072 12261 13078 12273
+rect 12458 12233 13078 12261
+rect 12458 12221 12464 12233
+rect 13072 12221 13078 12233
+rect 13130 12221 13136 12273
+rect 13648 12221 13654 12273
+rect 13706 12261 13712 12273
+rect 22384 12261 22390 12273
+rect 13706 12233 22390 12261
+rect 13706 12221 13712 12233
+rect 22384 12221 22390 12233
+rect 22442 12221 22448 12273
+rect 27952 12221 27958 12273
+rect 28010 12261 28016 12273
+rect 35440 12261 35446 12273
+rect 28010 12233 35446 12261
+rect 28010 12221 28016 12233
+rect 35440 12221 35446 12233
+rect 35498 12221 35504 12273
+rect 43888 12261 43894 12273
+rect 36610 12233 43894 12261
+rect 8080 12147 8086 12199
+rect 8138 12187 8144 12199
+rect 27088 12187 27094 12199
+rect 8138 12159 27094 12187
+rect 8138 12147 8144 12159
+rect 27088 12147 27094 12159
+rect 27146 12147 27152 12199
+rect 29008 12147 29014 12199
+rect 29066 12187 29072 12199
+rect 33808 12187 33814 12199
+rect 29066 12159 33814 12187
+rect 29066 12147 29072 12159
+rect 33808 12147 33814 12159
+rect 33866 12147 33872 12199
+rect 36610 12196 36638 12233
+rect 43888 12221 43894 12233
+rect 43946 12221 43952 12273
+rect 56272 12221 56278 12273
+rect 56330 12261 56336 12273
+rect 57619 12264 57677 12270
+rect 57619 12261 57631 12264
+rect 56330 12233 57631 12261
+rect 56330 12221 56336 12233
+rect 57619 12230 57631 12233
+rect 57665 12230 57677 12264
+rect 57619 12224 57677 12230
+rect 36307 12190 36365 12196
+rect 36307 12156 36319 12190
+rect 36353 12187 36365 12190
+rect 36595 12190 36653 12196
+rect 36595 12187 36607 12190
+rect 36353 12159 36607 12187
+rect 36353 12156 36365 12159
+rect 36307 12150 36365 12156
+rect 36595 12156 36607 12159
+rect 36641 12156 36653 12190
+rect 48883 12190 48941 12196
+rect 48883 12187 48895 12190
+rect 36595 12150 36653 12156
+rect 37426 12159 48895 12187
+rect 17296 12073 17302 12125
+rect 17354 12113 17360 12125
+rect 37426 12113 37454 12159
+rect 48883 12156 48895 12159
+rect 48929 12156 48941 12190
+rect 48883 12150 48941 12156
+rect 38704 12113 38710 12125
+rect 17354 12085 37454 12113
+rect 38665 12085 38710 12113
+rect 17354 12073 17360 12085
+rect 38704 12073 38710 12085
+rect 38762 12073 38768 12125
+rect 49555 12116 49613 12122
+rect 49555 12082 49567 12116
+rect 49601 12113 49613 12116
+rect 51664 12113 51670 12125
+rect 49601 12085 51670 12113
+rect 49601 12082 49613 12085
+rect 49555 12076 49613 12082
+rect 51664 12073 51670 12085
+rect 51722 12073 51728 12125
+rect 54448 12073 54454 12125
+rect 54506 12113 54512 12125
+rect 54547 12116 54605 12122
+rect 54547 12113 54559 12116
+rect 54506 12085 54559 12113
+rect 54506 12073 54512 12085
+rect 54547 12082 54559 12085
+rect 54593 12082 54605 12116
+rect 54547 12076 54605 12082
+rect 1152 12014 58848 12036
+rect 1152 11962 4294 12014
+rect 4346 11962 4358 12014
+rect 4410 11962 4422 12014
+rect 4474 11962 4486 12014
+rect 4538 11962 35014 12014
+rect 35066 11962 35078 12014
+rect 35130 11962 35142 12014
+rect 35194 11962 35206 12014
+rect 35258 11962 58848 12014
+rect 1152 11940 58848 11962
+rect 7603 11894 7661 11900
+rect 7603 11860 7615 11894
+rect 7649 11891 7661 11894
+rect 8368 11891 8374 11903
+rect 7649 11863 7982 11891
+rect 7649 11860 7661 11863
+rect 7603 11854 7661 11860
+rect 7954 11817 7982 11863
+rect 8290 11863 8374 11891
+rect 8290 11817 8318 11863
+rect 8368 11851 8374 11863
+rect 8426 11851 8432 11903
+rect 8752 11851 8758 11903
+rect 8810 11891 8816 11903
+rect 29296 11891 29302 11903
+rect 8810 11863 29302 11891
+rect 8810 11851 8816 11863
+rect 29296 11851 29302 11863
+rect 29354 11851 29360 11903
+rect 7954 11789 8318 11817
+rect 8560 11777 8566 11829
+rect 8618 11777 8624 11829
+rect 9424 11777 9430 11829
+rect 9482 11817 9488 11829
+rect 14512 11817 14518 11829
+rect 9482 11789 14518 11817
+rect 9482 11777 9488 11789
+rect 14512 11777 14518 11789
+rect 14570 11777 14576 11829
+rect 58192 11817 58198 11829
+rect 57586 11789 58198 11817
+rect 8080 11743 8086 11755
+rect 7968 11715 8086 11743
+rect 8080 11703 8086 11715
+rect 8138 11703 8144 11755
+rect 10960 11703 10966 11755
+rect 11018 11743 11024 11755
+rect 56563 11746 56621 11752
+rect 11018 11715 17294 11743
+rect 11018 11703 11024 11715
+rect 12304 11629 12310 11681
+rect 12362 11669 12368 11681
+rect 17008 11669 17014 11681
+rect 12362 11641 17014 11669
+rect 12362 11629 12368 11641
+rect 17008 11629 17014 11641
+rect 17066 11629 17072 11681
+rect 10192 11555 10198 11607
+rect 10250 11595 10256 11607
+rect 12208 11595 12214 11607
+rect 10250 11567 12214 11595
+rect 10250 11555 10256 11567
+rect 12208 11555 12214 11567
+rect 12266 11555 12272 11607
+rect 12880 11555 12886 11607
+rect 12938 11595 12944 11607
+rect 13744 11595 13750 11607
+rect 12938 11567 13750 11595
+rect 12938 11555 12944 11567
+rect 13744 11555 13750 11567
+rect 13802 11555 13808 11607
+rect 17266 11595 17294 11715
+rect 56563 11712 56575 11746
+rect 56609 11743 56621 11746
+rect 57586 11743 57614 11789
+rect 58192 11777 58198 11789
+rect 58250 11777 58256 11829
+rect 56609 11715 57614 11743
+rect 56609 11712 56621 11715
+rect 56563 11706 56621 11712
+rect 20272 11629 20278 11681
+rect 20330 11669 20336 11681
+rect 56947 11672 57005 11678
+rect 56947 11669 56959 11672
+rect 20330 11641 56959 11669
+rect 20330 11629 20336 11641
+rect 56947 11638 56959 11641
+rect 56993 11669 57005 11672
+rect 57235 11672 57293 11678
+rect 57235 11669 57247 11672
+rect 56993 11641 57247 11669
+rect 56993 11638 57005 11641
+rect 56947 11632 57005 11638
+rect 57235 11638 57247 11641
+rect 57281 11638 57293 11672
+rect 57235 11632 57293 11638
+rect 56179 11598 56237 11604
+rect 56179 11595 56191 11598
+rect 17266 11567 56191 11595
+rect 56179 11564 56191 11567
+rect 56225 11595 56237 11598
+rect 56467 11598 56525 11604
+rect 56467 11595 56479 11598
+rect 56225 11567 56479 11595
+rect 56225 11564 56237 11567
+rect 56179 11558 56237 11564
+rect 56467 11564 56479 11567
+rect 56513 11564 56525 11598
+rect 56467 11558 56525 11564
+rect 17680 11481 17686 11533
+rect 17738 11521 17744 11533
+rect 19408 11521 19414 11533
+rect 17738 11493 19414 11521
+rect 17738 11481 17744 11493
+rect 19408 11481 19414 11493
+rect 19466 11481 19472 11533
+rect 24208 11481 24214 11533
+rect 24266 11521 24272 11533
+rect 28912 11521 28918 11533
+rect 24266 11493 28918 11521
+rect 24266 11481 24272 11493
+rect 28912 11481 28918 11493
+rect 28970 11481 28976 11533
+rect 57136 11407 57142 11459
+rect 57194 11447 57200 11459
+rect 57331 11450 57389 11456
+rect 57331 11447 57343 11450
+rect 57194 11419 57343 11447
+rect 57194 11407 57200 11419
+rect 57331 11416 57343 11419
+rect 57377 11416 57389 11450
+rect 57331 11410 57389 11416
+rect 1152 11348 58848 11370
+rect 1152 11296 19654 11348
+rect 19706 11296 19718 11348
+rect 19770 11296 19782 11348
+rect 19834 11296 19846 11348
+rect 19898 11296 50374 11348
+rect 50426 11296 50438 11348
+rect 50490 11296 50502 11348
+rect 50554 11296 50566 11348
+rect 50618 11296 58848 11348
+rect 1152 11274 58848 11296
+rect 6064 11111 6070 11163
+rect 6122 11151 6128 11163
+rect 23155 11154 23213 11160
+rect 23155 11151 23167 11154
+rect 6122 11123 23167 11151
+rect 6122 11111 6128 11123
+rect 23155 11120 23167 11123
+rect 23201 11120 23213 11154
+rect 23155 11114 23213 11120
+rect 2704 11037 2710 11089
+rect 2762 11077 2768 11089
+rect 54736 11077 54742 11089
+rect 2762 11049 54742 11077
+rect 2762 11037 2768 11049
+rect 54736 11037 54742 11049
+rect 54794 11037 54800 11089
+rect 55795 11080 55853 11086
+rect 55795 11046 55807 11080
+rect 55841 11077 55853 11080
+rect 55984 11077 55990 11089
+rect 55841 11049 55990 11077
+rect 55841 11046 55853 11049
+rect 55795 11040 55853 11046
+rect 55984 11037 55990 11049
+rect 56042 11037 56048 11089
+rect 23059 11006 23117 11012
+rect 23059 10972 23071 11006
+rect 23105 11003 23117 11006
+rect 23155 11006 23213 11012
+rect 23155 11003 23167 11006
+rect 23105 10975 23167 11003
+rect 23105 10972 23117 10975
+rect 23059 10966 23117 10972
+rect 23155 10972 23167 10975
+rect 23201 10972 23213 11006
+rect 23155 10966 23213 10972
+rect 54064 10963 54070 11015
+rect 54122 11003 54128 11015
+rect 57331 11006 57389 11012
+rect 57331 11003 57343 11006
+rect 54122 10975 57343 11003
+rect 54122 10963 54128 10975
+rect 57331 10972 57343 10975
+rect 57377 10972 57389 11006
+rect 57331 10966 57389 10972
+rect 7888 10889 7894 10941
+rect 7946 10929 7952 10941
+rect 11056 10929 11062 10941
+rect 7946 10901 11062 10929
+rect 7946 10889 7952 10901
+rect 11056 10889 11062 10901
+rect 11114 10889 11120 10941
+rect 56083 10932 56141 10938
+rect 56083 10898 56095 10932
+rect 56129 10898 56141 10932
+rect 56083 10892 56141 10898
+rect 8080 10815 8086 10867
+rect 8138 10855 8144 10867
+rect 22288 10855 22294 10867
+rect 8138 10827 22294 10855
+rect 8138 10815 8144 10827
+rect 22288 10815 22294 10827
+rect 22346 10815 22352 10867
+rect 56098 10855 56126 10892
+rect 56752 10889 56758 10941
+rect 56810 10929 56816 10941
+rect 57235 10932 57293 10938
+rect 57235 10929 57247 10932
+rect 56810 10901 57247 10929
+rect 56810 10889 56816 10901
+rect 57235 10898 57247 10901
+rect 57281 10898 57293 10932
+rect 57235 10892 57293 10898
+rect 58288 10855 58294 10867
+rect 56098 10827 58294 10855
+rect 58288 10815 58294 10827
+rect 58346 10815 58352 10867
+rect 7600 10741 7606 10793
+rect 7658 10781 7664 10793
+rect 8272 10781 8278 10793
+rect 7658 10753 8278 10781
+rect 7658 10741 7664 10753
+rect 8272 10741 8278 10753
+rect 8330 10741 8336 10793
+rect 9616 10741 9622 10793
+rect 9674 10781 9680 10793
+rect 26512 10781 26518 10793
+rect 9674 10753 26518 10781
+rect 9674 10741 9680 10753
+rect 26512 10741 26518 10753
+rect 26570 10741 26576 10793
+rect 1152 10682 58848 10704
+rect 1152 10630 4294 10682
+rect 4346 10630 4358 10682
+rect 4410 10630 4422 10682
+rect 4474 10630 4486 10682
+rect 4538 10630 35014 10682
+rect 35066 10630 35078 10682
+rect 35130 10630 35142 10682
+rect 35194 10630 35206 10682
+rect 35258 10630 58848 10682
+rect 1152 10608 58848 10630
+rect 7603 10562 7661 10568
+rect 7603 10528 7615 10562
+rect 7649 10559 7661 10562
+rect 7649 10531 7982 10559
+rect 7649 10528 7661 10531
+rect 7603 10522 7661 10528
+rect 7954 10485 7982 10531
+rect 8272 10519 8278 10571
+rect 8330 10559 8336 10571
+rect 15760 10559 15766 10571
+rect 8330 10531 15766 10559
+rect 8330 10519 8336 10531
+rect 15760 10519 15766 10531
+rect 15818 10519 15824 10571
+rect 54736 10559 54742 10571
+rect 54697 10531 54742 10559
+rect 54736 10519 54742 10531
+rect 54794 10559 54800 10571
+rect 54794 10531 55070 10559
+rect 54794 10519 54800 10531
+rect 9616 10485 9622 10497
+rect 7954 10457 9622 10485
+rect 9616 10445 9622 10457
+rect 9674 10445 9680 10497
+rect 14032 10445 14038 10497
+rect 14090 10485 14096 10497
+rect 53107 10488 53165 10494
+rect 53107 10485 53119 10488
+rect 14090 10457 53119 10485
+rect 14090 10445 14096 10457
+rect 53107 10454 53119 10457
+rect 53153 10485 53165 10488
+rect 53153 10457 53342 10485
+rect 53153 10454 53165 10457
+rect 53107 10448 53165 10454
+rect 30064 10371 30070 10423
+rect 30122 10411 30128 10423
+rect 53314 10420 53342 10457
+rect 55042 10420 55070 10531
+rect 55120 10445 55126 10497
+rect 55178 10485 55184 10497
+rect 57232 10485 57238 10497
+rect 55178 10457 57238 10485
+rect 55178 10445 55184 10457
+rect 57232 10445 57238 10457
+rect 57290 10445 57296 10497
+rect 53011 10414 53069 10420
+rect 53011 10411 53023 10414
+rect 30122 10383 53023 10411
+rect 30122 10371 30128 10383
+rect 53011 10380 53023 10383
+rect 53057 10380 53069 10414
+rect 53011 10374 53069 10380
+rect 53299 10414 53357 10420
+rect 53299 10380 53311 10414
+rect 53345 10380 53357 10414
+rect 53299 10374 53357 10380
+rect 55027 10414 55085 10420
+rect 55027 10380 55039 10414
+rect 55073 10411 55085 10414
+rect 55315 10414 55373 10420
+rect 55315 10411 55327 10414
+rect 55073 10383 55327 10411
+rect 55073 10380 55085 10383
+rect 55027 10374 55085 10380
+rect 55315 10380 55327 10383
+rect 55361 10380 55373 10414
+rect 55315 10374 55373 10380
+rect 56080 10371 56086 10423
+rect 56138 10411 56144 10423
+rect 56659 10414 56717 10420
+rect 56659 10411 56671 10414
+rect 56138 10383 56671 10411
+rect 56138 10371 56144 10383
+rect 56659 10380 56671 10383
+rect 56705 10380 56717 10414
+rect 56659 10374 56717 10380
+rect 29488 10297 29494 10349
+rect 29546 10337 29552 10349
+rect 55891 10340 55949 10346
+rect 55891 10337 55903 10340
+rect 29546 10309 55903 10337
+rect 29546 10297 29552 10309
+rect 55891 10306 55903 10309
+rect 55937 10306 55949 10340
+rect 55891 10300 55949 10306
+rect 55984 10297 55990 10349
+rect 56042 10337 56048 10349
+rect 57427 10340 57485 10346
+rect 57427 10337 57439 10340
+rect 56042 10309 57439 10337
+rect 56042 10297 56048 10309
+rect 57427 10306 57439 10309
+rect 57473 10306 57485 10340
+rect 57427 10300 57485 10306
+rect 24595 10266 24653 10272
+rect 24595 10232 24607 10266
+rect 24641 10263 24653 10266
+rect 26608 10263 26614 10275
+rect 24641 10235 26614 10263
+rect 24641 10232 24653 10235
+rect 24595 10226 24653 10232
+rect 26608 10223 26614 10235
+rect 26666 10223 26672 10275
+rect 28243 10266 28301 10272
+rect 28243 10232 28255 10266
+rect 28289 10263 28301 10266
+rect 38608 10263 38614 10275
+rect 28289 10235 38614 10263
+rect 28289 10232 28301 10235
+rect 28243 10226 28301 10232
+rect 38608 10223 38614 10235
+rect 38666 10223 38672 10275
+rect 53011 10266 53069 10272
+rect 53011 10232 53023 10266
+rect 53057 10263 53069 10266
+rect 56275 10266 56333 10272
+rect 56275 10263 56287 10266
+rect 53057 10235 56287 10263
+rect 53057 10232 53069 10235
+rect 53011 10226 53069 10232
+rect 56275 10232 56287 10235
+rect 56321 10263 56333 10266
+rect 56563 10266 56621 10272
+rect 56563 10263 56575 10266
+rect 56321 10235 56575 10263
+rect 56321 10232 56333 10235
+rect 56275 10226 56333 10232
+rect 56563 10232 56575 10235
+rect 56609 10232 56621 10266
+rect 56563 10226 56621 10232
+rect 8080 10189 8086 10201
+rect 7968 10161 8086 10189
+rect 8080 10149 8086 10161
+rect 8138 10149 8144 10201
+rect 9427 10192 9485 10198
+rect 9427 10158 9439 10192
+rect 9473 10189 9485 10192
+rect 9715 10192 9773 10198
+rect 9715 10189 9727 10192
+rect 9473 10161 9727 10189
+rect 9473 10158 9485 10161
+rect 9427 10152 9485 10158
+rect 9715 10158 9727 10161
+rect 9761 10189 9773 10192
+rect 55024 10189 55030 10201
+rect 9761 10161 55030 10189
+rect 9761 10158 9773 10161
+rect 9715 10152 9773 10158
+rect 55024 10149 55030 10161
+rect 55082 10149 55088 10201
+rect 55138 10161 57614 10189
+rect 55138 10124 55166 10161
+rect 55123 10118 55181 10124
+rect 55123 10084 55135 10118
+rect 55169 10084 55181 10118
+rect 55123 10078 55181 10084
+rect 55696 10075 55702 10127
+rect 55754 10115 55760 10127
+rect 55795 10118 55853 10124
+rect 55795 10115 55807 10118
+rect 55754 10087 55807 10115
+rect 55754 10075 55760 10087
+rect 55795 10084 55807 10087
+rect 55841 10084 55853 10118
+rect 55795 10078 55853 10084
+rect 56464 10075 56470 10127
+rect 56522 10115 56528 10127
+rect 57331 10118 57389 10124
+rect 57331 10115 57343 10118
+rect 56522 10087 57343 10115
+rect 56522 10075 56528 10087
+rect 57331 10084 57343 10087
+rect 57377 10084 57389 10118
+rect 57586 10115 57614 10161
+rect 58576 10115 58582 10127
+rect 57586 10087 58582 10115
+rect 57331 10078 57389 10084
+rect 58576 10075 58582 10087
+rect 58634 10075 58640 10127
+rect 1152 10016 58848 10038
+rect 1152 9964 19654 10016
+rect 19706 9964 19718 10016
+rect 19770 9964 19782 10016
+rect 19834 9964 19846 10016
+rect 19898 9964 50374 10016
+rect 50426 9964 50438 10016
+rect 50490 9964 50502 10016
+rect 50554 9964 50566 10016
+rect 50618 9964 58848 10016
+rect 1152 9942 58848 9964
+rect 4720 9853 4726 9905
+rect 4778 9893 4784 9905
+rect 13744 9893 13750 9905
+rect 4778 9865 13750 9893
+rect 4778 9853 4784 9865
+rect 13744 9853 13750 9865
+rect 13802 9853 13808 9905
+rect 13936 9853 13942 9905
+rect 13994 9893 14000 9905
+rect 23152 9893 23158 9905
+rect 13994 9865 23158 9893
+rect 13994 9853 14000 9865
+rect 23152 9853 23158 9865
+rect 23210 9853 23216 9905
+rect 28240 9853 28246 9905
+rect 28298 9893 28304 9905
+rect 31120 9893 31126 9905
+rect 28298 9865 31126 9893
+rect 28298 9853 28304 9865
+rect 31120 9853 31126 9865
+rect 31178 9853 31184 9905
+rect 28336 9819 28342 9831
+rect 17266 9791 28342 9819
+rect 5296 9705 5302 9757
+rect 5354 9745 5360 9757
+rect 5354 9717 12974 9745
+rect 5354 9705 5360 9717
+rect 7792 9631 7798 9683
+rect 7850 9671 7856 9683
+rect 10864 9671 10870 9683
+rect 7850 9643 10870 9671
+rect 7850 9631 7856 9643
+rect 10864 9631 10870 9643
+rect 10922 9631 10928 9683
+rect 12946 9671 12974 9717
+rect 17266 9671 17294 9791
+rect 28336 9779 28342 9791
+rect 28394 9779 28400 9831
+rect 24592 9705 24598 9757
+rect 24650 9745 24656 9757
+rect 27376 9745 27382 9757
+rect 24650 9717 27382 9745
+rect 24650 9705 24656 9717
+rect 27376 9705 27382 9717
+rect 27434 9705 27440 9757
+rect 30928 9745 30934 9757
+rect 27490 9717 30934 9745
+rect 12946 9643 17294 9671
+rect 20944 9631 20950 9683
+rect 21002 9671 21008 9683
+rect 27490 9671 27518 9717
+rect 30928 9705 30934 9717
+rect 30986 9705 30992 9757
+rect 55120 9705 55126 9757
+rect 55178 9745 55184 9757
+rect 55219 9748 55277 9754
+rect 55219 9745 55231 9748
+rect 55178 9717 55231 9745
+rect 55178 9705 55184 9717
+rect 55219 9714 55231 9717
+rect 55265 9714 55277 9748
+rect 55219 9708 55277 9714
+rect 55699 9748 55757 9754
+rect 55699 9714 55711 9748
+rect 55745 9745 55757 9748
+rect 55888 9745 55894 9757
+rect 55745 9717 55894 9745
+rect 55745 9714 55757 9717
+rect 55699 9708 55757 9714
+rect 55888 9705 55894 9717
+rect 55946 9745 55952 9757
+rect 56179 9748 56237 9754
+rect 56179 9745 56191 9748
+rect 55946 9717 56191 9745
+rect 55946 9705 55952 9717
+rect 56179 9714 56191 9717
+rect 56225 9714 56237 9748
+rect 56179 9708 56237 9714
+rect 38323 9674 38381 9680
+rect 38323 9671 38335 9674
+rect 21002 9643 27518 9671
+rect 27586 9643 38335 9671
+rect 21002 9631 21008 9643
+rect 8080 9557 8086 9609
+rect 8138 9597 8144 9609
+rect 17872 9597 17878 9609
+rect 8138 9569 17878 9597
+rect 8138 9557 8144 9569
+rect 17872 9557 17878 9569
+rect 17930 9557 17936 9609
+rect 7984 9483 7990 9535
+rect 8042 9523 8048 9535
+rect 9520 9523 9526 9535
+rect 8042 9495 9526 9523
+rect 8042 9483 8048 9495
+rect 9520 9483 9526 9495
+rect 9578 9483 9584 9535
+rect 11152 9483 11158 9535
+rect 11210 9523 11216 9535
+rect 27586 9523 27614 9643
+rect 38323 9640 38335 9643
+rect 38369 9671 38381 9674
+rect 38515 9674 38573 9680
+rect 38515 9671 38527 9674
+rect 38369 9643 38527 9671
+rect 38369 9640 38381 9643
+rect 38323 9634 38381 9640
+rect 38515 9640 38527 9643
+rect 38561 9640 38573 9674
+rect 38515 9634 38573 9640
+rect 57616 9631 57622 9683
+rect 57674 9671 57680 9683
+rect 57674 9643 57719 9671
+rect 57674 9631 57680 9643
+rect 30160 9557 30166 9609
+rect 30218 9597 30224 9609
+rect 51088 9597 51094 9609
+rect 30218 9569 51094 9597
+rect 30218 9557 30224 9569
+rect 51088 9557 51094 9569
+rect 51146 9557 51152 9609
+rect 54355 9600 54413 9606
+rect 54355 9597 54367 9600
+rect 54082 9569 54367 9597
+rect 54082 9532 54110 9569
+rect 54355 9566 54367 9569
+rect 54401 9566 54413 9600
+rect 54355 9560 54413 9566
+rect 54451 9600 54509 9606
+rect 54451 9566 54463 9600
+rect 54497 9566 54509 9600
+rect 54451 9560 54509 9566
+rect 54067 9526 54125 9532
+rect 54067 9523 54079 9526
+rect 11210 9495 27614 9523
+rect 27682 9495 54079 9523
+rect 11210 9483 11216 9495
+rect 3184 9409 3190 9461
+rect 3242 9449 3248 9461
+rect 12400 9449 12406 9461
+rect 3242 9421 12406 9449
+rect 3242 9409 3248 9421
+rect 12400 9409 12406 9421
+rect 12458 9409 12464 9461
+rect 12496 9409 12502 9461
+rect 12554 9449 12560 9461
+rect 27682 9449 27710 9495
+rect 54067 9492 54079 9495
+rect 54113 9492 54125 9526
+rect 54067 9486 54125 9492
+rect 54256 9483 54262 9535
+rect 54314 9523 54320 9535
+rect 54466 9523 54494 9560
+rect 54928 9557 54934 9609
+rect 54986 9597 54992 9609
+rect 55123 9600 55181 9606
+rect 55123 9597 55135 9600
+rect 54986 9569 55135 9597
+rect 54986 9557 54992 9569
+rect 55123 9566 55135 9569
+rect 55169 9566 55181 9600
+rect 55123 9560 55181 9566
+rect 55987 9600 56045 9606
+rect 55987 9566 55999 9600
+rect 56033 9566 56045 9600
+rect 55987 9560 56045 9566
+rect 54314 9495 54494 9523
+rect 54314 9483 54320 9495
+rect 55312 9483 55318 9535
+rect 55370 9523 55376 9535
+rect 56002 9523 56030 9560
+rect 55370 9495 56030 9523
+rect 55370 9483 55376 9495
+rect 30928 9449 30934 9461
+rect 12554 9421 27710 9449
+rect 30889 9421 30934 9449
+rect 12554 9409 12560 9421
+rect 30928 9409 30934 9421
+rect 30986 9409 30992 9461
+rect 1152 9350 58848 9372
+rect 1152 9298 4294 9350
+rect 4346 9298 4358 9350
+rect 4410 9298 4422 9350
+rect 4474 9298 4486 9350
+rect 4538 9298 35014 9350
+rect 35066 9298 35078 9350
+rect 35130 9298 35142 9350
+rect 35194 9298 35206 9350
+rect 35258 9298 58848 9350
+rect 1152 9276 58848 9298
+rect 3184 9227 3190 9239
+rect 3145 9199 3190 9227
+rect 3184 9187 3190 9199
+rect 3242 9187 3248 9239
+rect 13744 9187 13750 9239
+rect 13802 9227 13808 9239
+rect 13843 9230 13901 9236
+rect 13843 9227 13855 9230
+rect 13802 9199 13855 9227
+rect 13802 9187 13808 9199
+rect 13843 9196 13855 9199
+rect 13889 9227 13901 9230
+rect 14035 9230 14093 9236
+rect 14035 9227 14047 9230
+rect 13889 9199 14047 9227
+rect 13889 9196 13901 9199
+rect 13843 9190 13901 9196
+rect 14035 9196 14047 9199
+rect 14081 9196 14093 9230
+rect 14035 9190 14093 9196
+rect 20368 9187 20374 9239
+rect 20426 9227 20432 9239
+rect 23728 9227 23734 9239
+rect 20426 9199 23734 9227
+rect 20426 9187 20432 9199
+rect 23728 9187 23734 9199
+rect 23786 9187 23792 9239
+rect 27376 9187 27382 9239
+rect 27434 9227 27440 9239
+rect 32368 9227 32374 9239
+rect 27434 9199 32374 9227
+rect 27434 9187 27440 9199
+rect 32368 9187 32374 9199
+rect 32426 9187 32432 9239
+rect 53107 9230 53165 9236
+rect 53107 9196 53119 9230
+rect 53153 9227 53165 9230
+rect 53200 9227 53206 9239
+rect 53153 9199 53206 9227
+rect 53153 9196 53165 9199
+rect 53107 9190 53165 9196
+rect 53200 9187 53206 9199
+rect 53258 9187 53264 9239
+rect 55123 9230 55181 9236
+rect 55123 9196 55135 9230
+rect 55169 9227 55181 9230
+rect 55600 9227 55606 9239
+rect 55169 9199 55606 9227
+rect 55169 9196 55181 9199
+rect 55123 9190 55181 9196
+rect 9232 9113 9238 9165
+rect 9290 9153 9296 9165
+rect 13936 9153 13942 9165
+rect 9290 9125 13942 9153
+rect 9290 9113 9296 9125
+rect 13936 9113 13942 9125
+rect 13994 9113 14000 9165
+rect 7968 9051 8126 9079
+rect 8832 9051 11390 9079
+rect 8098 9017 8126 9051
+rect 8080 8965 8086 9017
+rect 8138 8965 8144 9017
+rect 11362 9005 11390 9051
+rect 12400 9039 12406 9091
+rect 12458 9079 12464 9091
+rect 47536 9079 47542 9091
+rect 12458 9051 47542 9079
+rect 12458 9039 12464 9051
+rect 47536 9039 47542 9051
+rect 47594 9039 47600 9091
+rect 53218 9079 53246 9187
+rect 53299 9082 53357 9088
+rect 53299 9079 53311 9082
+rect 53218 9051 53311 9079
+rect 53299 9048 53311 9051
+rect 53345 9048 53357 9082
+rect 53299 9042 53357 9048
+rect 54544 9039 54550 9091
+rect 54602 9079 54608 9091
+rect 55411 9082 55469 9088
+rect 55411 9079 55423 9082
+rect 54602 9051 55423 9079
+rect 54602 9039 54608 9051
+rect 55411 9048 55423 9051
+rect 55457 9048 55469 9082
+rect 55411 9042 55469 9048
+rect 20848 9005 20854 9017
+rect 11362 8977 20854 9005
+rect 20848 8965 20854 8977
+rect 20906 8965 20912 9017
+rect 30256 8965 30262 9017
+rect 30314 9005 30320 9017
+rect 54643 9008 54701 9014
+rect 54643 9005 54655 9008
+rect 30314 8977 54655 9005
+rect 30314 8965 30320 8977
+rect 54643 8974 54655 8977
+rect 54689 8974 54701 9008
+rect 54643 8968 54701 8974
+rect 55315 9008 55373 9014
+rect 55315 8974 55327 9008
+rect 55361 9005 55373 9008
+rect 55522 9005 55550 9199
+rect 55600 9187 55606 9199
+rect 55658 9187 55664 9239
+rect 55361 8977 55550 9005
+rect 56563 9008 56621 9014
+rect 55361 8974 55373 8977
+rect 55315 8968 55373 8974
+rect 56563 8974 56575 9008
+rect 56609 8974 56621 9008
+rect 57232 9005 57238 9017
+rect 57193 8977 57238 9005
+rect 56563 8968 56621 8974
+rect 8518 8943 8570 8949
+rect 8368 8891 8374 8943
+rect 8426 8891 8432 8943
+rect 8944 8891 8950 8943
+rect 9002 8931 9008 8943
+rect 11152 8931 11158 8943
+rect 9002 8903 10814 8931
+rect 11113 8903 11158 8931
+rect 9002 8891 9008 8903
+rect 7603 8860 7661 8866
+rect 7603 8826 7615 8860
+rect 7649 8857 7661 8860
+rect 7696 8857 7702 8869
+rect 7649 8829 7702 8857
+rect 7649 8826 7661 8829
+rect 7603 8820 7661 8826
+rect 7696 8817 7702 8829
+rect 7754 8817 7760 8869
+rect 8386 8857 8414 8891
+rect 8518 8885 8570 8891
+rect 8256 8829 8414 8857
+rect 10786 8857 10814 8903
+rect 11152 8891 11158 8903
+rect 11210 8891 11216 8943
+rect 16144 8891 16150 8943
+rect 16202 8931 16208 8943
+rect 21139 8934 21197 8940
+rect 21139 8931 21151 8934
+rect 16202 8903 21151 8931
+rect 16202 8891 16208 8903
+rect 21139 8900 21151 8903
+rect 21185 8900 21197 8934
+rect 56578 8931 56606 8968
+rect 57232 8965 57238 8977
+rect 57290 8965 57296 9017
+rect 57328 8931 57334 8943
+rect 56578 8903 57334 8931
+rect 21139 8894 21197 8900
+rect 57328 8891 57334 8903
+rect 57386 8891 57392 8943
+rect 19312 8857 19318 8869
+rect 10786 8829 19318 8857
+rect 19312 8817 19318 8829
+rect 19370 8817 19376 8869
+rect 55216 8857 55222 8869
+rect 53410 8829 55222 8857
+rect 8272 8743 8278 8795
+rect 8330 8743 8336 8795
+rect 9040 8743 9046 8795
+rect 9098 8783 9104 8795
+rect 16048 8783 16054 8795
+rect 9098 8755 16054 8783
+rect 9098 8743 9104 8755
+rect 16048 8743 16054 8755
+rect 16106 8743 16112 8795
+rect 30160 8743 30166 8795
+rect 30218 8783 30224 8795
+rect 32464 8783 32470 8795
+rect 30218 8755 32470 8783
+rect 30218 8743 30224 8755
+rect 32464 8743 32470 8755
+rect 32522 8743 32528 8795
+rect 53410 8792 53438 8829
+rect 55216 8817 55222 8829
+rect 55274 8817 55280 8869
+rect 53395 8786 53453 8792
+rect 53395 8752 53407 8786
+rect 53441 8752 53453 8786
+rect 53395 8746 53453 8752
+rect 53872 8743 53878 8795
+rect 53930 8783 53936 8795
+rect 54547 8786 54605 8792
+rect 54547 8783 54559 8786
+rect 53930 8755 54559 8783
+rect 53930 8743 53936 8755
+rect 54547 8752 54559 8755
+rect 54593 8752 54605 8786
+rect 54547 8746 54605 8752
+rect 1152 8684 58848 8706
+rect 1152 8632 19654 8684
+rect 19706 8632 19718 8684
+rect 19770 8632 19782 8684
+rect 19834 8632 19846 8684
+rect 19898 8632 50374 8684
+rect 50426 8632 50438 8684
+rect 50490 8632 50502 8684
+rect 50554 8632 50566 8684
+rect 50618 8632 58848 8684
+rect 1152 8610 58848 8632
+rect 5968 8561 5974 8573
+rect 1762 8533 5974 8561
+rect 1762 8422 1790 8533
+rect 5968 8521 5974 8533
+rect 6026 8521 6032 8573
+rect 12784 8521 12790 8573
+rect 12842 8561 12848 8573
+rect 13555 8564 13613 8570
+rect 13555 8561 13567 8564
+rect 12842 8533 13567 8561
+rect 12842 8521 12848 8533
+rect 13555 8530 13567 8533
+rect 13601 8530 13613 8564
+rect 13555 8524 13613 8530
+rect 52531 8564 52589 8570
+rect 52531 8530 52543 8564
+rect 52577 8561 52589 8564
+rect 58960 8561 58966 8573
+rect 52577 8533 58966 8561
+rect 52577 8530 52589 8533
+rect 52531 8524 52589 8530
+rect 58960 8521 58966 8533
+rect 59018 8521 59024 8573
+rect 10768 8487 10774 8499
+rect 3298 8459 10774 8487
+rect 3298 8422 3326 8459
+rect 10768 8447 10774 8459
+rect 10826 8447 10832 8499
+rect 55984 8487 55990 8499
+rect 50530 8459 55990 8487
+rect 1747 8416 1805 8422
+rect 1747 8382 1759 8416
+rect 1793 8382 1805 8416
+rect 1747 8376 1805 8382
+rect 3283 8416 3341 8422
+rect 3283 8382 3295 8416
+rect 3329 8382 3341 8416
+rect 5296 8413 5302 8425
+rect 5257 8385 5302 8413
+rect 3283 8376 3341 8382
+rect 5296 8373 5302 8385
+rect 5354 8373 5360 8425
+rect 7888 8413 7894 8425
+rect 7849 8385 7894 8413
+rect 7888 8373 7894 8385
+rect 7946 8373 7952 8425
+rect 9523 8416 9581 8422
+rect 9523 8382 9535 8416
+rect 9569 8413 9581 8416
+rect 9808 8413 9814 8425
+rect 9569 8385 9814 8413
+rect 9569 8382 9581 8385
+rect 9523 8376 9581 8382
+rect 9808 8373 9814 8385
+rect 9866 8373 9872 8425
+rect 11059 8416 11117 8422
+rect 11059 8382 11071 8416
+rect 11105 8413 11117 8416
+rect 11344 8413 11350 8425
+rect 11105 8385 11350 8413
+rect 11105 8382 11117 8385
+rect 11059 8376 11117 8382
+rect 11344 8373 11350 8385
+rect 11402 8373 11408 8425
+rect 11827 8416 11885 8422
+rect 11827 8382 11839 8416
+rect 11873 8413 11885 8416
+rect 12016 8413 12022 8425
+rect 11873 8385 12022 8413
+rect 11873 8382 11885 8385
+rect 11827 8376 11885 8382
+rect 12016 8373 12022 8385
+rect 12074 8373 12080 8425
+rect 12595 8416 12653 8422
+rect 12595 8382 12607 8416
+rect 12641 8413 12653 8416
+rect 12880 8413 12886 8425
+rect 12641 8385 12886 8413
+rect 12641 8382 12653 8385
+rect 12595 8376 12653 8382
+rect 12880 8373 12886 8385
+rect 12938 8373 12944 8425
+rect 13456 8373 13462 8425
+rect 13514 8413 13520 8425
+rect 13651 8416 13709 8422
+rect 13651 8413 13663 8416
+rect 13514 8385 13663 8413
+rect 13514 8373 13520 8385
+rect 13651 8382 13663 8385
+rect 13697 8382 13709 8416
+rect 16240 8413 16246 8425
+rect 16201 8385 16246 8413
+rect 13651 8376 13709 8382
+rect 16240 8373 16246 8385
+rect 16298 8373 16304 8425
+rect 17008 8413 17014 8425
+rect 16969 8385 17014 8413
+rect 17008 8373 17014 8385
+rect 17066 8373 17072 8425
+rect 30928 8413 30934 8425
+rect 17266 8385 30934 8413
+rect 2227 8342 2285 8348
+rect 2227 8308 2239 8342
+rect 2273 8339 2285 8342
+rect 2515 8342 2573 8348
+rect 2515 8339 2527 8342
+rect 2273 8311 2527 8339
+rect 2273 8308 2285 8311
+rect 2227 8302 2285 8308
+rect 2515 8308 2527 8311
+rect 2561 8339 2573 8342
+rect 3856 8339 3862 8351
+rect 2561 8311 3862 8339
+rect 2561 8308 2573 8311
+rect 2515 8302 2573 8308
+rect 3856 8299 3862 8311
+rect 3914 8299 3920 8351
+rect 4531 8342 4589 8348
+rect 4531 8308 4543 8342
+rect 4577 8339 4589 8342
+rect 17266 8339 17294 8385
+rect 30928 8373 30934 8385
+rect 30986 8373 30992 8425
+rect 47923 8416 47981 8422
+rect 47923 8382 47935 8416
+rect 47969 8413 47981 8416
+rect 48112 8413 48118 8425
+rect 47969 8385 48118 8413
+rect 47969 8382 47981 8385
+rect 47923 8376 47981 8382
+rect 48112 8373 48118 8385
+rect 48170 8373 48176 8425
+rect 48976 8413 48982 8425
+rect 48937 8385 48982 8413
+rect 48976 8373 48982 8385
+rect 49034 8373 49040 8425
+rect 49552 8373 49558 8425
+rect 49610 8413 49616 8425
+rect 50530 8422 50558 8459
+rect 55984 8447 55990 8459
+rect 56042 8447 56048 8499
+rect 49747 8416 49805 8422
+rect 49747 8413 49759 8416
+rect 49610 8385 49759 8413
+rect 49610 8373 49616 8385
+rect 49747 8382 49759 8385
+rect 49793 8382 49805 8416
+rect 49747 8376 49805 8382
+rect 50515 8416 50573 8422
+rect 50515 8382 50527 8416
+rect 50561 8382 50573 8416
+rect 50515 8376 50573 8382
+rect 52243 8416 52301 8422
+rect 52243 8382 52255 8416
+rect 52289 8413 52301 8416
+rect 52432 8413 52438 8425
+rect 52289 8385 52438 8413
+rect 52289 8382 52301 8385
+rect 52243 8376 52301 8382
+rect 52432 8373 52438 8385
+rect 52490 8373 52496 8425
+rect 53779 8416 53837 8422
+rect 53779 8382 53791 8416
+rect 53825 8413 53837 8416
+rect 53968 8413 53974 8425
+rect 53825 8385 53974 8413
+rect 53825 8382 53837 8385
+rect 53779 8376 53837 8382
+rect 53968 8373 53974 8385
+rect 54026 8373 54032 8425
+rect 4577 8311 17294 8339
+rect 4577 8308 4589 8311
+rect 4531 8302 4589 8308
+rect 50224 8299 50230 8351
+rect 50282 8339 50288 8351
+rect 53299 8342 53357 8348
+rect 53299 8339 53311 8342
+rect 50282 8311 53311 8339
+rect 50282 8299 50288 8311
+rect 53299 8308 53311 8311
+rect 53345 8308 53357 8342
+rect 53299 8302 53357 8308
+rect 55219 8342 55277 8348
+rect 55219 8308 55231 8342
+rect 55265 8339 55277 8342
+rect 55987 8342 56045 8348
+rect 55265 8311 55934 8339
+rect 55265 8308 55277 8311
+rect 55219 8302 55277 8308
+rect 1648 8265 1654 8277
+rect 1609 8237 1654 8265
+rect 1648 8225 1654 8237
+rect 1706 8225 1712 8277
+rect 2128 8225 2134 8277
+rect 2186 8265 2192 8277
+rect 2419 8268 2477 8274
+rect 2419 8265 2431 8268
+rect 2186 8237 2431 8265
+rect 2186 8225 2192 8237
+rect 2419 8234 2431 8237
+rect 2465 8234 2477 8268
+rect 3184 8265 3190 8277
+rect 3145 8237 3190 8265
+rect 2419 8228 2477 8234
+rect 3184 8225 3190 8237
+rect 3242 8225 3248 8277
+rect 4435 8268 4493 8274
+rect 4435 8234 4447 8268
+rect 4481 8234 4493 8268
+rect 4435 8228 4493 8234
+rect 4450 8191 4478 8228
+rect 7696 8225 7702 8277
+rect 7754 8265 7760 8277
+rect 7795 8268 7853 8274
+rect 7795 8265 7807 8268
+rect 7754 8237 7807 8265
+rect 7754 8225 7760 8237
+rect 7795 8234 7807 8237
+rect 7841 8234 7853 8268
+rect 7795 8228 7853 8234
+rect 9520 8225 9526 8277
+rect 9578 8265 9584 8277
+rect 9715 8268 9773 8274
+rect 9715 8265 9727 8268
+rect 9578 8237 9727 8265
+rect 9578 8225 9584 8237
+rect 9715 8234 9727 8237
+rect 9761 8234 9773 8268
+rect 9715 8228 9773 8234
+rect 10288 8225 10294 8277
+rect 10346 8265 10352 8277
+rect 10483 8268 10541 8274
+rect 10483 8265 10495 8268
+rect 10346 8237 10495 8265
+rect 10346 8225 10352 8237
+rect 10483 8234 10495 8237
+rect 10529 8234 10541 8268
+rect 10483 8228 10541 8234
+rect 10579 8268 10637 8274
+rect 10579 8234 10591 8268
+rect 10625 8234 10637 8268
+rect 10579 8228 10637 8234
+rect 4816 8191 4822 8203
+rect 4450 8163 4822 8191
+rect 4816 8151 4822 8163
+rect 4874 8151 4880 8203
+rect 10594 8191 10622 8228
+rect 10672 8225 10678 8277
+rect 10730 8265 10736 8277
+rect 11251 8268 11309 8274
+rect 11251 8265 11263 8268
+rect 10730 8237 11263 8265
+rect 10730 8225 10736 8237
+rect 11251 8234 11263 8237
+rect 11297 8234 11309 8268
+rect 11251 8228 11309 8234
+rect 11344 8225 11350 8277
+rect 11402 8265 11408 8277
+rect 12115 8268 12173 8274
+rect 12115 8265 12127 8268
+rect 11402 8237 12127 8265
+rect 11402 8225 11408 8237
+rect 12115 8234 12127 8237
+rect 12161 8234 12173 8268
+rect 12115 8228 12173 8234
+rect 12208 8225 12214 8277
+rect 12266 8265 12272 8277
+rect 12787 8268 12845 8274
+rect 12787 8265 12799 8268
+rect 12266 8237 12799 8265
+rect 12266 8225 12272 8237
+rect 12787 8234 12799 8237
+rect 12833 8234 12845 8268
+rect 12787 8228 12845 8234
+rect 16048 8225 16054 8277
+rect 16106 8265 16112 8277
+rect 16147 8268 16205 8274
+rect 16147 8265 16159 8268
+rect 16106 8237 16159 8265
+rect 16106 8225 16112 8237
+rect 16147 8234 16159 8237
+rect 16193 8234 16205 8268
+rect 16147 8228 16205 8234
+rect 16432 8225 16438 8277
+rect 16490 8265 16496 8277
+rect 16915 8268 16973 8274
+rect 16915 8265 16927 8268
+rect 16490 8237 16927 8265
+rect 16490 8225 16496 8237
+rect 16915 8234 16927 8237
+rect 16961 8234 16973 8268
+rect 16915 8228 16973 8234
+rect 48211 8268 48269 8274
+rect 48211 8234 48223 8268
+rect 48257 8234 48269 8268
+rect 48211 8228 48269 8234
+rect 11440 8191 11446 8203
+rect 10594 8163 11446 8191
+rect 11440 8151 11446 8163
+rect 11498 8151 11504 8203
+rect 48016 8151 48022 8203
+rect 48074 8191 48080 8203
+rect 48226 8191 48254 8228
+rect 48688 8225 48694 8277
+rect 48746 8265 48752 8277
+rect 48883 8268 48941 8274
+rect 48883 8265 48895 8268
+rect 48746 8237 48895 8265
+rect 48746 8225 48752 8237
+rect 48883 8234 48895 8237
+rect 48929 8234 48941 8268
+rect 48883 8228 48941 8234
+rect 49456 8225 49462 8277
+rect 49514 8265 49520 8277
+rect 49651 8268 49709 8274
+rect 49651 8265 49663 8268
+rect 49514 8237 49663 8265
+rect 49514 8225 49520 8237
+rect 49651 8234 49663 8237
+rect 49697 8234 49709 8268
+rect 49651 8228 49709 8234
+rect 53104 8225 53110 8277
+rect 53162 8265 53168 8277
+rect 53203 8268 53261 8274
+rect 53203 8265 53215 8268
+rect 53162 8237 53215 8265
+rect 53162 8225 53168 8237
+rect 53203 8234 53215 8237
+rect 53249 8234 53261 8268
+rect 53203 8228 53261 8234
+rect 53488 8225 53494 8277
+rect 53546 8265 53552 8277
+rect 54067 8268 54125 8274
+rect 54067 8265 54079 8268
+rect 53546 8237 54079 8265
+rect 53546 8225 53552 8237
+rect 54067 8234 54079 8237
+rect 54113 8234 54125 8268
+rect 54067 8228 54125 8234
+rect 48074 8163 48254 8191
+rect 55906 8191 55934 8311
+rect 55987 8308 55999 8342
+rect 56033 8308 56045 8342
+rect 55987 8302 56045 8308
+rect 56002 8265 56030 8302
+rect 56944 8299 56950 8351
+rect 57002 8339 57008 8351
+rect 57139 8342 57197 8348
+rect 57139 8339 57151 8342
+rect 57002 8311 57151 8339
+rect 57002 8299 57008 8311
+rect 57139 8308 57151 8311
+rect 57185 8308 57197 8342
+rect 57139 8302 57197 8308
+rect 58384 8265 58390 8277
+rect 56002 8237 58390 8265
+rect 58384 8225 58390 8237
+rect 58442 8225 58448 8277
+rect 59824 8191 59830 8203
+rect 55906 8163 59830 8191
+rect 48074 8151 48080 8163
+rect 59824 8151 59830 8163
+rect 59882 8151 59888 8203
+rect 5971 8120 6029 8126
+rect 5971 8086 5983 8120
+rect 6017 8117 6029 8120
+rect 7024 8117 7030 8129
+rect 6017 8089 7030 8117
+rect 6017 8086 6029 8089
+rect 5971 8080 6029 8086
+rect 7024 8077 7030 8089
+rect 7082 8077 7088 8129
+rect 7216 8077 7222 8129
+rect 7274 8117 7280 8129
+rect 12592 8117 12598 8129
+rect 7274 8089 12598 8117
+rect 7274 8077 7280 8089
+rect 12592 8077 12598 8089
+rect 12650 8077 12656 8129
+rect 41488 8117 41494 8129
+rect 41449 8089 41494 8117
+rect 41488 8077 41494 8089
+rect 41546 8077 41552 8129
+rect 42928 8117 42934 8129
+rect 42889 8089 42934 8117
+rect 42928 8077 42934 8089
+rect 42986 8077 42992 8129
+rect 1152 8018 58848 8040
+rect 1152 7966 4294 8018
+rect 4346 7966 4358 8018
+rect 4410 7966 4422 8018
+rect 4474 7966 4486 8018
+rect 4538 7966 35014 8018
+rect 35066 7966 35078 8018
+rect 35130 7966 35142 8018
+rect 35194 7966 35206 8018
+rect 35258 7966 58848 8018
+rect 1152 7944 58848 7966
+rect 2896 7855 2902 7907
+rect 2954 7895 2960 7907
+rect 3664 7895 3670 7907
+rect 2954 7867 3326 7895
+rect 3625 7867 3670 7895
+rect 2954 7855 2960 7867
+rect 2227 7750 2285 7756
+rect 2227 7716 2239 7750
+rect 2273 7747 2285 7750
+rect 2512 7747 2518 7759
+rect 2273 7719 2518 7747
+rect 2273 7716 2285 7719
+rect 2227 7710 2285 7716
+rect 2512 7707 2518 7719
+rect 2570 7707 2576 7759
+rect 3298 7756 3326 7867
+rect 3664 7855 3670 7867
+rect 3722 7895 3728 7907
+rect 3722 7867 4094 7895
+rect 3722 7855 3728 7867
+rect 4066 7756 4094 7867
+rect 8512 7855 8518 7907
+rect 8570 7895 8576 7907
+rect 11248 7895 11254 7907
+rect 8570 7867 11254 7895
+rect 8570 7855 8576 7867
+rect 11248 7855 11254 7867
+rect 11306 7855 11312 7907
+rect 17968 7855 17974 7907
+rect 18026 7895 18032 7907
+rect 18259 7898 18317 7904
+rect 18259 7895 18271 7898
+rect 18026 7867 18271 7895
+rect 18026 7855 18032 7867
+rect 18259 7864 18271 7867
+rect 18305 7895 18317 7898
+rect 25072 7895 25078 7907
+rect 18305 7867 18494 7895
+rect 25033 7867 25078 7895
+rect 18305 7864 18317 7867
+rect 18259 7858 18317 7864
+rect 5299 7824 5357 7830
+rect 5299 7790 5311 7824
+rect 5345 7821 5357 7824
+rect 7216 7821 7222 7833
+rect 5345 7793 7222 7821
+rect 5345 7790 5357 7793
+rect 5299 7784 5357 7790
+rect 3283 7750 3341 7756
+rect 3283 7716 3295 7750
+rect 3329 7716 3341 7750
+rect 3283 7710 3341 7716
+rect 4051 7750 4109 7756
+rect 4051 7716 4063 7750
+rect 4097 7716 4109 7750
+rect 4051 7710 4109 7716
+rect 4819 7750 4877 7756
+rect 4819 7716 4831 7750
+rect 4865 7747 4877 7750
+rect 4912 7747 4918 7759
+rect 4865 7719 4918 7747
+rect 4865 7716 4877 7719
+rect 4819 7710 4877 7716
+rect 4912 7707 4918 7719
+rect 4970 7707 4976 7759
+rect 5602 7756 5630 7793
+rect 7216 7781 7222 7793
+rect 7274 7781 7280 7833
+rect 7600 7821 7606 7833
+rect 7513 7793 7606 7821
+rect 7600 7781 7606 7793
+rect 7658 7821 7664 7833
+rect 7658 7793 7824 7821
+rect 7658 7781 7664 7793
+rect 5587 7750 5645 7756
+rect 5587 7716 5599 7750
+rect 5633 7716 5645 7750
+rect 5587 7710 5645 7716
+rect 6835 7750 6893 7756
+rect 6835 7716 6847 7750
+rect 6881 7747 6893 7750
+rect 7120 7747 7126 7759
+rect 6881 7719 7126 7747
+rect 6881 7716 6893 7719
+rect 6835 7710 6893 7716
+rect 7120 7707 7126 7719
+rect 7178 7707 7184 7759
+rect 7936 7707 7942 7759
+rect 7994 7707 8000 7759
+rect 9139 7750 9197 7756
+rect 9139 7716 9151 7750
+rect 9185 7747 9197 7750
+rect 9424 7747 9430 7759
+rect 9185 7719 9430 7747
+rect 9185 7716 9197 7719
+rect 9139 7710 9197 7716
+rect 9424 7707 9430 7719
+rect 9482 7707 9488 7759
+rect 9904 7707 9910 7759
+rect 9962 7747 9968 7759
+rect 10867 7750 10925 7756
+rect 10867 7747 10879 7750
+rect 9962 7719 10879 7747
+rect 9962 7707 9968 7719
+rect 10867 7716 10879 7719
+rect 10913 7716 10925 7750
+rect 10867 7710 10925 7716
+rect 10963 7750 11021 7756
+rect 10963 7716 10975 7750
+rect 11009 7747 11021 7750
+rect 11152 7747 11158 7759
+rect 11009 7719 11158 7747
+rect 11009 7716 11021 7719
+rect 10963 7710 11021 7716
+rect 11152 7707 11158 7719
+rect 11210 7707 11216 7759
+rect 11728 7707 11734 7759
+rect 11786 7747 11792 7759
+rect 13075 7750 13133 7756
+rect 13075 7747 13087 7750
+rect 11786 7719 13087 7747
+rect 11786 7707 11792 7719
+rect 13075 7716 13087 7719
+rect 13121 7716 13133 7750
+rect 13075 7710 13133 7716
+rect 13939 7750 13997 7756
+rect 13939 7716 13951 7750
+rect 13985 7747 13997 7750
+rect 14128 7747 14134 7759
+rect 13985 7719 14134 7747
+rect 13985 7716 13997 7719
+rect 13939 7710 13997 7716
+rect 14128 7707 14134 7719
+rect 14186 7707 14192 7759
+rect 15856 7747 15862 7759
+rect 15817 7719 15862 7747
+rect 15856 7707 15862 7719
+rect 15914 7707 15920 7759
+rect 18466 7756 18494 7867
+rect 25072 7855 25078 7867
+rect 25130 7855 25136 7907
+rect 38416 7895 38422 7907
+rect 38377 7867 38422 7895
+rect 38416 7855 38422 7867
+rect 38474 7855 38480 7907
+rect 39088 7855 39094 7907
+rect 39146 7895 39152 7907
+rect 39187 7898 39245 7904
+rect 39187 7895 39199 7898
+rect 39146 7867 39199 7895
+rect 39146 7855 39152 7867
+rect 39187 7864 39199 7867
+rect 39233 7864 39245 7898
+rect 39187 7858 39245 7864
+rect 40624 7855 40630 7907
+rect 40682 7895 40688 7907
+rect 40723 7898 40781 7904
+rect 40723 7895 40735 7898
+rect 40682 7867 40735 7895
+rect 40682 7855 40688 7867
+rect 40723 7864 40735 7867
+rect 40769 7895 40781 7898
+rect 42256 7895 42262 7907
+rect 40769 7867 41054 7895
+rect 42217 7867 42262 7895
+rect 40769 7864 40781 7867
+rect 40723 7858 40781 7864
+rect 24403 7824 24461 7830
+rect 24403 7790 24415 7824
+rect 24449 7821 24461 7824
+rect 34867 7824 34925 7830
+rect 34867 7821 34879 7824
+rect 24449 7793 24734 7821
+rect 24449 7790 24461 7793
+rect 24403 7784 24461 7790
+rect 24706 7759 24734 7793
+rect 34498 7793 34879 7821
+rect 34498 7759 34526 7793
+rect 34867 7790 34879 7793
+rect 34913 7790 34925 7824
+rect 34867 7784 34925 7790
+rect 18451 7750 18509 7756
+rect 18451 7716 18463 7750
+rect 18497 7716 18509 7750
+rect 18451 7710 18509 7716
+rect 20659 7750 20717 7756
+rect 20659 7716 20671 7750
+rect 20705 7747 20717 7750
+rect 20944 7747 20950 7759
+rect 20705 7719 20950 7747
+rect 20705 7716 20717 7719
+rect 20659 7710 20717 7716
+rect 20944 7707 20950 7719
+rect 21002 7707 21008 7759
+rect 23920 7747 23926 7759
+rect 23881 7719 23926 7747
+rect 23920 7707 23926 7719
+rect 23978 7707 23984 7759
+rect 24112 7707 24118 7759
+rect 24170 7747 24176 7759
+rect 24595 7750 24653 7756
+rect 24595 7747 24607 7750
+rect 24170 7719 24607 7747
+rect 24170 7707 24176 7719
+rect 24595 7716 24607 7719
+rect 24641 7716 24653 7750
+rect 24595 7710 24653 7716
+rect 24688 7707 24694 7759
+rect 24746 7747 24752 7759
+rect 26224 7747 26230 7759
+rect 24746 7719 24791 7747
+rect 26185 7719 26230 7747
+rect 24746 7707 24752 7719
+rect 26224 7707 26230 7719
+rect 26282 7707 26288 7759
+rect 28336 7747 28342 7759
+rect 28297 7719 28342 7747
+rect 28336 7707 28342 7719
+rect 28394 7707 28400 7759
+rect 29392 7747 29398 7759
+rect 29353 7719 29398 7747
+rect 29392 7707 29398 7719
+rect 29450 7707 29456 7759
+rect 30160 7747 30166 7759
+rect 30121 7719 30166 7747
+rect 30160 7707 30166 7719
+rect 30218 7707 30224 7759
+rect 31216 7747 31222 7759
+rect 31177 7719 31222 7747
+rect 31216 7707 31222 7719
+rect 31274 7707 31280 7759
+rect 33523 7750 33581 7756
+rect 33523 7716 33535 7750
+rect 33569 7747 33581 7750
+rect 33712 7747 33718 7759
+rect 33569 7719 33718 7747
+rect 33569 7716 33581 7719
+rect 33523 7710 33581 7716
+rect 33712 7707 33718 7719
+rect 33770 7707 33776 7759
+rect 34291 7750 34349 7756
+rect 34291 7716 34303 7750
+rect 34337 7747 34349 7750
+rect 34480 7747 34486 7759
+rect 34337 7719 34486 7747
+rect 34337 7716 34349 7719
+rect 34291 7710 34349 7716
+rect 34480 7707 34486 7719
+rect 34538 7707 34544 7759
+rect 34768 7707 34774 7759
+rect 34826 7747 34832 7759
+rect 35251 7750 35309 7756
+rect 35251 7747 35263 7750
+rect 34826 7719 35263 7747
+rect 34826 7707 34832 7719
+rect 35251 7716 35263 7719
+rect 35297 7716 35309 7750
+rect 36112 7747 36118 7759
+rect 36073 7719 36118 7747
+rect 35251 7710 35309 7716
+rect 36112 7707 36118 7719
+rect 36170 7707 36176 7759
+rect 36595 7750 36653 7756
+rect 36595 7716 36607 7750
+rect 36641 7747 36653 7750
+rect 36784 7747 36790 7759
+rect 36641 7719 36790 7747
+rect 36641 7716 36653 7719
+rect 36595 7710 36653 7716
+rect 36784 7707 36790 7719
+rect 36842 7707 36848 7759
+rect 38032 7707 38038 7759
+rect 38090 7747 38096 7759
+rect 38707 7750 38765 7756
+rect 38707 7747 38719 7750
+rect 38090 7719 38719 7747
+rect 38090 7707 38096 7719
+rect 38707 7716 38719 7719
+rect 38753 7716 38765 7750
+rect 38707 7710 38765 7716
+rect 38800 7707 38806 7759
+rect 38858 7747 38864 7759
+rect 39571 7750 39629 7756
+rect 39571 7747 39583 7750
+rect 38858 7719 39583 7747
+rect 38858 7707 38864 7719
+rect 39571 7716 39583 7719
+rect 39617 7716 39629 7750
+rect 39571 7710 39629 7716
+rect 40051 7750 40109 7756
+rect 40051 7716 40063 7750
+rect 40097 7747 40109 7750
+rect 40240 7747 40246 7759
+rect 40097 7719 40246 7747
+rect 40097 7716 40109 7719
+rect 40051 7710 40109 7716
+rect 40240 7707 40246 7719
+rect 40298 7707 40304 7759
+rect 41026 7756 41054 7867
+rect 42256 7855 42262 7867
+rect 42314 7895 42320 7907
+rect 42314 7867 42590 7895
+rect 42314 7855 42320 7867
+rect 42562 7756 42590 7867
+rect 47536 7855 47542 7907
+rect 47594 7895 47600 7907
+rect 51472 7895 51478 7907
+rect 47594 7867 47639 7895
+rect 51433 7867 51478 7895
+rect 47594 7855 47600 7867
+rect 51472 7855 51478 7867
+rect 51530 7895 51536 7907
+rect 52240 7895 52246 7907
+rect 51530 7867 51806 7895
+rect 52201 7867 52246 7895
+rect 51530 7855 51536 7867
+rect 46867 7824 46925 7830
+rect 46867 7790 46879 7824
+rect 46913 7821 46925 7824
+rect 46913 7793 47198 7821
+rect 46913 7790 46925 7793
+rect 46867 7784 46925 7790
+rect 47170 7759 47198 7793
+rect 41011 7750 41069 7756
+rect 41011 7716 41023 7750
+rect 41057 7716 41069 7750
+rect 41011 7710 41069 7716
+rect 42547 7750 42605 7756
+rect 42547 7716 42559 7750
+rect 42593 7716 42605 7750
+rect 44080 7747 44086 7759
+rect 44041 7719 44086 7747
+rect 42547 7710 42605 7716
+rect 44080 7707 44086 7719
+rect 44138 7707 44144 7759
+rect 44851 7750 44909 7756
+rect 44851 7716 44863 7750
+rect 44897 7747 44909 7750
+rect 44944 7747 44950 7759
+rect 44897 7719 44950 7747
+rect 44897 7716 44909 7719
+rect 44851 7710 44909 7716
+rect 44944 7707 44950 7719
+rect 45002 7707 45008 7759
+rect 46099 7750 46157 7756
+rect 46099 7716 46111 7750
+rect 46145 7747 46157 7750
+rect 46288 7747 46294 7759
+rect 46145 7719 46294 7747
+rect 46145 7716 46157 7719
+rect 46099 7710 46157 7716
+rect 46288 7707 46294 7719
+rect 46346 7707 46352 7759
+rect 46480 7707 46486 7759
+rect 46538 7747 46544 7759
+rect 47059 7750 47117 7756
+rect 47059 7747 47071 7750
+rect 46538 7719 47071 7747
+rect 46538 7707 46544 7719
+rect 47059 7716 47071 7719
+rect 47105 7716 47117 7750
+rect 47059 7710 47117 7716
+rect 47152 7707 47158 7759
+rect 47210 7747 47216 7759
+rect 47923 7750 47981 7756
+rect 47923 7747 47935 7750
+rect 47210 7719 47255 7747
+rect 47362 7719 47935 7747
+rect 47210 7707 47216 7719
+rect 8230 7685 8282 7691
+rect 1456 7633 1462 7685
+rect 1514 7673 1520 7685
+rect 1555 7676 1613 7682
+rect 1555 7673 1567 7676
+rect 1514 7645 1567 7673
+rect 1514 7633 1520 7645
+rect 1555 7642 1567 7645
+rect 1601 7642 1613 7676
+rect 1555 7636 1613 7642
+rect 8230 7627 8282 7633
+rect 8518 7685 8570 7691
+rect 9811 7676 9869 7682
+rect 9811 7642 9823 7676
+rect 9857 7673 9869 7676
+rect 10192 7673 10198 7685
+rect 9857 7645 10198 7673
+rect 9857 7642 9869 7645
+rect 9811 7636 9869 7642
+rect 10192 7633 10198 7645
+rect 10250 7633 10256 7685
+rect 12403 7676 12461 7682
+rect 12403 7642 12415 7676
+rect 12449 7673 12461 7676
+rect 16144 7673 16150 7685
+rect 12449 7645 16150 7673
+rect 12449 7642 12461 7645
+rect 12403 7636 12461 7642
+rect 16144 7633 16150 7645
+rect 16202 7633 16208 7685
+rect 25072 7633 25078 7685
+rect 25130 7673 25136 7685
+rect 25363 7676 25421 7682
+rect 25363 7673 25375 7676
+rect 25130 7645 25375 7673
+rect 25130 7633 25136 7645
+rect 25363 7642 25375 7645
+rect 25409 7642 25421 7676
+rect 25363 7636 25421 7642
+rect 38608 7633 38614 7685
+rect 38666 7673 38672 7685
+rect 38666 7645 39038 7673
+rect 38666 7633 38672 7645
+rect 8518 7627 8570 7633
+rect 12883 7602 12941 7608
+rect 12883 7568 12895 7602
+rect 12929 7599 12941 7602
+rect 13171 7602 13229 7608
+rect 13171 7599 13183 7602
+rect 12929 7571 13183 7599
+rect 12929 7568 12941 7571
+rect 12883 7562 12941 7568
+rect 13171 7568 13183 7571
+rect 13217 7599 13229 7602
+rect 15088 7599 15094 7611
+rect 13217 7571 15094 7599
+rect 13217 7568 13229 7571
+rect 13171 7562 13229 7568
+rect 15088 7559 15094 7571
+rect 15146 7559 15152 7611
+rect 26995 7602 27053 7608
+rect 26995 7568 27007 7602
+rect 27041 7568 27053 7602
+rect 35344 7599 35350 7611
+rect 35305 7571 35350 7599
+rect 26995 7562 27053 7568
+rect 9136 7485 9142 7537
+rect 9194 7525 9200 7537
+rect 9194 7497 10142 7525
+rect 9194 7485 9200 7497
+rect 2416 7451 2422 7463
+rect 2377 7423 2422 7451
+rect 2416 7411 2422 7423
+rect 2474 7411 2480 7463
+rect 2992 7411 2998 7463
+rect 3050 7451 3056 7463
+rect 3187 7454 3245 7460
+rect 3187 7451 3199 7454
+rect 3050 7423 3199 7451
+rect 3050 7411 3056 7423
+rect 3187 7420 3199 7423
+rect 3233 7420 3245 7454
+rect 3952 7451 3958 7463
+rect 3913 7423 3958 7451
+rect 3187 7414 3245 7420
+rect 3952 7411 3958 7423
+rect 4010 7411 4016 7463
+rect 4048 7411 4054 7463
+rect 4106 7451 4112 7463
+rect 4723 7454 4781 7460
+rect 4723 7451 4735 7454
+rect 4106 7423 4735 7451
+rect 4106 7411 4112 7423
+rect 4723 7420 4735 7423
+rect 4769 7420 4781 7454
+rect 4723 7414 4781 7420
+rect 5296 7411 5302 7463
+rect 5354 7451 5360 7463
+rect 5491 7454 5549 7460
+rect 5491 7451 5503 7454
+rect 5354 7423 5503 7451
+rect 5354 7411 5360 7423
+rect 5491 7420 5503 7423
+rect 5537 7420 5549 7454
+rect 9328 7451 9334 7463
+rect 9289 7423 9334 7451
+rect 5491 7414 5549 7420
+rect 9328 7411 9334 7423
+rect 9386 7411 9392 7463
+rect 10114 7460 10142 7497
+rect 12496 7485 12502 7537
+rect 12554 7525 12560 7537
+rect 12554 7497 13886 7525
+rect 12554 7485 12560 7497
+rect 10099 7454 10157 7460
+rect 10099 7420 10111 7454
+rect 10145 7420 10157 7454
+rect 10099 7414 10157 7420
+rect 10960 7411 10966 7463
+rect 11018 7451 11024 7463
+rect 13858 7460 13886 7497
+rect 22864 7485 22870 7537
+rect 22922 7525 22928 7537
+rect 27010 7525 27038 7562
+rect 35344 7559 35350 7571
+rect 35402 7559 35408 7611
+rect 38416 7559 38422 7611
+rect 38474 7599 38480 7611
+rect 38803 7602 38861 7608
+rect 38803 7599 38815 7602
+rect 38474 7571 38815 7599
+rect 38474 7559 38480 7571
+rect 38803 7568 38815 7571
+rect 38849 7568 38861 7602
+rect 39010 7599 39038 7645
+rect 39088 7633 39094 7685
+rect 39146 7673 39152 7685
+rect 39475 7676 39533 7682
+rect 39475 7673 39487 7676
+rect 39146 7645 39487 7673
+rect 39146 7633 39152 7645
+rect 39475 7642 39487 7645
+rect 39521 7642 39533 7676
+rect 39475 7636 39533 7642
+rect 44368 7633 44374 7685
+rect 44426 7673 44432 7685
+rect 45619 7676 45677 7682
+rect 45619 7673 45631 7676
+rect 44426 7645 45631 7673
+rect 44426 7633 44432 7645
+rect 45619 7642 45631 7645
+rect 45665 7642 45677 7676
+rect 45619 7636 45677 7642
+rect 47248 7633 47254 7685
+rect 47306 7673 47312 7685
+rect 47362 7673 47390 7719
+rect 47923 7716 47935 7719
+rect 47969 7716 47981 7750
+rect 47923 7710 47981 7716
+rect 48400 7707 48406 7759
+rect 48458 7747 48464 7759
+rect 49363 7750 49421 7756
+rect 49363 7747 49375 7750
+rect 48458 7719 49375 7747
+rect 48458 7707 48464 7719
+rect 49363 7716 49375 7719
+rect 49409 7716 49421 7750
+rect 49363 7710 49421 7716
+rect 49843 7750 49901 7756
+rect 49843 7716 49855 7750
+rect 49889 7747 49901 7750
+rect 50032 7747 50038 7759
+rect 49889 7719 50038 7747
+rect 49889 7716 49901 7719
+rect 49843 7710 49901 7716
+rect 50032 7707 50038 7719
+rect 50090 7707 50096 7759
+rect 51088 7747 51094 7759
+rect 51049 7719 51094 7747
+rect 51088 7707 51094 7719
+rect 51146 7707 51152 7759
+rect 51778 7756 51806 7867
+rect 52240 7855 52246 7867
+rect 52298 7855 52304 7907
+rect 51763 7750 51821 7756
+rect 51763 7716 51775 7750
+rect 51809 7716 51821 7750
+rect 52258 7747 52286 7855
+rect 52531 7750 52589 7756
+rect 52531 7747 52543 7750
+rect 52258 7719 52543 7747
+rect 51763 7710 51821 7716
+rect 52531 7716 52543 7719
+rect 52577 7716 52589 7750
+rect 58768 7747 58774 7759
+rect 52531 7710 52589 7716
+rect 55138 7719 58774 7747
+rect 47306 7645 47390 7673
+rect 47306 7633 47312 7645
+rect 47536 7633 47542 7685
+rect 47594 7673 47600 7685
+rect 47827 7676 47885 7682
+rect 47827 7673 47839 7676
+rect 47594 7645 47839 7673
+rect 47594 7633 47600 7645
+rect 47827 7642 47839 7645
+rect 47873 7642 47885 7676
+rect 47827 7636 47885 7642
+rect 49075 7676 49133 7682
+rect 49075 7642 49087 7676
+rect 49121 7673 49133 7676
+rect 49264 7673 49270 7685
+rect 49121 7645 49270 7673
+rect 49121 7642 49133 7645
+rect 49075 7636 49133 7642
+rect 49264 7633 49270 7645
+rect 49322 7633 49328 7685
+rect 51664 7633 51670 7685
+rect 51722 7673 51728 7685
+rect 55138 7682 55166 7719
+rect 58768 7707 58774 7719
+rect 58826 7707 58832 7759
+rect 53395 7676 53453 7682
+rect 53395 7673 53407 7676
+rect 51722 7645 53407 7673
+rect 51722 7633 51728 7645
+rect 53395 7642 53407 7645
+rect 53441 7642 53453 7676
+rect 53395 7636 53453 7642
+rect 55123 7676 55181 7682
+rect 55123 7642 55135 7676
+rect 55169 7642 55181 7676
+rect 55792 7673 55798 7685
+rect 55753 7645 55798 7673
+rect 55123 7636 55181 7642
+rect 55792 7633 55798 7645
+rect 55850 7633 55856 7685
+rect 56176 7633 56182 7685
+rect 56234 7673 56240 7685
+rect 56563 7676 56621 7682
+rect 56563 7673 56575 7676
+rect 56234 7645 56575 7673
+rect 56234 7633 56240 7645
+rect 56563 7642 56575 7645
+rect 56609 7642 56621 7676
+rect 56563 7636 56621 7642
+rect 56656 7633 56662 7685
+rect 56714 7673 56720 7685
+rect 57331 7676 57389 7682
+rect 57331 7673 57343 7676
+rect 56714 7645 57343 7673
+rect 56714 7633 56720 7645
+rect 57331 7642 57343 7645
+rect 57377 7642 57389 7676
+rect 57331 7636 57389 7642
+rect 41875 7602 41933 7608
+rect 41875 7599 41887 7602
+rect 39010 7571 41887 7599
+rect 38803 7562 38861 7568
+rect 41875 7568 41887 7571
+rect 41921 7568 41933 7602
+rect 41875 7562 41933 7568
+rect 22922 7497 27038 7525
+rect 22922 7485 22928 7497
+rect 39952 7485 39958 7537
+rect 40010 7525 40016 7537
+rect 40010 7497 41150 7525
+rect 40010 7485 40016 7497
+rect 12307 7454 12365 7460
+rect 12307 7451 12319 7454
+rect 11018 7423 12319 7451
+rect 11018 7411 11024 7423
+rect 12307 7420 12319 7423
+rect 12353 7420 12365 7454
+rect 12307 7414 12365 7420
+rect 13843 7454 13901 7460
+rect 13843 7420 13855 7454
+rect 13889 7420 13901 7454
+rect 15760 7451 15766 7463
+rect 15721 7423 15766 7451
+rect 13843 7414 13901 7420
+rect 15760 7411 15766 7423
+rect 15818 7411 15824 7463
+rect 20848 7451 20854 7463
+rect 20809 7423 20854 7451
+rect 20848 7411 20854 7423
+rect 20906 7411 20912 7463
+rect 23728 7411 23734 7463
+rect 23786 7451 23792 7463
+rect 23827 7454 23885 7460
+rect 23827 7451 23839 7454
+rect 23786 7423 23839 7451
+rect 23786 7411 23792 7423
+rect 23827 7420 23839 7423
+rect 23873 7420 23885 7454
+rect 23827 7414 23885 7420
+rect 24784 7411 24790 7463
+rect 24842 7451 24848 7463
+rect 25459 7454 25517 7460
+rect 25459 7451 25471 7454
+rect 24842 7423 25471 7451
+rect 24842 7411 24848 7423
+rect 25459 7420 25471 7423
+rect 25505 7420 25517 7454
+rect 25459 7414 25517 7420
+rect 25552 7411 25558 7463
+rect 25610 7451 25616 7463
+rect 26131 7454 26189 7460
+rect 26131 7451 26143 7454
+rect 25610 7423 26143 7451
+rect 25610 7411 25616 7423
+rect 26131 7420 26143 7423
+rect 26177 7420 26189 7454
+rect 26131 7414 26189 7420
+rect 26704 7411 26710 7463
+rect 26762 7451 26768 7463
+rect 26899 7454 26957 7460
+rect 26899 7451 26911 7454
+rect 26762 7423 26911 7451
+rect 26762 7411 26768 7423
+rect 26899 7420 26911 7423
+rect 26945 7420 26957 7454
+rect 26899 7414 26957 7420
+rect 28144 7411 28150 7463
+rect 28202 7451 28208 7463
+rect 28243 7454 28301 7460
+rect 28243 7451 28255 7454
+rect 28202 7423 28255 7451
+rect 28202 7411 28208 7423
+rect 28243 7420 28255 7423
+rect 28289 7420 28301 7454
+rect 28243 7414 28301 7420
+rect 29200 7411 29206 7463
+rect 29258 7451 29264 7463
+rect 29299 7454 29357 7460
+rect 29299 7451 29311 7454
+rect 29258 7423 29311 7451
+rect 29258 7411 29264 7423
+rect 29299 7420 29311 7423
+rect 29345 7420 29357 7454
+rect 29299 7414 29357 7420
+rect 29584 7411 29590 7463
+rect 29642 7451 29648 7463
+rect 30067 7454 30125 7460
+rect 30067 7451 30079 7454
+rect 29642 7423 30079 7451
+rect 29642 7411 29648 7423
+rect 30067 7420 30079 7423
+rect 30113 7420 30125 7454
+rect 30067 7414 30125 7420
+rect 31024 7411 31030 7463
+rect 31082 7451 31088 7463
+rect 31123 7454 31181 7460
+rect 31123 7451 31135 7454
+rect 31082 7423 31135 7451
+rect 31082 7411 31088 7423
+rect 31123 7420 31135 7423
+rect 31169 7420 31181 7454
+rect 31123 7414 31181 7420
+rect 33616 7411 33622 7463
+rect 33674 7451 33680 7463
+rect 33811 7454 33869 7460
+rect 33811 7451 33823 7454
+rect 33674 7423 33823 7451
+rect 33674 7411 33680 7423
+rect 33811 7420 33823 7423
+rect 33857 7420 33869 7454
+rect 34576 7451 34582 7463
+rect 34537 7423 34582 7451
+rect 33811 7414 33869 7420
+rect 34576 7411 34582 7423
+rect 34634 7411 34640 7463
+rect 35824 7411 35830 7463
+rect 35882 7451 35888 7463
+rect 36019 7454 36077 7460
+rect 36019 7451 36031 7454
+rect 35882 7423 36031 7451
+rect 35882 7411 35888 7423
+rect 36019 7420 36031 7423
+rect 36065 7420 36077 7454
+rect 36019 7414 36077 7420
+rect 36592 7411 36598 7463
+rect 36650 7451 36656 7463
+rect 36883 7454 36941 7460
+rect 36883 7451 36895 7454
+rect 36650 7423 36895 7451
+rect 36650 7411 36656 7423
+rect 36883 7420 36895 7423
+rect 36929 7420 36941 7454
+rect 36883 7414 36941 7420
+rect 39472 7411 39478 7463
+rect 39530 7451 39536 7463
+rect 41122 7460 41150 7497
+rect 51010 7497 57614 7525
+rect 40339 7454 40397 7460
+rect 40339 7451 40351 7454
+rect 39530 7423 40351 7451
+rect 39530 7411 39536 7423
+rect 40339 7420 40351 7423
+rect 40385 7420 40397 7454
+rect 40339 7414 40397 7420
+rect 41107 7454 41165 7460
+rect 41107 7420 41119 7454
+rect 41153 7420 41165 7454
+rect 41107 7414 41165 7420
+rect 41392 7411 41398 7463
+rect 41450 7451 41456 7463
+rect 41779 7454 41837 7460
+rect 41779 7451 41791 7454
+rect 41450 7423 41791 7451
+rect 41450 7411 41456 7423
+rect 41779 7420 41791 7423
+rect 41825 7420 41837 7454
+rect 41779 7414 41837 7420
+rect 42544 7411 42550 7463
+rect 42602 7451 42608 7463
+rect 42643 7454 42701 7460
+rect 42643 7451 42655 7454
+rect 42602 7423 42655 7451
+rect 42602 7411 42608 7423
+rect 42643 7420 42655 7423
+rect 42689 7420 42701 7454
+rect 42643 7414 42701 7420
+rect 43888 7411 43894 7463
+rect 43946 7451 43952 7463
+rect 43987 7454 44045 7460
+rect 43987 7451 43999 7454
+rect 43946 7423 43999 7451
+rect 43946 7411 43952 7423
+rect 43987 7420 43999 7423
+rect 44033 7420 44045 7454
+rect 43987 7414 44045 7420
+rect 44656 7411 44662 7463
+rect 44714 7451 44720 7463
+rect 44755 7454 44813 7460
+rect 44755 7451 44767 7454
+rect 44714 7423 44767 7451
+rect 44714 7411 44720 7423
+rect 44755 7420 44767 7423
+rect 44801 7420 44813 7454
+rect 44755 7414 44813 7420
+rect 45040 7411 45046 7463
+rect 45098 7451 45104 7463
+rect 45523 7454 45581 7460
+rect 45523 7451 45535 7454
+rect 45098 7423 45535 7451
+rect 45098 7411 45104 7423
+rect 45523 7420 45535 7423
+rect 45569 7420 45581 7454
+rect 45523 7414 45581 7420
+rect 45808 7411 45814 7463
+rect 45866 7451 45872 7463
+rect 46387 7454 46445 7460
+rect 46387 7451 46399 7454
+rect 45866 7423 46399 7451
+rect 45866 7411 45872 7423
+rect 46387 7420 46399 7423
+rect 46433 7420 46445 7454
+rect 46387 7414 46445 7420
+rect 49840 7411 49846 7463
+rect 49898 7451 49904 7463
+rect 51010 7460 51038 7497
+rect 50131 7454 50189 7460
+rect 50131 7451 50143 7454
+rect 49898 7423 50143 7451
+rect 49898 7411 49904 7423
+rect 50131 7420 50143 7423
+rect 50177 7420 50189 7454
+rect 50131 7414 50189 7420
+rect 50995 7454 51053 7460
+rect 50995 7420 51007 7454
+rect 51041 7420 51053 7454
+rect 50995 7414 51053 7420
+rect 51664 7411 51670 7463
+rect 51722 7451 51728 7463
+rect 51859 7454 51917 7460
+rect 51859 7451 51871 7454
+rect 51722 7423 51871 7451
+rect 51722 7411 51728 7423
+rect 51859 7420 51871 7423
+rect 51905 7420 51917 7454
+rect 51859 7414 51917 7420
+rect 52432 7411 52438 7463
+rect 52490 7451 52496 7463
+rect 52627 7454 52685 7460
+rect 52627 7451 52639 7454
+rect 52490 7423 52639 7451
+rect 52490 7411 52496 7423
+rect 52627 7420 52639 7423
+rect 52673 7420 52685 7454
+rect 52627 7414 52685 7420
+rect 52720 7411 52726 7463
+rect 52778 7451 52784 7463
+rect 53299 7454 53357 7460
+rect 53299 7451 53311 7454
+rect 52778 7423 53311 7451
+rect 52778 7411 52784 7423
+rect 53299 7420 53311 7423
+rect 53345 7420 53357 7454
+rect 57586 7451 57614 7497
+rect 59344 7451 59350 7463
+rect 57586 7423 59350 7451
+rect 53299 7414 53357 7420
+rect 59344 7411 59350 7423
+rect 59402 7411 59408 7463
+rect 1152 7352 58848 7374
+rect 1152 7300 19654 7352
+rect 19706 7300 19718 7352
+rect 19770 7300 19782 7352
+rect 19834 7300 19846 7352
+rect 19898 7300 50374 7352
+rect 50426 7300 50438 7352
+rect 50490 7300 50502 7352
+rect 50554 7300 50566 7352
+rect 50618 7300 58848 7352
+rect 1152 7278 58848 7300
+rect 5203 7232 5261 7238
+rect 5203 7198 5215 7232
+rect 5249 7198 5261 7232
+rect 5203 7192 5261 7198
+rect 3664 7115 3670 7167
+rect 3722 7155 3728 7167
+rect 5218 7155 5246 7192
+rect 8464 7189 8470 7241
+rect 8522 7229 8528 7241
+rect 9328 7229 9334 7241
+rect 8522 7201 9334 7229
+rect 8522 7189 8528 7201
+rect 9328 7189 9334 7201
+rect 9386 7189 9392 7241
+rect 3722 7127 5246 7155
+rect 5779 7158 5837 7164
+rect 3722 7115 3728 7127
+rect 5779 7124 5791 7158
+rect 5825 7155 5837 7158
+rect 5872 7155 5878 7167
+rect 5825 7127 5878 7155
+rect 5825 7124 5837 7127
+rect 5779 7118 5837 7124
+rect 5872 7115 5878 7127
+rect 5930 7155 5936 7167
+rect 7315 7158 7373 7164
+rect 5930 7127 6110 7155
+rect 5930 7115 5936 7127
+rect 6082 7090 6110 7127
+rect 7315 7124 7327 7158
+rect 7361 7155 7373 7158
+rect 9523 7158 9581 7164
+rect 7361 7127 9374 7155
+rect 7361 7124 7373 7127
+rect 7315 7118 7373 7124
+rect 6067 7084 6125 7090
+rect 6067 7050 6079 7084
+rect 6113 7050 6125 7084
+rect 6832 7081 6838 7093
+rect 6793 7053 6838 7081
+rect 6067 7044 6125 7050
+rect 6832 7041 6838 7053
+rect 6890 7041 6896 7093
+rect 7618 7090 7646 7127
+rect 7603 7084 7661 7090
+rect 7603 7050 7615 7084
+rect 7649 7050 7661 7084
+rect 7603 7044 7661 7050
+rect 8083 7084 8141 7090
+rect 8083 7050 8095 7084
+rect 8129 7081 8141 7084
+rect 8371 7084 8429 7090
+rect 8371 7081 8383 7084
+rect 8129 7053 8383 7081
+rect 8129 7050 8141 7053
+rect 8083 7044 8141 7050
+rect 8371 7050 8383 7053
+rect 8417 7081 8429 7084
+rect 9232 7081 9238 7093
+rect 8417 7053 9238 7081
+rect 8417 7050 8429 7053
+rect 8371 7044 8429 7050
+rect 9232 7041 9238 7053
+rect 9290 7041 9296 7093
+rect 9346 7081 9374 7127
+rect 9523 7124 9535 7158
+rect 9569 7155 9581 7158
+rect 11632 7155 11638 7167
+rect 9569 7127 11638 7155
+rect 9569 7124 9581 7127
+rect 9523 7118 9581 7124
+rect 9712 7081 9718 7093
+rect 9346 7053 9718 7081
+rect 9712 7041 9718 7053
+rect 9770 7041 9776 7093
+rect 9826 7090 9854 7127
+rect 11632 7115 11638 7127
+rect 11690 7115 11696 7167
+rect 21619 7158 21677 7164
+rect 21619 7124 21631 7158
+rect 21665 7155 21677 7158
+rect 21712 7155 21718 7167
+rect 21665 7127 21718 7155
+rect 21665 7124 21677 7127
+rect 21619 7118 21677 7124
+rect 21712 7115 21718 7127
+rect 21770 7155 21776 7167
+rect 32080 7155 32086 7167
+rect 21770 7127 21854 7155
+rect 32041 7127 32086 7155
+rect 21770 7115 21776 7127
+rect 9811 7084 9869 7090
+rect 9811 7050 9823 7084
+rect 9857 7050 9869 7084
+rect 9811 7044 9869 7050
+rect 10291 7084 10349 7090
+rect 10291 7050 10303 7084
+rect 10337 7081 10349 7084
+rect 10480 7081 10486 7093
+rect 10337 7053 10486 7081
+rect 10337 7050 10349 7053
+rect 10291 7044 10349 7050
+rect 10480 7041 10486 7053
+rect 10538 7041 10544 7093
+rect 13648 7081 13654 7093
+rect 13609 7053 13654 7081
+rect 13648 7041 13654 7053
+rect 13706 7041 13712 7093
+rect 14800 7041 14806 7093
+rect 14858 7081 14864 7093
+rect 15091 7084 15149 7090
+rect 15091 7081 15103 7084
+rect 14858 7053 15103 7081
+rect 14858 7041 14864 7053
+rect 15091 7050 15103 7053
+rect 15137 7050 15149 7084
+rect 15091 7044 15149 7050
+rect 15859 7084 15917 7090
+rect 15859 7050 15871 7084
+rect 15905 7081 15917 7084
+rect 15952 7081 15958 7093
+rect 15905 7053 15958 7081
+rect 15905 7050 15917 7053
+rect 15859 7044 15917 7050
+rect 15952 7041 15958 7053
+rect 16010 7041 16016 7093
+rect 17296 7041 17302 7093
+rect 17354 7081 17360 7093
+rect 18064 7081 18070 7093
+rect 17354 7053 17399 7081
+rect 18025 7053 18070 7081
+rect 17354 7041 17360 7053
+rect 18064 7041 18070 7053
+rect 18122 7041 18128 7093
+rect 18835 7084 18893 7090
+rect 18835 7050 18847 7084
+rect 18881 7081 18893 7084
+rect 18928 7081 18934 7093
+rect 18881 7053 18934 7081
+rect 18881 7050 18893 7053
+rect 18835 7044 18893 7050
+rect 18928 7041 18934 7053
+rect 18986 7041 18992 7093
+rect 20368 7081 20374 7093
+rect 20329 7053 20374 7081
+rect 20368 7041 20374 7053
+rect 20426 7041 20432 7093
+rect 21826 7090 21854 7127
+rect 32080 7115 32086 7127
+rect 32138 7155 32144 7167
+rect 32944 7155 32950 7167
+rect 32138 7127 32510 7155
+rect 32905 7127 32950 7155
+rect 32138 7115 32144 7127
+rect 21811 7084 21869 7090
+rect 21811 7050 21823 7084
+rect 21857 7050 21869 7084
+rect 22672 7081 22678 7093
+rect 22633 7053 22678 7081
+rect 21811 7044 21869 7050
+rect 22672 7041 22678 7053
+rect 22730 7041 22736 7093
+rect 24208 7081 24214 7093
+rect 24169 7053 24214 7081
+rect 24208 7041 24214 7053
+rect 24266 7041 24272 7093
+rect 25363 7084 25421 7090
+rect 25363 7050 25375 7084
+rect 25409 7081 25421 7084
+rect 25648 7081 25654 7093
+rect 25409 7053 25654 7081
+rect 25409 7050 25421 7053
+rect 25363 7044 25421 7050
+rect 25648 7041 25654 7053
+rect 25706 7041 25712 7093
+rect 26416 7081 26422 7093
+rect 26377 7053 26422 7081
+rect 26416 7041 26422 7053
+rect 26474 7041 26480 7093
+rect 26899 7084 26957 7090
+rect 26899 7050 26911 7084
+rect 26945 7081 26957 7084
+rect 27184 7081 27190 7093
+rect 26945 7053 27190 7081
+rect 26945 7050 26957 7053
+rect 26899 7044 26957 7050
+rect 27184 7041 27190 7053
+rect 27242 7041 27248 7093
+rect 27952 7081 27958 7093
+rect 27913 7053 27958 7081
+rect 27952 7041 27958 7053
+rect 28010 7041 28016 7093
+rect 28435 7084 28493 7090
+rect 28435 7050 28447 7084
+rect 28481 7081 28493 7084
+rect 28624 7081 28630 7093
+rect 28481 7053 28630 7081
+rect 28481 7050 28493 7053
+rect 28435 7044 28493 7050
+rect 28624 7041 28630 7053
+rect 28682 7041 28688 7093
+rect 30931 7084 30989 7090
+rect 30931 7050 30943 7084
+rect 30977 7081 30989 7084
+rect 31312 7081 31318 7093
+rect 30977 7053 31318 7081
+rect 30977 7050 30989 7053
+rect 30931 7044 30989 7050
+rect 31312 7041 31318 7053
+rect 31370 7041 31376 7093
+rect 31411 7084 31469 7090
+rect 31411 7050 31423 7084
+rect 31457 7081 31469 7084
+rect 31600 7081 31606 7093
+rect 31457 7053 31606 7081
+rect 31457 7050 31469 7053
+rect 31411 7044 31469 7050
+rect 31600 7041 31606 7053
+rect 31658 7041 31664 7093
+rect 32482 7090 32510 7127
+rect 32944 7115 32950 7127
+rect 33002 7115 33008 7167
+rect 35920 7155 35926 7167
+rect 35881 7127 35926 7155
+rect 35920 7115 35926 7127
+rect 35978 7115 35984 7167
+rect 37456 7115 37462 7167
+rect 37514 7155 37520 7167
+rect 42928 7155 42934 7167
+rect 37514 7127 37694 7155
+rect 37514 7115 37520 7127
+rect 32467 7084 32525 7090
+rect 32467 7050 32479 7084
+rect 32513 7050 32525 7084
+rect 32962 7081 32990 7115
+rect 33139 7084 33197 7090
+rect 33139 7081 33151 7084
+rect 32962 7053 33151 7081
+rect 32467 7044 32525 7050
+rect 33139 7050 33151 7053
+rect 33185 7050 33197 7084
+rect 33139 7044 33197 7050
+rect 33715 7084 33773 7090
+rect 33715 7050 33727 7084
+rect 33761 7081 33773 7084
+rect 33904 7081 33910 7093
+rect 33761 7053 33910 7081
+rect 33761 7050 33773 7053
+rect 33715 7044 33773 7050
+rect 33904 7041 33910 7053
+rect 33962 7041 33968 7093
+rect 34771 7084 34829 7090
+rect 34771 7050 34783 7084
+rect 34817 7081 34829 7084
+rect 34864 7081 34870 7093
+rect 34817 7053 34870 7081
+rect 34817 7050 34829 7053
+rect 34771 7044 34829 7050
+rect 34864 7041 34870 7053
+rect 34922 7041 34928 7093
+rect 35938 7081 35966 7115
+rect 37666 7090 37694 7127
+rect 38530 7127 42934 7155
+rect 38530 7090 38558 7127
+rect 42928 7115 42934 7127
+rect 42986 7115 42992 7167
+rect 43408 7155 43414 7167
+rect 43369 7127 43414 7155
+rect 43408 7115 43414 7127
+rect 43466 7155 43472 7167
+rect 46384 7155 46390 7167
+rect 43466 7127 43742 7155
+rect 46345 7127 46390 7155
+rect 43466 7115 43472 7127
+rect 36115 7084 36173 7090
+rect 36115 7081 36127 7084
+rect 35938 7053 36127 7081
+rect 36115 7050 36127 7053
+rect 36161 7050 36173 7084
+rect 36115 7044 36173 7050
+rect 37651 7084 37709 7090
+rect 37651 7050 37663 7084
+rect 37697 7050 37709 7084
+rect 37651 7044 37709 7050
+rect 38515 7084 38573 7090
+rect 38515 7050 38527 7084
+rect 38561 7050 38573 7084
+rect 40048 7081 40054 7093
+rect 40009 7053 40054 7081
+rect 38515 7044 38573 7050
+rect 40048 7041 40054 7053
+rect 40106 7041 40112 7093
+rect 41200 7041 41206 7093
+rect 41258 7081 41264 7093
+rect 42451 7084 42509 7090
+rect 42451 7081 42463 7084
+rect 41258 7053 42463 7081
+rect 41258 7041 41264 7053
+rect 42451 7050 42463 7053
+rect 42497 7050 42509 7084
+rect 43024 7081 43030 7093
+rect 42985 7053 43030 7081
+rect 42451 7044 42509 7050
+rect 43024 7041 43030 7053
+rect 43082 7041 43088 7093
+rect 43714 7090 43742 7127
+rect 46384 7115 46390 7127
+rect 46442 7155 46448 7167
+rect 46442 7127 46718 7155
+rect 46442 7115 46448 7127
+rect 43699 7084 43757 7090
+rect 43699 7050 43711 7084
+rect 43745 7050 43757 7084
+rect 44560 7081 44566 7093
+rect 44521 7053 44566 7081
+rect 43699 7044 43757 7050
+rect 44560 7041 44566 7053
+rect 44618 7041 44624 7093
+rect 45328 7081 45334 7093
+rect 45289 7053 45334 7081
+rect 45328 7041 45334 7053
+rect 45386 7041 45392 7093
+rect 46690 7090 46718 7127
+rect 46768 7115 46774 7167
+rect 46826 7155 46832 7167
+rect 47155 7158 47213 7164
+rect 47155 7155 47167 7158
+rect 46826 7127 47167 7155
+rect 46826 7115 46832 7127
+rect 47155 7124 47167 7127
+rect 47201 7155 47213 7158
+rect 48787 7158 48845 7164
+rect 47201 7127 47486 7155
+rect 47201 7124 47213 7127
+rect 47155 7118 47213 7124
+rect 47458 7090 47486 7127
+rect 48787 7124 48799 7158
+rect 48833 7155 48845 7158
+rect 48880 7155 48886 7167
+rect 48833 7127 48886 7155
+rect 48833 7124 48845 7127
+rect 48787 7118 48845 7124
+rect 48880 7115 48886 7127
+rect 48938 7155 48944 7167
+rect 48938 7127 49022 7155
+rect 48938 7115 48944 7127
+rect 46675 7084 46733 7090
+rect 46675 7050 46687 7084
+rect 46721 7050 46733 7084
+rect 46675 7044 46733 7050
+rect 47443 7084 47501 7090
+rect 47443 7050 47455 7084
+rect 47489 7050 47501 7084
+rect 47443 7044 47501 7050
+rect 48019 7084 48077 7090
+rect 48019 7050 48031 7084
+rect 48065 7081 48077 7084
+rect 48304 7081 48310 7093
+rect 48065 7053 48310 7081
+rect 48065 7050 48077 7053
+rect 48019 7044 48077 7050
+rect 48304 7041 48310 7053
+rect 48362 7041 48368 7093
+rect 48994 7090 49022 7127
+rect 48979 7084 49037 7090
+rect 48979 7050 48991 7084
+rect 49025 7050 49037 7084
+rect 48979 7044 49037 7050
+rect 49936 7041 49942 7093
+rect 49994 7081 50000 7093
+rect 50323 7084 50381 7090
+rect 50323 7081 50335 7084
+rect 49994 7053 50335 7081
+rect 49994 7041 50000 7053
+rect 50323 7050 50335 7053
+rect 50369 7050 50381 7084
+rect 52048 7081 52054 7093
+rect 52009 7053 52054 7081
+rect 50323 7044 50381 7050
+rect 52048 7041 52054 7053
+rect 52106 7041 52112 7093
+rect 52816 7081 52822 7093
+rect 52777 7053 52822 7081
+rect 52816 7041 52822 7053
+rect 52874 7041 52880 7093
+rect 54448 7081 54454 7093
+rect 52930 7053 54454 7081
+rect 1648 7007 1654 7019
+rect 1609 6979 1654 7007
+rect 1648 6967 1654 6979
+rect 1706 6967 1712 7019
+rect 2512 7007 2518 7019
+rect 2473 6979 2518 7007
+rect 2512 6967 2518 6979
+rect 2570 6967 2576 7019
+rect 4243 7010 4301 7016
+rect 4243 6976 4255 7010
+rect 4289 7007 4301 7010
+rect 4531 7010 4589 7016
+rect 4531 7007 4543 7010
+rect 4289 6979 4543 7007
+rect 4289 6976 4301 6979
+rect 4243 6970 4301 6976
+rect 4531 6976 4543 6979
+rect 4577 7007 4589 7010
+rect 6448 7007 6454 7019
+rect 4577 6979 6454 7007
+rect 4577 6976 4589 6979
+rect 4531 6970 4589 6976
+rect 6448 6967 6454 6979
+rect 6506 6967 6512 7019
+rect 7312 6967 7318 7019
+rect 7370 7007 7376 7019
+rect 7370 6979 8318 7007
+rect 7370 6967 7376 6979
+rect 4435 6936 4493 6942
+rect 4435 6902 4447 6936
+rect 4481 6902 4493 6936
+rect 4435 6896 4493 6902
+rect 5299 6936 5357 6942
+rect 5299 6902 5311 6936
+rect 5345 6902 5357 6936
+rect 5299 6896 5357 6902
+rect 4450 6785 4478 6896
+rect 5200 6785 5206 6797
+rect 4450 6757 5206 6785
+rect 5200 6745 5206 6757
+rect 5258 6745 5264 6797
+rect 5314 6785 5342 6896
+rect 5872 6893 5878 6945
+rect 5930 6933 5936 6945
+rect 5971 6936 6029 6942
+rect 5971 6933 5983 6936
+rect 5930 6905 5983 6933
+rect 5930 6893 5936 6905
+rect 5971 6902 5983 6905
+rect 6017 6902 6029 6936
+rect 5971 6896 6029 6902
+rect 6544 6893 6550 6945
+rect 6602 6933 6608 6945
+rect 6739 6936 6797 6942
+rect 6739 6933 6751 6936
+rect 6602 6905 6751 6933
+rect 6602 6893 6608 6905
+rect 6739 6902 6751 6905
+rect 6785 6902 6797 6936
+rect 6739 6896 6797 6902
+rect 6928 6893 6934 6945
+rect 6986 6933 6992 6945
+rect 8290 6942 8318 6979
+rect 8848 6967 8854 7019
+rect 8906 7007 8912 7019
+rect 11248 7007 11254 7019
+rect 8906 6979 10622 7007
+rect 11209 6979 11254 7007
+rect 8906 6967 8912 6979
+rect 7507 6936 7565 6942
+rect 7507 6933 7519 6936
+rect 6986 6905 7519 6933
+rect 6986 6893 6992 6905
+rect 7507 6902 7519 6905
+rect 7553 6902 7565 6936
+rect 7507 6896 7565 6902
+rect 8275 6936 8333 6942
+rect 8275 6902 8287 6936
+rect 8321 6902 8333 6936
+rect 9712 6933 9718 6945
+rect 9673 6905 9718 6933
+rect 8275 6896 8333 6902
+rect 9712 6893 9718 6905
+rect 9770 6893 9776 6945
+rect 10594 6942 10622 6979
+rect 11248 6967 11254 6979
+rect 11306 6967 11312 7019
+rect 12688 7007 12694 7019
+rect 12649 6979 12694 7007
+rect 12688 6967 12694 6979
+rect 12746 6967 12752 7019
+rect 21139 7010 21197 7016
+rect 21139 6976 21151 7010
+rect 21185 7007 21197 7010
+rect 21328 7007 21334 7019
+rect 21185 6979 21334 7007
+rect 21185 6976 21197 6979
+rect 21139 6970 21197 6976
+rect 21328 6967 21334 6979
+rect 21386 6967 21392 7019
+rect 23443 7010 23501 7016
+rect 23443 6976 23455 7010
+rect 23489 7007 23501 7010
+rect 38704 7007 38710 7019
+rect 23489 6979 38710 7007
+rect 23489 6976 23501 6979
+rect 23443 6970 23501 6976
+rect 38704 6967 38710 6979
+rect 38762 6967 38768 7019
+rect 39283 7010 39341 7016
+rect 39283 6976 39295 7010
+rect 39329 7007 39341 7010
+rect 52930 7007 52958 7053
+rect 54448 7041 54454 7053
+rect 54506 7041 54512 7093
+rect 39329 6979 52958 7007
+rect 54067 7010 54125 7016
+rect 39329 6976 39341 6979
+rect 39283 6970 39341 6976
+rect 54067 6976 54079 7010
+rect 54113 6976 54125 7010
+rect 54736 7007 54742 7019
+rect 54697 6979 54742 7007
+rect 54067 6970 54125 6976
+rect 10579 6936 10637 6942
+rect 10579 6902 10591 6936
+rect 10625 6902 10637 6936
+rect 10579 6896 10637 6902
+rect 13456 6893 13462 6945
+rect 13514 6933 13520 6945
+rect 13555 6936 13613 6942
+rect 13555 6933 13567 6936
+rect 13514 6905 13567 6933
+rect 13514 6893 13520 6905
+rect 13555 6902 13567 6905
+rect 13601 6902 13613 6936
+rect 13555 6896 13613 6902
+rect 14608 6893 14614 6945
+rect 14666 6933 14672 6945
+rect 14995 6936 15053 6942
+rect 14995 6933 15007 6936
+rect 14666 6905 15007 6933
+rect 14666 6893 14672 6905
+rect 14995 6902 15007 6905
+rect 15041 6902 15053 6936
+rect 14995 6896 15053 6902
+rect 15568 6893 15574 6945
+rect 15626 6933 15632 6945
+rect 15763 6936 15821 6942
+rect 15763 6933 15775 6936
+rect 15626 6905 15775 6933
+rect 15626 6893 15632 6905
+rect 15763 6902 15775 6905
+rect 15809 6902 15821 6936
+rect 15763 6896 15821 6902
+rect 17104 6893 17110 6945
+rect 17162 6933 17168 6945
+rect 17203 6936 17261 6942
+rect 17203 6933 17215 6936
+rect 17162 6905 17215 6933
+rect 17162 6893 17168 6905
+rect 17203 6902 17215 6905
+rect 17249 6902 17261 6936
+rect 17203 6896 17261 6902
+rect 17872 6893 17878 6945
+rect 17930 6933 17936 6945
+rect 17971 6936 18029 6942
+rect 17971 6933 17983 6936
+rect 17930 6905 17983 6933
+rect 17930 6893 17936 6905
+rect 17971 6902 17983 6905
+rect 18017 6902 18029 6936
+rect 17971 6896 18029 6902
+rect 18544 6893 18550 6945
+rect 18602 6933 18608 6945
+rect 18739 6936 18797 6942
+rect 18739 6933 18751 6936
+rect 18602 6905 18751 6933
+rect 18602 6893 18608 6905
+rect 18739 6902 18751 6905
+rect 18785 6902 18797 6936
+rect 18739 6896 18797 6902
+rect 20080 6893 20086 6945
+rect 20138 6933 20144 6945
+rect 20275 6936 20333 6942
+rect 20275 6933 20287 6936
+rect 20138 6905 20287 6933
+rect 20138 6893 20144 6905
+rect 20275 6902 20287 6905
+rect 20321 6902 20333 6936
+rect 20275 6896 20333 6902
+rect 20464 6893 20470 6945
+rect 20522 6933 20528 6945
+rect 21043 6936 21101 6942
+rect 21043 6933 21055 6936
+rect 20522 6905 21055 6933
+rect 20522 6893 20528 6905
+rect 21043 6902 21055 6905
+rect 21089 6902 21101 6936
+rect 21043 6896 21101 6902
+rect 21232 6893 21238 6945
+rect 21290 6933 21296 6945
+rect 21907 6936 21965 6942
+rect 21907 6933 21919 6936
+rect 21290 6905 21919 6933
+rect 21290 6893 21296 6905
+rect 21907 6902 21919 6905
+rect 21953 6902 21965 6936
+rect 21907 6896 21965 6902
+rect 22000 6893 22006 6945
+rect 22058 6933 22064 6945
+rect 22579 6936 22637 6942
+rect 22579 6933 22591 6936
+rect 22058 6905 22591 6933
+rect 22058 6893 22064 6905
+rect 22579 6902 22591 6905
+rect 22625 6902 22637 6936
+rect 22579 6896 22637 6902
+rect 22672 6893 22678 6945
+rect 22730 6933 22736 6945
+rect 23347 6936 23405 6942
+rect 23347 6933 23359 6936
+rect 22730 6905 23359 6933
+rect 22730 6893 22736 6905
+rect 23347 6902 23359 6905
+rect 23393 6902 23405 6936
+rect 23347 6896 23405 6902
+rect 24115 6936 24173 6942
+rect 24115 6902 24127 6936
+rect 24161 6902 24173 6936
+rect 24115 6896 24173 6902
+rect 7120 6819 7126 6871
+rect 7178 6859 7184 6871
+rect 7178 6831 12974 6859
+rect 7178 6819 7184 6831
+rect 10096 6785 10102 6797
+rect 5314 6757 10102 6785
+rect 10096 6745 10102 6757
+rect 10154 6745 10160 6797
+rect 12946 6785 12974 6831
+rect 14896 6785 14902 6797
+rect 12946 6757 14902 6785
+rect 14896 6745 14902 6757
+rect 14954 6745 14960 6797
+rect 23344 6745 23350 6797
+rect 23402 6785 23408 6797
+rect 24130 6785 24158 6896
+rect 24496 6893 24502 6945
+rect 24554 6933 24560 6945
+rect 25555 6936 25613 6942
+rect 25555 6933 25567 6936
+rect 24554 6905 25567 6933
+rect 24554 6893 24560 6905
+rect 25555 6902 25567 6905
+rect 25601 6902 25613 6936
+rect 25555 6896 25613 6902
+rect 26323 6936 26381 6942
+rect 26323 6902 26335 6936
+rect 26369 6902 26381 6936
+rect 27091 6936 27149 6942
+rect 27091 6933 27103 6936
+rect 26323 6896 26381 6902
+rect 26434 6905 27103 6933
+rect 25168 6819 25174 6871
+rect 25226 6859 25232 6871
+rect 26338 6859 26366 6896
+rect 25226 6831 26366 6859
+rect 25226 6819 25232 6831
+rect 23402 6757 24158 6785
+rect 23402 6745 23408 6757
+rect 25936 6745 25942 6797
+rect 25994 6785 26000 6797
+rect 26434 6785 26462 6905
+rect 27091 6902 27103 6905
+rect 27137 6902 27149 6936
+rect 27859 6936 27917 6942
+rect 27859 6933 27871 6936
+rect 27091 6896 27149 6902
+rect 27346 6905 27871 6933
+rect 26992 6819 26998 6871
+rect 27050 6859 27056 6871
+rect 27346 6859 27374 6905
+rect 27859 6902 27871 6905
+rect 27905 6902 27917 6936
+rect 28723 6936 28781 6942
+rect 28723 6933 28735 6936
+rect 27859 6896 27917 6902
+rect 27970 6905 28735 6933
+rect 27050 6831 27374 6859
+rect 27050 6819 27056 6831
+rect 27760 6819 27766 6871
+rect 27818 6859 27824 6871
+rect 27970 6859 27998 6905
+rect 28723 6902 28735 6905
+rect 28769 6902 28781 6936
+rect 28723 6896 28781 6902
+rect 29395 6936 29453 6942
+rect 29395 6902 29407 6936
+rect 29441 6902 29453 6936
+rect 29395 6896 29453 6902
+rect 27818 6831 27998 6859
+rect 27818 6819 27824 6831
+rect 28528 6819 28534 6871
+rect 28586 6859 28592 6871
+rect 29410 6859 29438 6896
+rect 29488 6893 29494 6945
+rect 29546 6933 29552 6945
+rect 29546 6905 29591 6933
+rect 29546 6893 29552 6905
+rect 29968 6893 29974 6945
+rect 30026 6933 30032 6945
+rect 30835 6936 30893 6942
+rect 30835 6933 30847 6936
+rect 30026 6905 30847 6933
+rect 30026 6893 30032 6905
+rect 30835 6902 30847 6905
+rect 30881 6902 30893 6936
+rect 30835 6896 30893 6902
+rect 31699 6936 31757 6942
+rect 31699 6902 31711 6936
+rect 31745 6933 31757 6936
+rect 31792 6933 31798 6945
+rect 31745 6905 31798 6933
+rect 31745 6902 31757 6905
+rect 31699 6896 31757 6902
+rect 31792 6893 31798 6905
+rect 31850 6893 31856 6945
+rect 32368 6933 32374 6945
+rect 32329 6905 32374 6933
+rect 32368 6893 32374 6905
+rect 32426 6893 32432 6945
+rect 33235 6936 33293 6942
+rect 33235 6902 33247 6936
+rect 33281 6933 33293 6936
+rect 33424 6933 33430 6945
+rect 33281 6905 33430 6933
+rect 33281 6902 33293 6905
+rect 33235 6896 33293 6902
+rect 33424 6893 33430 6905
+rect 33482 6893 33488 6945
+rect 34000 6933 34006 6945
+rect 33961 6905 34006 6933
+rect 34000 6893 34006 6905
+rect 34058 6893 34064 6945
+rect 34096 6893 34102 6945
+rect 34154 6933 34160 6945
+rect 34675 6936 34733 6942
+rect 34675 6933 34687 6936
+rect 34154 6905 34687 6933
+rect 34154 6893 34160 6905
+rect 34675 6902 34687 6905
+rect 34721 6902 34733 6936
+rect 34675 6896 34733 6902
+rect 35536 6893 35542 6945
+rect 35594 6933 35600 6945
+rect 36211 6936 36269 6942
+rect 36211 6933 36223 6936
+rect 35594 6905 36223 6933
+rect 35594 6893 35600 6905
+rect 36211 6902 36223 6905
+rect 36257 6902 36269 6936
+rect 36211 6896 36269 6902
+rect 36400 6893 36406 6945
+rect 36458 6933 36464 6945
+rect 36883 6936 36941 6942
+rect 36883 6933 36895 6936
+rect 36458 6905 36895 6933
+rect 36458 6893 36464 6905
+rect 36883 6902 36895 6905
+rect 36929 6902 36941 6936
+rect 36883 6896 36941 6902
+rect 36979 6936 37037 6942
+rect 36979 6902 36991 6936
+rect 37025 6902 37037 6936
+rect 36979 6896 37037 6902
+rect 28586 6831 29438 6859
+rect 28586 6819 28592 6831
+rect 34288 6819 34294 6871
+rect 34346 6859 34352 6871
+rect 36994 6859 37022 6896
+rect 37072 6893 37078 6945
+rect 37130 6933 37136 6945
+rect 37747 6936 37805 6942
+rect 37130 6905 37598 6933
+rect 37130 6893 37136 6905
+rect 34346 6831 37022 6859
+rect 34346 6819 34352 6831
+rect 37360 6819 37366 6871
+rect 37418 6859 37424 6871
+rect 37570 6859 37598 6905
+rect 37747 6902 37759 6936
+rect 37793 6902 37805 6936
+rect 37747 6896 37805 6902
+rect 38419 6936 38477 6942
+rect 38419 6902 38431 6936
+rect 38465 6902 38477 6936
+rect 38419 6896 38477 6902
+rect 37762 6859 37790 6896
+rect 37418 6831 37502 6859
+rect 37570 6831 37790 6859
+rect 37418 6819 37424 6831
+rect 25994 6757 26462 6785
+rect 37474 6785 37502 6831
+rect 38434 6785 38462 6896
+rect 38512 6893 38518 6945
+rect 38570 6933 38576 6945
+rect 39187 6936 39245 6942
+rect 39187 6933 39199 6936
+rect 38570 6905 39199 6933
+rect 38570 6893 38576 6905
+rect 39187 6902 39199 6905
+rect 39233 6902 39245 6936
+rect 39955 6936 40013 6942
+rect 39955 6933 39967 6936
+rect 39187 6896 39245 6902
+rect 39298 6905 39967 6933
+rect 38608 6819 38614 6871
+rect 38666 6859 38672 6871
+rect 39298 6859 39326 6905
+rect 39955 6902 39967 6905
+rect 40001 6902 40013 6936
+rect 41395 6936 41453 6942
+rect 41395 6933 41407 6936
+rect 39955 6896 40013 6902
+rect 40066 6905 41407 6933
+rect 38666 6831 39326 6859
+rect 38666 6819 38672 6831
+rect 39856 6819 39862 6871
+rect 39914 6859 39920 6871
+rect 40066 6859 40094 6905
+rect 41395 6902 41407 6905
+rect 41441 6902 41453 6936
+rect 41395 6896 41453 6902
+rect 41491 6936 41549 6942
+rect 41491 6902 41503 6936
+rect 41537 6902 41549 6936
+rect 41491 6896 41549 6902
+rect 39914 6831 40094 6859
+rect 39914 6819 39920 6831
+rect 37474 6757 38462 6785
+rect 25994 6745 26000 6757
+rect 40432 6745 40438 6797
+rect 40490 6785 40496 6797
+rect 41506 6785 41534 6896
+rect 41584 6893 41590 6945
+rect 41642 6933 41648 6945
+rect 42163 6936 42221 6942
+rect 42163 6933 42175 6936
+rect 41642 6905 42175 6933
+rect 41642 6893 41648 6905
+rect 42163 6902 42175 6905
+rect 42209 6902 42221 6936
+rect 42163 6896 42221 6902
+rect 42259 6936 42317 6942
+rect 42259 6902 42271 6936
+rect 42305 6902 42317 6936
+rect 42259 6896 42317 6902
+rect 42451 6936 42509 6942
+rect 42451 6902 42463 6936
+rect 42497 6933 42509 6936
+rect 42931 6936 42989 6942
+rect 42931 6933 42943 6936
+rect 42497 6905 42943 6933
+rect 42497 6902 42509 6905
+rect 42451 6896 42509 6902
+rect 42931 6902 42943 6905
+rect 42977 6902 42989 6936
+rect 43795 6936 43853 6942
+rect 43795 6933 43807 6936
+rect 42931 6896 42989 6902
+rect 43042 6905 43807 6933
+rect 40490 6757 41534 6785
+rect 42274 6785 42302 6896
+rect 42832 6819 42838 6871
+rect 42890 6859 42896 6871
+rect 43042 6859 43070 6905
+rect 43795 6902 43807 6905
+rect 43841 6902 43853 6936
+rect 43795 6896 43853 6902
+rect 44467 6936 44525 6942
+rect 44467 6902 44479 6936
+rect 44513 6902 44525 6936
+rect 44467 6896 44525 6902
+rect 42890 6831 43070 6859
+rect 42890 6819 42896 6831
+rect 43600 6819 43606 6871
+rect 43658 6859 43664 6871
+rect 44482 6859 44510 6896
+rect 44560 6893 44566 6945
+rect 44618 6933 44624 6945
+rect 45235 6936 45293 6942
+rect 45235 6933 45247 6936
+rect 44618 6905 45247 6933
+rect 44618 6893 44624 6905
+rect 45235 6902 45247 6905
+rect 45281 6902 45293 6936
+rect 45235 6896 45293 6902
+rect 45328 6893 45334 6945
+rect 45386 6933 45392 6945
+rect 46771 6936 46829 6942
+rect 46771 6933 46783 6936
+rect 45386 6905 46783 6933
+rect 45386 6893 45392 6905
+rect 46771 6902 46783 6905
+rect 46817 6902 46829 6936
+rect 46771 6896 46829 6902
+rect 47056 6893 47062 6945
+rect 47114 6933 47120 6945
+rect 47539 6936 47597 6942
+rect 47539 6933 47551 6936
+rect 47114 6905 47551 6933
+rect 47114 6893 47120 6905
+rect 47539 6902 47551 6905
+rect 47585 6902 47597 6936
+rect 47539 6896 47597 6902
+rect 48211 6936 48269 6942
+rect 48211 6902 48223 6936
+rect 48257 6902 48269 6936
+rect 48211 6896 48269 6902
+rect 43658 6831 44510 6859
+rect 43658 6819 43664 6831
+rect 46864 6819 46870 6871
+rect 46922 6859 46928 6871
+rect 48226 6859 48254 6896
+rect 48304 6893 48310 6945
+rect 48362 6933 48368 6945
+rect 49075 6936 49133 6942
+rect 49075 6933 49087 6936
+rect 48362 6905 49087 6933
+rect 48362 6893 48368 6905
+rect 49075 6902 49087 6905
+rect 49121 6902 49133 6936
+rect 49075 6896 49133 6902
+rect 50128 6893 50134 6945
+rect 50186 6933 50192 6945
+rect 50227 6936 50285 6942
+rect 50227 6933 50239 6936
+rect 50186 6905 50239 6933
+rect 50186 6893 50192 6905
+rect 50227 6902 50239 6905
+rect 50273 6902 50285 6936
+rect 50227 6896 50285 6902
+rect 51376 6893 51382 6945
+rect 51434 6933 51440 6945
+rect 51955 6936 52013 6942
+rect 51955 6933 51967 6936
+rect 51434 6905 51967 6933
+rect 51434 6893 51440 6905
+rect 51955 6902 51967 6905
+rect 52001 6902 52013 6936
+rect 51955 6896 52013 6902
+rect 52048 6893 52054 6945
+rect 52106 6933 52112 6945
+rect 52723 6936 52781 6942
+rect 52723 6933 52735 6936
+rect 52106 6905 52735 6933
+rect 52106 6893 52112 6905
+rect 52723 6902 52735 6905
+rect 52769 6902 52781 6936
+rect 54082 6933 54110 6970
+rect 54736 6967 54742 6979
+rect 54794 6967 54800 7019
+rect 55408 6967 55414 7019
+rect 55466 7007 55472 7019
+rect 55507 7010 55565 7016
+rect 55507 7007 55519 7010
+rect 55466 6979 55519 7007
+rect 55466 6967 55472 6979
+rect 55507 6976 55519 6979
+rect 55553 6976 55565 7010
+rect 55507 6970 55565 6976
+rect 57811 7010 57869 7016
+rect 57811 6976 57823 7010
+rect 57857 7007 57869 7010
+rect 58480 7007 58486 7019
+rect 57857 6979 58486 7007
+rect 57857 6976 57869 6979
+rect 57811 6970 57869 6976
+rect 58480 6967 58486 6979
+rect 58538 6967 58544 7019
+rect 56368 6933 56374 6945
+rect 54082 6905 56374 6933
+rect 52723 6896 52781 6902
+rect 56368 6893 56374 6905
+rect 56426 6893 56432 6945
+rect 46922 6831 48254 6859
+rect 46922 6819 46928 6831
+rect 57520 6785 57526 6797
+rect 42274 6757 57526 6785
+rect 40490 6745 40496 6757
+rect 57520 6745 57526 6757
+rect 57578 6745 57584 6797
+rect 1152 6686 58848 6708
+rect 1152 6634 4294 6686
+rect 4346 6634 4358 6686
+rect 4410 6634 4422 6686
+rect 4474 6634 4486 6686
+rect 4538 6634 35014 6686
+rect 35066 6634 35078 6686
+rect 35130 6634 35142 6686
+rect 35194 6634 35206 6686
+rect 35258 6634 58848 6686
+rect 1152 6612 58848 6634
+rect 18832 6563 18838 6575
+rect 7954 6535 8270 6563
+rect 18793 6535 18838 6563
+rect 5104 6449 5110 6501
+rect 5162 6489 5168 6501
+rect 7603 6492 7661 6498
+rect 5162 6461 7358 6489
+rect 5162 6449 5168 6461
+rect 5683 6418 5741 6424
+rect 5683 6384 5695 6418
+rect 5729 6415 5741 6418
+rect 6064 6415 6070 6427
+rect 5729 6387 6070 6415
+rect 5729 6384 5741 6387
+rect 5683 6378 5741 6384
+rect 6064 6375 6070 6387
+rect 6122 6375 6128 6427
+rect 6256 6375 6262 6427
+rect 6314 6415 6320 6427
+rect 7027 6418 7085 6424
+rect 7027 6415 7039 6418
+rect 6314 6387 7039 6415
+rect 6314 6375 6320 6387
+rect 7027 6384 7039 6387
+rect 7073 6384 7085 6418
+rect 7027 6378 7085 6384
+rect 7120 6375 7126 6427
+rect 7178 6415 7184 6427
+rect 7330 6415 7358 6461
+rect 7603 6458 7615 6492
+rect 7649 6489 7661 6492
+rect 7954 6489 7982 6535
+rect 7649 6461 7982 6489
+rect 8242 6489 8270 6535
+rect 18832 6523 18838 6535
+rect 18890 6563 18896 6575
+rect 22675 6566 22733 6572
+rect 18890 6535 19262 6563
+rect 18890 6523 18896 6535
+rect 9040 6489 9046 6501
+rect 8242 6461 9046 6489
+rect 7649 6458 7661 6461
+rect 7603 6452 7661 6458
+rect 9040 6449 9046 6461
+rect 9098 6449 9104 6501
+rect 13168 6449 13174 6501
+rect 13226 6489 13232 6501
+rect 13360 6489 13366 6501
+rect 13226 6461 13366 6489
+rect 13226 6449 13232 6461
+rect 13360 6449 13366 6461
+rect 13418 6449 13424 6501
+rect 8368 6415 8374 6427
+rect 7178 6387 7223 6415
+rect 7330 6387 7968 6415
+rect 8256 6387 8374 6415
+rect 7178 6375 7184 6387
+rect 8368 6375 8374 6387
+rect 8426 6375 8432 6427
+rect 15472 6415 15478 6427
+rect 15433 6387 15478 6415
+rect 15472 6375 15478 6387
+rect 15530 6375 15536 6427
+rect 16243 6418 16301 6424
+rect 16243 6384 16255 6418
+rect 16289 6415 16301 6418
+rect 16336 6415 16342 6427
+rect 16289 6387 16342 6415
+rect 16289 6384 16301 6387
+rect 16243 6378 16301 6384
+rect 16336 6375 16342 6387
+rect 16394 6375 16400 6427
+rect 17395 6418 17453 6424
+rect 17395 6384 17407 6418
+rect 17441 6415 17453 6418
+rect 17680 6415 17686 6427
+rect 17441 6387 17686 6415
+rect 17441 6384 17453 6387
+rect 17395 6378 17453 6384
+rect 17680 6375 17686 6387
+rect 17738 6375 17744 6427
+rect 18352 6375 18358 6427
+rect 18410 6415 18416 6427
+rect 19234 6424 19262 6535
+rect 22675 6532 22687 6566
+rect 22721 6563 22733 6566
+rect 22768 6563 22774 6575
+rect 22721 6535 22774 6563
+rect 22721 6532 22733 6535
+rect 22675 6526 22733 6532
+rect 22768 6523 22774 6535
+rect 22826 6563 22832 6575
+rect 22826 6535 23006 6563
+rect 22826 6523 22832 6535
+rect 18451 6418 18509 6424
+rect 18451 6415 18463 6418
+rect 18410 6387 18463 6415
+rect 18410 6375 18416 6387
+rect 18451 6384 18463 6387
+rect 18497 6384 18509 6418
+rect 18451 6378 18509 6384
+rect 19219 6418 19277 6424
+rect 19219 6384 19231 6418
+rect 19265 6384 19277 6418
+rect 19219 6378 19277 6384
+rect 19504 6375 19510 6427
+rect 19562 6415 19568 6427
+rect 19987 6418 20045 6424
+rect 19987 6415 19999 6418
+rect 19562 6387 19999 6415
+rect 19562 6375 19568 6387
+rect 19987 6384 19999 6387
+rect 20033 6384 20045 6418
+rect 20752 6415 20758 6427
+rect 20713 6387 20758 6415
+rect 19987 6378 20045 6384
+rect 20752 6375 20758 6387
+rect 20810 6375 20816 6427
+rect 21424 6375 21430 6427
+rect 21482 6415 21488 6427
+rect 22978 6424 23006 6535
+rect 29488 6523 29494 6575
+rect 29546 6563 29552 6575
+rect 35827 6566 35885 6572
+rect 35827 6563 35839 6566
+rect 29546 6535 35839 6563
+rect 29546 6523 29552 6535
+rect 35827 6532 35839 6535
+rect 35873 6532 35885 6566
+rect 40912 6563 40918 6575
+rect 40873 6535 40918 6563
+rect 35827 6526 35885 6532
+rect 40912 6523 40918 6535
+rect 40970 6563 40976 6575
+rect 42448 6563 42454 6575
+rect 40970 6535 41246 6563
+rect 42409 6535 42454 6563
+rect 40970 6523 40976 6535
+rect 26608 6449 26614 6501
+rect 26666 6489 26672 6501
+rect 26666 6461 34334 6489
+rect 26666 6449 26672 6461
+rect 21523 6418 21581 6424
+rect 21523 6415 21535 6418
+rect 21482 6387 21535 6415
+rect 21482 6375 21488 6387
+rect 21523 6384 21535 6387
+rect 21569 6384 21581 6418
+rect 21523 6378 21581 6384
+rect 22963 6418 23021 6424
+rect 22963 6384 22975 6418
+rect 23009 6384 23021 6418
+rect 22963 6378 23021 6384
+rect 24211 6418 24269 6424
+rect 24211 6384 24223 6418
+rect 24257 6415 24269 6418
+rect 24499 6418 24557 6424
+rect 24499 6415 24511 6418
+rect 24257 6387 24511 6415
+rect 24257 6384 24269 6387
+rect 24211 6378 24269 6384
+rect 24499 6384 24511 6387
+rect 24545 6415 24557 6418
+rect 24592 6415 24598 6427
+rect 24545 6387 24598 6415
+rect 24545 6384 24557 6387
+rect 24499 6378 24557 6384
+rect 24592 6375 24598 6387
+rect 24650 6375 24656 6427
+rect 28240 6415 28246 6427
+rect 28201 6387 28246 6415
+rect 28240 6375 28246 6387
+rect 28298 6375 28304 6427
+rect 28723 6418 28781 6424
+rect 28723 6384 28735 6418
+rect 28769 6415 28781 6418
+rect 29008 6415 29014 6427
+rect 28769 6387 29014 6415
+rect 28769 6384 28781 6387
+rect 28723 6378 28781 6384
+rect 29008 6375 29014 6387
+rect 29066 6375 29072 6427
+rect 30640 6415 30646 6427
+rect 30601 6387 30646 6415
+rect 30640 6375 30646 6387
+rect 30698 6375 30704 6427
+rect 32176 6415 32182 6427
+rect 32137 6387 32182 6415
+rect 32176 6375 32182 6387
+rect 32234 6375 32240 6427
+rect 33235 6418 33293 6424
+rect 33235 6384 33247 6418
+rect 33281 6415 33293 6418
+rect 33520 6415 33526 6427
+rect 33281 6387 33526 6415
+rect 33281 6384 33293 6387
+rect 33235 6378 33293 6384
+rect 33520 6375 33526 6387
+rect 33578 6375 33584 6427
+rect 34306 6424 34334 6461
+rect 34291 6418 34349 6424
+rect 34291 6384 34303 6418
+rect 34337 6384 34349 6418
+rect 34291 6378 34349 6384
+rect 35059 6418 35117 6424
+rect 35059 6384 35071 6418
+rect 35105 6384 35117 6418
+rect 35059 6378 35117 6384
+rect 36979 6418 37037 6424
+rect 36979 6384 36991 6418
+rect 37025 6415 37037 6418
+rect 37168 6415 37174 6427
+rect 37025 6387 37174 6415
+rect 37025 6384 37037 6387
+rect 36979 6378 37037 6384
+rect 1552 6341 1558 6353
+rect 1513 6313 1558 6341
+rect 1552 6301 1558 6313
+rect 1610 6301 1616 6353
+rect 2032 6301 2038 6353
+rect 2090 6341 2096 6353
+rect 2323 6344 2381 6350
+rect 2323 6341 2335 6344
+rect 2090 6313 2335 6341
+rect 2090 6301 2096 6313
+rect 2323 6310 2335 6313
+rect 2369 6310 2381 6344
+rect 3184 6341 3190 6353
+rect 3145 6313 3190 6341
+rect 2323 6304 2381 6310
+rect 3184 6301 3190 6313
+rect 3242 6301 3248 6353
+rect 3856 6301 3862 6353
+rect 3914 6341 3920 6353
+rect 3955 6344 4013 6350
+rect 3955 6341 3967 6344
+rect 3914 6313 3967 6341
+rect 3914 6301 3920 6313
+rect 3955 6310 3967 6313
+rect 4001 6310 4013 6344
+rect 3955 6304 4013 6310
+rect 4624 6301 4630 6353
+rect 4682 6341 4688 6353
+rect 4723 6344 4781 6350
+rect 4723 6341 4735 6344
+rect 4682 6313 4735 6341
+rect 4682 6301 4688 6313
+rect 4723 6310 4735 6313
+rect 4769 6310 4781 6344
+rect 4723 6304 4781 6310
+rect 6835 6344 6893 6350
+rect 6835 6310 6847 6344
+rect 6881 6341 6893 6344
+rect 7138 6341 7166 6375
+rect 9424 6341 9430 6353
+rect 6881 6313 7166 6341
+rect 9385 6313 9430 6341
+rect 6881 6310 6893 6313
+rect 6835 6304 6893 6310
+rect 9424 6301 9430 6313
+rect 9482 6301 9488 6353
+rect 10096 6301 10102 6353
+rect 10154 6341 10160 6353
+rect 10195 6344 10253 6350
+rect 10195 6341 10207 6344
+rect 10154 6313 10207 6341
+rect 10154 6301 10160 6313
+rect 10195 6310 10207 6313
+rect 10241 6310 10253 6344
+rect 10195 6304 10253 6310
+rect 10864 6301 10870 6353
+rect 10922 6341 10928 6353
+rect 10963 6344 11021 6350
+rect 10963 6341 10975 6344
+rect 10922 6313 10975 6341
+rect 10922 6301 10928 6313
+rect 10963 6310 10975 6313
+rect 11009 6310 11021 6344
+rect 10963 6304 11021 6310
+rect 11632 6301 11638 6353
+rect 11690 6341 11696 6353
+rect 12211 6344 12269 6350
+rect 12211 6341 12223 6344
+rect 11690 6313 12223 6341
+rect 11690 6301 11696 6313
+rect 12211 6310 12223 6313
+rect 12257 6310 12269 6344
+rect 12211 6304 12269 6310
+rect 13075 6344 13133 6350
+rect 13075 6310 13087 6344
+rect 13121 6341 13133 6344
+rect 13168 6341 13174 6353
+rect 13121 6313 13174 6341
+rect 13121 6310 13133 6313
+rect 13075 6304 13133 6310
+rect 13168 6301 13174 6313
+rect 13226 6301 13232 6353
+rect 19600 6301 19606 6353
+rect 19658 6341 19664 6353
+rect 19658 6313 21470 6341
+rect 19658 6301 19664 6313
+rect 13939 6270 13997 6276
+rect 13939 6236 13951 6270
+rect 13985 6267 13997 6270
+rect 14320 6267 14326 6279
+rect 13985 6239 14326 6267
+rect 13985 6236 13997 6239
+rect 13939 6230 13997 6236
+rect 14320 6227 14326 6239
+rect 14378 6227 14384 6279
+rect 14707 6270 14765 6276
+rect 14707 6236 14719 6270
+rect 14753 6267 14765 6270
+rect 14753 6239 17294 6267
+rect 14753 6236 14765 6239
+rect 14707 6230 14765 6236
+rect 14128 6153 14134 6205
+rect 14186 6193 14192 6205
+rect 17266 6193 17294 6239
+rect 19312 6227 19318 6279
+rect 19370 6267 19376 6279
+rect 19370 6239 20702 6267
+rect 19370 6227 19376 6239
+rect 18832 6193 18838 6205
+rect 14186 6165 15422 6193
+rect 17266 6165 18838 6193
+rect 14186 6153 14192 6165
+rect 5488 6079 5494 6131
+rect 5546 6119 5552 6131
+rect 5587 6122 5645 6128
+rect 5587 6119 5599 6122
+rect 5546 6091 5599 6119
+rect 5546 6079 5552 6091
+rect 5587 6088 5599 6091
+rect 5633 6088 5645 6122
+rect 13840 6119 13846 6131
+rect 13801 6091 13846 6119
+rect 5587 6082 5645 6088
+rect 13840 6079 13846 6091
+rect 13898 6079 13904 6131
+rect 14611 6122 14669 6128
+rect 14611 6088 14623 6122
+rect 14657 6119 14669 6122
+rect 14704 6119 14710 6131
+rect 14657 6091 14710 6119
+rect 14657 6088 14669 6091
+rect 14611 6082 14669 6088
+rect 14704 6079 14710 6091
+rect 14762 6079 14768 6131
+rect 15394 6128 15422 6165
+rect 18832 6153 18838 6165
+rect 18890 6153 18896 6205
+rect 18928 6153 18934 6205
+rect 18986 6193 18992 6205
+rect 18986 6165 19934 6193
+rect 18986 6153 18992 6165
+rect 15379 6122 15437 6128
+rect 15379 6088 15391 6122
+rect 15425 6088 15437 6122
+rect 15379 6082 15437 6088
+rect 15472 6079 15478 6131
+rect 15530 6119 15536 6131
+rect 16147 6122 16205 6128
+rect 16147 6119 16159 6122
+rect 15530 6091 16159 6119
+rect 15530 6079 15536 6091
+rect 16147 6088 16159 6091
+rect 16193 6088 16205 6122
+rect 16147 6082 16205 6088
+rect 16720 6079 16726 6131
+rect 16778 6119 16784 6131
+rect 17587 6122 17645 6128
+rect 17587 6119 17599 6122
+rect 16778 6091 17599 6119
+rect 16778 6079 16784 6091
+rect 17587 6088 17599 6091
+rect 17633 6088 17645 6122
+rect 17587 6082 17645 6088
+rect 18160 6079 18166 6131
+rect 18218 6119 18224 6131
+rect 18355 6122 18413 6128
+rect 18355 6119 18367 6122
+rect 18218 6091 18367 6119
+rect 18218 6079 18224 6091
+rect 18355 6088 18367 6091
+rect 18401 6088 18413 6122
+rect 18355 6082 18413 6088
+rect 18448 6079 18454 6131
+rect 18506 6119 18512 6131
+rect 19906 6128 19934 6165
+rect 20674 6128 20702 6239
+rect 21442 6128 21470 6313
+rect 22480 6301 22486 6353
+rect 22538 6341 22544 6353
+rect 23731 6344 23789 6350
+rect 23731 6341 23743 6344
+rect 22538 6313 23743 6341
+rect 22538 6301 22544 6313
+rect 23731 6310 23743 6313
+rect 23777 6310 23789 6344
+rect 25648 6341 25654 6353
+rect 25609 6313 25654 6341
+rect 23731 6304 23789 6310
+rect 25648 6301 25654 6313
+rect 25706 6301 25712 6353
+rect 26800 6341 26806 6353
+rect 26761 6313 26806 6341
+rect 26800 6301 26806 6313
+rect 26858 6301 26864 6353
+rect 29680 6341 29686 6353
+rect 29641 6313 29686 6341
+rect 29680 6301 29686 6313
+rect 29738 6301 29744 6353
+rect 31216 6341 31222 6353
+rect 31177 6313 31222 6341
+rect 31216 6301 31222 6313
+rect 31274 6301 31280 6353
+rect 34192 6301 34198 6353
+rect 34250 6341 34256 6353
+rect 35074 6341 35102 6378
+rect 37168 6375 37174 6387
+rect 37226 6375 37232 6427
+rect 41218 6424 41246 6535
+rect 42448 6523 42454 6535
+rect 42506 6563 42512 6575
+rect 42506 6535 42782 6563
+rect 42506 6523 42512 6535
+rect 42754 6424 42782 6535
+rect 41203 6418 41261 6424
+rect 41203 6384 41215 6418
+rect 41249 6384 41261 6418
+rect 41203 6378 41261 6384
+rect 42739 6418 42797 6424
+rect 42739 6384 42751 6418
+rect 42785 6384 42797 6418
+rect 42739 6378 42797 6384
+rect 43792 6375 43798 6427
+rect 43850 6415 43856 6427
+rect 44083 6418 44141 6424
+rect 44083 6415 44095 6418
+rect 43850 6387 44095 6415
+rect 43850 6375 43856 6387
+rect 44083 6384 44095 6387
+rect 44129 6384 44141 6418
+rect 50896 6415 50902 6427
+rect 50857 6387 50902 6415
+rect 44083 6378 44141 6384
+rect 50896 6375 50902 6387
+rect 50954 6375 50960 6427
+rect 52336 6375 52342 6427
+rect 52394 6415 52400 6427
+rect 52435 6418 52493 6424
+rect 52435 6415 52447 6418
+rect 52394 6387 52447 6415
+rect 52394 6375 52400 6387
+rect 52435 6384 52447 6387
+rect 52481 6384 52493 6418
+rect 56848 6415 56854 6427
+rect 52435 6378 52493 6384
+rect 53314 6387 56854 6415
+rect 36304 6341 36310 6353
+rect 34250 6313 35102 6341
+rect 36265 6313 36310 6341
+rect 34250 6301 34256 6313
+rect 36304 6301 36310 6313
+rect 36362 6301 36368 6353
+rect 38896 6341 38902 6353
+rect 38857 6313 38902 6341
+rect 38896 6301 38902 6313
+rect 38954 6301 38960 6353
+rect 40336 6341 40342 6353
+rect 40297 6313 40342 6341
+rect 40336 6301 40342 6313
+rect 40394 6301 40400 6353
+rect 41872 6341 41878 6353
+rect 41833 6313 41878 6341
+rect 41872 6301 41878 6313
+rect 41930 6301 41936 6353
+rect 45520 6341 45526 6353
+rect 45481 6313 45526 6341
+rect 45520 6301 45526 6313
+rect 45578 6301 45584 6353
+rect 46960 6341 46966 6353
+rect 46921 6313 46966 6341
+rect 46960 6301 46966 6313
+rect 47018 6301 47024 6353
+rect 47728 6341 47734 6353
+rect 47689 6313 47734 6341
+rect 47728 6301 47734 6313
+rect 47786 6301 47792 6353
+rect 48784 6301 48790 6353
+rect 48842 6341 48848 6353
+rect 49171 6344 49229 6350
+rect 49171 6341 49183 6344
+rect 48842 6313 49183 6341
+rect 48842 6301 48848 6313
+rect 49171 6310 49183 6313
+rect 49217 6310 49229 6344
+rect 49171 6304 49229 6310
+rect 49552 6301 49558 6353
+rect 49610 6341 49616 6353
+rect 53314 6350 53342 6387
+rect 56848 6375 56854 6387
+rect 56906 6375 56912 6427
+rect 49939 6344 49997 6350
+rect 49939 6341 49951 6344
+rect 49610 6313 49951 6341
+rect 49610 6301 49616 6313
+rect 49939 6310 49951 6313
+rect 49985 6310 49997 6344
+rect 49939 6304 49997 6310
+rect 53299 6344 53357 6350
+rect 53299 6310 53311 6344
+rect 53345 6310 53357 6344
+rect 53299 6304 53357 6310
+rect 53968 6301 53974 6353
+rect 54026 6341 54032 6353
+rect 54451 6344 54509 6350
+rect 54451 6341 54463 6344
+rect 54026 6313 54463 6341
+rect 54026 6301 54032 6313
+rect 54451 6310 54463 6313
+rect 54497 6310 54509 6344
+rect 54451 6304 54509 6310
+rect 55219 6344 55277 6350
+rect 55219 6310 55231 6344
+rect 55265 6310 55277 6344
+rect 55219 6304 55277 6310
+rect 55987 6344 56045 6350
+rect 55987 6310 55999 6344
+rect 56033 6310 56045 6344
+rect 55987 6304 56045 6310
+rect 57043 6344 57101 6350
+rect 57043 6310 57055 6344
+rect 57089 6310 57101 6344
+rect 57043 6304 57101 6310
+rect 57811 6344 57869 6350
+rect 57811 6310 57823 6344
+rect 57857 6341 57869 6344
+rect 58096 6341 58102 6353
+rect 57857 6313 58102 6341
+rect 57857 6310 57869 6313
+rect 57811 6304 57869 6310
+rect 22960 6227 22966 6279
+rect 23018 6267 23024 6279
+rect 34963 6270 35021 6276
+rect 34963 6267 34975 6270
+rect 23018 6239 24446 6267
+rect 23018 6227 23024 6239
+rect 22384 6153 22390 6205
+rect 22442 6193 22448 6205
+rect 22442 6165 23678 6193
+rect 22442 6153 22448 6165
+rect 19123 6122 19181 6128
+rect 19123 6119 19135 6122
+rect 18506 6091 19135 6119
+rect 18506 6079 18512 6091
+rect 19123 6088 19135 6091
+rect 19169 6088 19181 6122
+rect 19123 6082 19181 6088
+rect 19891 6122 19949 6128
+rect 19891 6088 19903 6122
+rect 19937 6088 19949 6122
+rect 19891 6082 19949 6088
+rect 20659 6122 20717 6128
+rect 20659 6088 20671 6122
+rect 20705 6088 20717 6122
+rect 20659 6082 20717 6088
+rect 21427 6122 21485 6128
+rect 21427 6088 21439 6122
+rect 21473 6088 21485 6122
+rect 21427 6082 21485 6088
+rect 21520 6079 21526 6131
+rect 21578 6119 21584 6131
+rect 23650 6128 23678 6165
+rect 24418 6128 24446 6239
+rect 34690 6239 34975 6267
+rect 27568 6153 27574 6205
+rect 27626 6193 27632 6205
+rect 27626 6165 28958 6193
+rect 27626 6153 27632 6165
+rect 22867 6122 22925 6128
+rect 22867 6119 22879 6122
+rect 21578 6091 22879 6119
+rect 21578 6079 21584 6091
+rect 22867 6088 22879 6091
+rect 22913 6088 22925 6122
+rect 22867 6082 22925 6088
+rect 23635 6122 23693 6128
+rect 23635 6088 23647 6122
+rect 23681 6088 23693 6122
+rect 23635 6082 23693 6088
+rect 24403 6122 24461 6128
+rect 24403 6088 24415 6122
+rect 24449 6088 24461 6122
+rect 24403 6082 24461 6088
+rect 26320 6079 26326 6131
+rect 26378 6119 26384 6131
+rect 28930 6128 28958 6165
+rect 32560 6153 32566 6205
+rect 32618 6193 32624 6205
+rect 32618 6165 34238 6193
+rect 32618 6153 32624 6165
+rect 28147 6122 28205 6128
+rect 28147 6119 28159 6122
+rect 26378 6091 28159 6119
+rect 26378 6079 26384 6091
+rect 28147 6088 28159 6091
+rect 28193 6088 28205 6122
+rect 28147 6082 28205 6088
+rect 28915 6122 28973 6128
+rect 28915 6088 28927 6122
+rect 28961 6088 28973 6122
+rect 28915 6082 28973 6088
+rect 29872 6079 29878 6131
+rect 29930 6119 29936 6131
+rect 30547 6122 30605 6128
+rect 30547 6119 30559 6122
+rect 29930 6091 30559 6119
+rect 29930 6079 29936 6091
+rect 30547 6088 30559 6091
+rect 30593 6088 30605 6122
+rect 30547 6082 30605 6088
+rect 30640 6079 30646 6131
+rect 30698 6119 30704 6131
+rect 32083 6122 32141 6128
+rect 32083 6119 32095 6122
+rect 30698 6091 32095 6119
+rect 30698 6079 30704 6091
+rect 32083 6088 32095 6091
+rect 32129 6088 32141 6122
+rect 32083 6082 32141 6088
+rect 33427 6122 33485 6128
+rect 33427 6088 33439 6122
+rect 33473 6119 33485 6122
+rect 33712 6119 33718 6131
+rect 33473 6091 33718 6119
+rect 33473 6088 33485 6091
+rect 33427 6082 33485 6088
+rect 33712 6079 33718 6091
+rect 33770 6079 33776 6131
+rect 34210 6128 34238 6165
+rect 34690 6131 34718 6239
+rect 34963 6236 34975 6239
+rect 35009 6236 35021 6270
+rect 34963 6230 35021 6236
+rect 44464 6227 44470 6279
+rect 44522 6267 44528 6279
+rect 44851 6270 44909 6276
+rect 44851 6267 44863 6270
+rect 44522 6239 44863 6267
+rect 44522 6227 44528 6239
+rect 44851 6236 44863 6239
+rect 44897 6236 44909 6270
+rect 44851 6230 44909 6236
+rect 45424 6227 45430 6279
+rect 45482 6267 45488 6279
+rect 51283 6270 51341 6276
+rect 51283 6267 51295 6270
+rect 45482 6239 51295 6267
+rect 45482 6227 45488 6239
+rect 51283 6236 51295 6239
+rect 51329 6267 51341 6270
+rect 51571 6270 51629 6276
+rect 51571 6267 51583 6270
+rect 51329 6239 51583 6267
+rect 51329 6236 51341 6239
+rect 51283 6230 51341 6236
+rect 51571 6236 51583 6239
+rect 51617 6236 51629 6270
+rect 51571 6230 51629 6236
+rect 54352 6227 54358 6279
+rect 54410 6267 54416 6279
+rect 55234 6267 55262 6304
+rect 54410 6239 55262 6267
+rect 54410 6227 54416 6239
+rect 40624 6153 40630 6205
+rect 40682 6193 40688 6205
+rect 40682 6165 41438 6193
+rect 40682 6153 40688 6165
+rect 34195 6122 34253 6128
+rect 34195 6088 34207 6122
+rect 34241 6088 34253 6122
+rect 34672 6119 34678 6131
+rect 34633 6091 34678 6119
+rect 34195 6082 34253 6088
+rect 34672 6079 34678 6091
+rect 34730 6079 34736 6131
+rect 35440 6079 35446 6131
+rect 35498 6119 35504 6131
+rect 37267 6122 37325 6128
+rect 37267 6119 37279 6122
+rect 35498 6091 37279 6119
+rect 35498 6079 35504 6091
+rect 37267 6088 37279 6091
+rect 37313 6088 37325 6122
+rect 37267 6082 37325 6088
+rect 39184 6079 39190 6131
+rect 39242 6119 39248 6131
+rect 41299 6122 41357 6128
+rect 41299 6119 41311 6122
+rect 39242 6091 41311 6119
+rect 39242 6079 39248 6091
+rect 41299 6088 41311 6091
+rect 41345 6088 41357 6122
+rect 41410 6119 41438 6165
+rect 42064 6153 42070 6205
+rect 42122 6193 42128 6205
+rect 42122 6165 44030 6193
+rect 42122 6153 42128 6165
+rect 44002 6128 44030 6165
+rect 51472 6153 51478 6205
+rect 51530 6193 51536 6205
+rect 51530 6165 52382 6193
+rect 51530 6153 51536 6165
+rect 42835 6122 42893 6128
+rect 42835 6119 42847 6122
+rect 41410 6091 42847 6119
+rect 41299 6082 41357 6088
+rect 42835 6088 42847 6091
+rect 42881 6088 42893 6122
+rect 42835 6082 42893 6088
+rect 43987 6122 44045 6128
+rect 43987 6088 43999 6122
+rect 44033 6088 44045 6122
+rect 43987 6082 44045 6088
+rect 44080 6079 44086 6131
+rect 44138 6119 44144 6131
+rect 44755 6122 44813 6128
+rect 44755 6119 44767 6122
+rect 44138 6091 44767 6119
+rect 44138 6079 44144 6091
+rect 44755 6088 44767 6091
+rect 44801 6088 44813 6122
+rect 44755 6082 44813 6088
+rect 49840 6079 49846 6131
+rect 49898 6119 49904 6131
+rect 50803 6122 50861 6128
+rect 50803 6119 50815 6122
+rect 49898 6091 50815 6119
+rect 49898 6079 49904 6091
+rect 50803 6088 50815 6091
+rect 50849 6088 50861 6122
+rect 50803 6082 50861 6088
+rect 51088 6079 51094 6131
+rect 51146 6119 51152 6131
+rect 52354 6128 52382 6165
+rect 55024 6153 55030 6205
+rect 55082 6193 55088 6205
+rect 56002 6193 56030 6304
+rect 57058 6267 57086 6304
+rect 58096 6301 58102 6313
+rect 58154 6301 58160 6353
+rect 58864 6267 58870 6279
+rect 57058 6239 58870 6267
+rect 58864 6227 58870 6239
+rect 58922 6227 58928 6279
+rect 55082 6165 56030 6193
+rect 55082 6153 55088 6165
+rect 51667 6122 51725 6128
+rect 51667 6119 51679 6122
+rect 51146 6091 51679 6119
+rect 51146 6079 51152 6091
+rect 51667 6088 51679 6091
+rect 51713 6088 51725 6122
+rect 51667 6082 51725 6088
+rect 52339 6122 52397 6128
+rect 52339 6088 52351 6122
+rect 52385 6088 52397 6122
+rect 52339 6082 52397 6088
+rect 1152 6020 58848 6042
+rect 1152 5968 19654 6020
+rect 19706 5968 19718 6020
+rect 19770 5968 19782 6020
+rect 19834 5968 19846 6020
+rect 19898 5968 50374 6020
+rect 50426 5968 50438 6020
+rect 50490 5968 50502 6020
+rect 50554 5968 50566 6020
+rect 50618 5968 58848 6020
+rect 1152 5946 58848 5968
+rect 2608 5857 2614 5909
+rect 2666 5897 2672 5909
+rect 8080 5897 8086 5909
+rect 2666 5869 8086 5897
+rect 2666 5857 2672 5869
+rect 8080 5857 8086 5869
+rect 8138 5857 8144 5909
+rect 18832 5857 18838 5909
+rect 18890 5897 18896 5909
+rect 29776 5897 29782 5909
+rect 18890 5869 29782 5897
+rect 18890 5857 18896 5869
+rect 29776 5857 29782 5869
+rect 29834 5857 29840 5909
+rect 34672 5783 34678 5835
+rect 34730 5783 34736 5835
+rect 5776 5749 5782 5761
+rect 5737 5721 5782 5749
+rect 5776 5709 5782 5721
+rect 5834 5749 5840 5761
+rect 6067 5752 6125 5758
+rect 6067 5749 6079 5752
+rect 5834 5721 6079 5749
+rect 5834 5709 5840 5721
+rect 6067 5718 6079 5721
+rect 6113 5718 6125 5752
+rect 6067 5712 6125 5718
+rect 7024 5709 7030 5761
+rect 7082 5749 7088 5761
+rect 34690 5749 34718 5783
+rect 41488 5749 41494 5761
+rect 7082 5721 34718 5749
+rect 37426 5721 41494 5749
+rect 7082 5709 7088 5721
+rect 1072 5635 1078 5687
+rect 1130 5675 1136 5687
+rect 1555 5678 1613 5684
+rect 1555 5675 1567 5678
+rect 1130 5647 1567 5675
+rect 1130 5635 1136 5647
+rect 1555 5644 1567 5647
+rect 1601 5644 1613 5678
+rect 1555 5638 1613 5644
+rect 2896 5635 2902 5687
+rect 2954 5675 2960 5687
+rect 4435 5678 4493 5684
+rect 2954 5647 2999 5675
+rect 2954 5635 2960 5647
+rect 4435 5644 4447 5678
+rect 4481 5675 4493 5678
+rect 4912 5675 4918 5687
+rect 4481 5647 4918 5675
+rect 4481 5644 4493 5647
+rect 4435 5638 4493 5644
+rect 4912 5635 4918 5647
+rect 4970 5635 4976 5687
+rect 5104 5675 5110 5687
+rect 5065 5647 5110 5675
+rect 5104 5635 5110 5647
+rect 5162 5635 5168 5687
+rect 6832 5675 6838 5687
+rect 6793 5647 6838 5675
+rect 6832 5635 6838 5647
+rect 6890 5635 6896 5687
+rect 7216 5635 7222 5687
+rect 7274 5675 7280 5687
+rect 7603 5678 7661 5684
+rect 7603 5675 7615 5678
+rect 7274 5647 7615 5675
+rect 7274 5635 7280 5647
+rect 7603 5644 7615 5647
+rect 7649 5644 7661 5678
+rect 7603 5638 7661 5644
+rect 8371 5678 8429 5684
+rect 8371 5644 8383 5678
+rect 8417 5644 8429 5678
+rect 8371 5638 8429 5644
+rect 5776 5561 5782 5613
+rect 5834 5601 5840 5613
+rect 5971 5604 6029 5610
+rect 5971 5601 5983 5604
+rect 5834 5573 5983 5601
+rect 5834 5561 5840 5573
+rect 5971 5570 5983 5573
+rect 6017 5570 6029 5604
+rect 5971 5564 6029 5570
+rect 3568 5487 3574 5539
+rect 3626 5527 3632 5539
+rect 7792 5527 7798 5539
+rect 3626 5499 7798 5527
+rect 3626 5487 3632 5499
+rect 7792 5487 7798 5499
+rect 7850 5487 7856 5539
+rect 7600 5413 7606 5465
+rect 7658 5453 7664 5465
+rect 8386 5453 8414 5638
+rect 8752 5635 8758 5687
+rect 8810 5675 8816 5687
+rect 9619 5678 9677 5684
+rect 9619 5675 9631 5678
+rect 8810 5647 9631 5675
+rect 8810 5635 8816 5647
+rect 9619 5644 9631 5647
+rect 9665 5644 9677 5678
+rect 9619 5638 9677 5644
+rect 10192 5635 10198 5687
+rect 10250 5675 10256 5687
+rect 10387 5678 10445 5684
+rect 10387 5675 10399 5678
+rect 10250 5647 10399 5675
+rect 10250 5635 10256 5647
+rect 10387 5644 10399 5647
+rect 10433 5644 10445 5678
+rect 10387 5638 10445 5644
+rect 10480 5635 10486 5687
+rect 10538 5675 10544 5687
+rect 11155 5678 11213 5684
+rect 11155 5675 11167 5678
+rect 10538 5647 11167 5675
+rect 10538 5635 10544 5647
+rect 11155 5644 11167 5647
+rect 11201 5644 11213 5678
+rect 12592 5675 12598 5687
+rect 12553 5647 12598 5675
+rect 11155 5638 11213 5644
+rect 12592 5635 12598 5647
+rect 12650 5635 12656 5687
+rect 13459 5678 13517 5684
+rect 13459 5644 13471 5678
+rect 13505 5675 13517 5678
+rect 13648 5675 13654 5687
+rect 13505 5647 13654 5675
+rect 13505 5644 13517 5647
+rect 13459 5638 13517 5644
+rect 13648 5635 13654 5647
+rect 13706 5635 13712 5687
+rect 14992 5675 14998 5687
+rect 14953 5647 14998 5675
+rect 14992 5635 14998 5647
+rect 15050 5635 15056 5687
+rect 15856 5675 15862 5687
+rect 15817 5647 15862 5675
+rect 15856 5635 15862 5647
+rect 15914 5635 15920 5687
+rect 16144 5635 16150 5687
+rect 16202 5675 16208 5687
+rect 16531 5678 16589 5684
+rect 16531 5675 16543 5678
+rect 16202 5647 16543 5675
+rect 16202 5635 16208 5647
+rect 16531 5644 16543 5647
+rect 16577 5644 16589 5678
+rect 16531 5638 16589 5644
+rect 17296 5635 17302 5687
+rect 17354 5675 17360 5687
+rect 18736 5675 18742 5687
+rect 17354 5647 17399 5675
+rect 18697 5647 18742 5675
+rect 17354 5635 17360 5647
+rect 18736 5635 18742 5647
+rect 18794 5635 18800 5687
+rect 20176 5675 20182 5687
+rect 20137 5647 20182 5675
+rect 20176 5635 20182 5647
+rect 20234 5635 20240 5687
+rect 20560 5635 20566 5687
+rect 20618 5675 20624 5687
+rect 20947 5678 21005 5684
+rect 20947 5675 20959 5678
+rect 20618 5647 20959 5675
+rect 20618 5635 20624 5647
+rect 20947 5644 20959 5647
+rect 20993 5644 21005 5678
+rect 21712 5675 21718 5687
+rect 21673 5647 21718 5675
+rect 20947 5638 21005 5644
+rect 21712 5635 21718 5647
+rect 21770 5635 21776 5687
+rect 22483 5678 22541 5684
+rect 22483 5644 22495 5678
+rect 22529 5644 22541 5678
+rect 22483 5638 22541 5644
+rect 21616 5561 21622 5613
+rect 21674 5601 21680 5613
+rect 22498 5601 22526 5638
+rect 23056 5635 23062 5687
+rect 23114 5675 23120 5687
+rect 23251 5678 23309 5684
+rect 23251 5675 23263 5678
+rect 23114 5647 23263 5675
+rect 23114 5635 23120 5647
+rect 23251 5644 23263 5647
+rect 23297 5644 23309 5678
+rect 23251 5638 23309 5644
+rect 23440 5635 23446 5687
+rect 23498 5675 23504 5687
+rect 24019 5678 24077 5684
+rect 24019 5675 24031 5678
+rect 23498 5647 24031 5675
+rect 23498 5635 23504 5647
+rect 24019 5644 24031 5647
+rect 24065 5644 24077 5678
+rect 24019 5638 24077 5644
+rect 24592 5635 24598 5687
+rect 24650 5675 24656 5687
+rect 25459 5678 25517 5684
+rect 25459 5675 25471 5678
+rect 24650 5647 25471 5675
+rect 24650 5635 24656 5647
+rect 25459 5644 25471 5647
+rect 25505 5644 25517 5678
+rect 26224 5675 26230 5687
+rect 26185 5647 26230 5675
+rect 25459 5638 25517 5644
+rect 26224 5635 26230 5647
+rect 26282 5635 26288 5687
+rect 26995 5678 27053 5684
+rect 26995 5644 27007 5678
+rect 27041 5644 27053 5678
+rect 26995 5638 27053 5644
+rect 21674 5573 22526 5601
+rect 21674 5561 21680 5573
+rect 26032 5561 26038 5613
+rect 26090 5601 26096 5613
+rect 27010 5601 27038 5638
+rect 27376 5635 27382 5687
+rect 27434 5675 27440 5687
+rect 27763 5678 27821 5684
+rect 27763 5675 27775 5678
+rect 27434 5647 27775 5675
+rect 27434 5635 27440 5647
+rect 27763 5644 27775 5647
+rect 27809 5644 27821 5678
+rect 27763 5638 27821 5644
+rect 27856 5635 27862 5687
+rect 27914 5675 27920 5687
+rect 28531 5678 28589 5684
+rect 28531 5675 28543 5678
+rect 27914 5647 28543 5675
+rect 27914 5635 27920 5647
+rect 28531 5644 28543 5647
+rect 28577 5644 28589 5678
+rect 28531 5638 28589 5644
+rect 28816 5635 28822 5687
+rect 28874 5675 28880 5687
+rect 29299 5678 29357 5684
+rect 29299 5675 29311 5678
+rect 28874 5647 29311 5675
+rect 28874 5635 28880 5647
+rect 29299 5644 29311 5647
+rect 29345 5644 29357 5678
+rect 29299 5638 29357 5644
+rect 30256 5635 30262 5687
+rect 30314 5675 30320 5687
+rect 30739 5678 30797 5684
+rect 30739 5675 30751 5678
+rect 30314 5647 30751 5675
+rect 30314 5635 30320 5647
+rect 30739 5644 30751 5647
+rect 30785 5644 30797 5678
+rect 30739 5638 30797 5644
+rect 30832 5635 30838 5687
+rect 30890 5675 30896 5687
+rect 31507 5678 31565 5684
+rect 31507 5675 31519 5678
+rect 30890 5647 31519 5675
+rect 30890 5635 30896 5647
+rect 31507 5644 31519 5647
+rect 31553 5644 31565 5678
+rect 31507 5638 31565 5644
+rect 31696 5635 31702 5687
+rect 31754 5675 31760 5687
+rect 32275 5678 32333 5684
+rect 32275 5675 32287 5678
+rect 31754 5647 32287 5675
+rect 31754 5635 31760 5647
+rect 32275 5644 32287 5647
+rect 32321 5644 32333 5678
+rect 33136 5675 33142 5687
+rect 33097 5647 33142 5675
+rect 32275 5638 32333 5644
+rect 33136 5635 33142 5647
+rect 33194 5635 33200 5687
+rect 33232 5635 33238 5687
+rect 33290 5675 33296 5687
+rect 33811 5678 33869 5684
+rect 33811 5675 33823 5678
+rect 33290 5647 33823 5675
+rect 33290 5635 33296 5647
+rect 33811 5644 33823 5647
+rect 33857 5644 33869 5678
+rect 34672 5675 34678 5687
+rect 34633 5647 34678 5675
+rect 33811 5638 33869 5644
+rect 34672 5635 34678 5647
+rect 34730 5635 34736 5687
+rect 36016 5675 36022 5687
+rect 35977 5647 36022 5675
+rect 36016 5635 36022 5647
+rect 36074 5635 36080 5687
+rect 36208 5635 36214 5687
+rect 36266 5675 36272 5687
+rect 36787 5678 36845 5684
+rect 36787 5675 36799 5678
+rect 36266 5647 36799 5675
+rect 36266 5635 36272 5647
+rect 36787 5644 36799 5647
+rect 36833 5644 36845 5678
+rect 36787 5638 36845 5644
+rect 37426 5601 37454 5721
+rect 41488 5709 41494 5721
+rect 41546 5709 41552 5761
+rect 37552 5675 37558 5687
+rect 37513 5647 37558 5675
+rect 37552 5635 37558 5647
+rect 37610 5635 37616 5687
+rect 38323 5678 38381 5684
+rect 38323 5644 38335 5678
+rect 38369 5644 38381 5678
+rect 39088 5675 39094 5687
+rect 39049 5647 39094 5675
+rect 38323 5638 38381 5644
+rect 26090 5573 27038 5601
+rect 27346 5573 37454 5601
+rect 26090 5561 26096 5573
+rect 21328 5487 21334 5539
+rect 21386 5527 21392 5539
+rect 27346 5527 27374 5573
+rect 21386 5499 27374 5527
+rect 21386 5487 21392 5499
+rect 37456 5487 37462 5539
+rect 37514 5527 37520 5539
+rect 38338 5527 38366 5638
+rect 39088 5635 39094 5647
+rect 39146 5635 39152 5687
+rect 39280 5635 39286 5687
+rect 39338 5675 39344 5687
+rect 39859 5678 39917 5684
+rect 39859 5675 39871 5678
+rect 39338 5647 39871 5675
+rect 39338 5635 39344 5647
+rect 39859 5644 39871 5647
+rect 39905 5644 39917 5678
+rect 39859 5638 39917 5644
+rect 40720 5635 40726 5687
+rect 40778 5675 40784 5687
+rect 41299 5678 41357 5684
+rect 41299 5675 41311 5678
+rect 40778 5647 41311 5675
+rect 40778 5635 40784 5647
+rect 41299 5644 41311 5647
+rect 41345 5644 41357 5678
+rect 41299 5638 41357 5644
+rect 41776 5635 41782 5687
+rect 41834 5675 41840 5687
+rect 42067 5678 42125 5684
+rect 42067 5675 42079 5678
+rect 41834 5647 42079 5675
+rect 41834 5635 41840 5647
+rect 42067 5644 42079 5647
+rect 42113 5644 42125 5678
+rect 42067 5638 42125 5644
+rect 42256 5635 42262 5687
+rect 42314 5675 42320 5687
+rect 42835 5678 42893 5684
+rect 42835 5675 42847 5678
+rect 42314 5647 42847 5675
+rect 42314 5635 42320 5647
+rect 42835 5644 42847 5647
+rect 42881 5644 42893 5678
+rect 42835 5638 42893 5644
+rect 43216 5635 43222 5687
+rect 43274 5675 43280 5687
+rect 43603 5678 43661 5684
+rect 43603 5675 43615 5678
+rect 43274 5647 43615 5675
+rect 43274 5635 43280 5647
+rect 43603 5644 43615 5647
+rect 43649 5644 43661 5678
+rect 43603 5638 43661 5644
+rect 43696 5635 43702 5687
+rect 43754 5675 43760 5687
+rect 44371 5678 44429 5684
+rect 44371 5675 44383 5678
+rect 43754 5647 44383 5675
+rect 43754 5635 43760 5647
+rect 44371 5644 44383 5647
+rect 44417 5644 44429 5678
+rect 45136 5675 45142 5687
+rect 45097 5647 45142 5675
+rect 44371 5638 44429 5644
+rect 45136 5635 45142 5647
+rect 45194 5635 45200 5687
+rect 46096 5635 46102 5687
+rect 46154 5675 46160 5687
+rect 46579 5678 46637 5684
+rect 46579 5675 46591 5678
+rect 46154 5647 46591 5675
+rect 46154 5635 46160 5647
+rect 46579 5644 46591 5647
+rect 46625 5644 46637 5678
+rect 46579 5638 46637 5644
+rect 46672 5635 46678 5687
+rect 46730 5675 46736 5687
+rect 47347 5678 47405 5684
+rect 47347 5675 47359 5678
+rect 46730 5647 47359 5675
+rect 46730 5635 46736 5647
+rect 47347 5644 47359 5647
+rect 47393 5644 47405 5678
+rect 47347 5638 47405 5644
+rect 47536 5635 47542 5687
+rect 47594 5675 47600 5687
+rect 48115 5678 48173 5684
+rect 48115 5675 48127 5678
+rect 47594 5647 48127 5675
+rect 47594 5635 47600 5647
+rect 48115 5644 48127 5647
+rect 48161 5644 48173 5678
+rect 48115 5638 48173 5644
+rect 48979 5678 49037 5684
+rect 48979 5644 48991 5678
+rect 49025 5675 49037 5678
+rect 49072 5675 49078 5687
+rect 49025 5647 49078 5675
+rect 49025 5644 49037 5647
+rect 48979 5638 49037 5644
+rect 49072 5635 49078 5647
+rect 49130 5635 49136 5687
+rect 49648 5675 49654 5687
+rect 49609 5647 49654 5675
+rect 49648 5635 49654 5647
+rect 49706 5635 49712 5687
+rect 50515 5678 50573 5684
+rect 50515 5644 50527 5678
+rect 50561 5675 50573 5678
+rect 50704 5675 50710 5687
+rect 50561 5647 50710 5675
+rect 50561 5644 50573 5647
+rect 50515 5638 50573 5644
+rect 50704 5635 50710 5647
+rect 50762 5635 50768 5687
+rect 52144 5675 52150 5687
+rect 52105 5647 52150 5675
+rect 52144 5635 52150 5647
+rect 52202 5635 52208 5687
+rect 52528 5635 52534 5687
+rect 52586 5675 52592 5687
+rect 52915 5678 52973 5684
+rect 52915 5675 52927 5678
+rect 52586 5647 52927 5675
+rect 52586 5635 52592 5647
+rect 52915 5644 52927 5647
+rect 52961 5644 52973 5678
+rect 53680 5675 53686 5687
+rect 53641 5647 53686 5675
+rect 52915 5638 52973 5644
+rect 53680 5635 53686 5647
+rect 53738 5635 53744 5687
+rect 54451 5678 54509 5684
+rect 54451 5644 54463 5678
+rect 54497 5644 54509 5678
+rect 54451 5638 54509 5644
+rect 55987 5678 56045 5684
+rect 55987 5644 55999 5678
+rect 56033 5644 56045 5678
+rect 57424 5675 57430 5687
+rect 57385 5647 57430 5675
+rect 55987 5638 56045 5644
+rect 53584 5561 53590 5613
+rect 53642 5601 53648 5613
+rect 54466 5601 54494 5638
+rect 53642 5573 54494 5601
+rect 56002 5601 56030 5638
+rect 57424 5635 57430 5647
+rect 57482 5635 57488 5687
+rect 59632 5601 59638 5613
+rect 56002 5573 59638 5601
+rect 53642 5561 53648 5573
+rect 59632 5561 59638 5573
+rect 59690 5561 59696 5613
+rect 37514 5499 38366 5527
+rect 37514 5487 37520 5499
+rect 7658 5425 8414 5453
+rect 12115 5456 12173 5462
+rect 7658 5413 7664 5425
+rect 12115 5422 12127 5456
+rect 12161 5453 12173 5456
+rect 22864 5453 22870 5465
+rect 12161 5425 22870 5453
+rect 12161 5422 12173 5425
+rect 12115 5416 12173 5422
+rect 22864 5413 22870 5425
+rect 22922 5413 22928 5465
+rect 1152 5354 58848 5376
+rect 1152 5302 4294 5354
+rect 4346 5302 4358 5354
+rect 4410 5302 4422 5354
+rect 4474 5302 4486 5354
+rect 4538 5302 35014 5354
+rect 35066 5302 35078 5354
+rect 35130 5302 35142 5354
+rect 35194 5302 35206 5354
+rect 35258 5302 58848 5354
+rect 1152 5280 58848 5302
+rect 4720 5191 4726 5243
+rect 4778 5231 4784 5243
+rect 7507 5234 7565 5240
+rect 7507 5231 7519 5234
+rect 4778 5203 7519 5231
+rect 4778 5191 4784 5203
+rect 7507 5200 7519 5203
+rect 7553 5231 7565 5234
+rect 7699 5234 7757 5240
+rect 7699 5231 7711 5234
+rect 7553 5203 7711 5231
+rect 7553 5200 7565 5203
+rect 7507 5194 7565 5200
+rect 7699 5200 7711 5203
+rect 7745 5200 7757 5234
+rect 7699 5194 7757 5200
+rect 8467 5234 8525 5240
+rect 8467 5200 8479 5234
+rect 8513 5231 8525 5234
+rect 8513 5203 8654 5231
+rect 8513 5200 8525 5203
+rect 8467 5194 8525 5200
+rect 8626 5143 8654 5203
+rect 59248 5157 59254 5169
+rect 55618 5129 59254 5157
+rect 304 4969 310 5021
+rect 362 5009 368 5021
+rect 1555 5012 1613 5018
+rect 1555 5009 1567 5012
+rect 362 4981 1567 5009
+rect 362 4969 368 4981
+rect 1555 4978 1567 4981
+rect 1601 4978 1613 5012
+rect 1555 4972 1613 4978
+rect 1840 4969 1846 5021
+rect 1898 5009 1904 5021
+rect 2323 5012 2381 5018
+rect 2323 5009 2335 5012
+rect 1898 4981 2335 5009
+rect 1898 4969 1904 4981
+rect 2323 4978 2335 4981
+rect 2369 4978 2381 5012
+rect 3088 5009 3094 5021
+rect 3049 4981 3094 5009
+rect 2323 4972 2381 4978
+rect 3088 4969 3094 4981
+rect 3146 4969 3152 5021
+rect 4144 5009 4150 5021
+rect 4105 4981 4150 5009
+rect 4144 4969 4150 4981
+rect 4202 4969 4208 5021
+rect 5392 5009 5398 5021
+rect 5353 4981 5398 5009
+rect 5392 4969 5398 4981
+rect 5450 4969 5456 5021
+rect 6064 4969 6070 5021
+rect 6122 5009 6128 5021
+rect 6931 5012 6989 5018
+rect 6931 5009 6943 5012
+rect 6122 4981 6943 5009
+rect 6122 4969 6128 4981
+rect 6931 4978 6943 4981
+rect 6977 4978 6989 5012
+rect 9232 5009 9238 5021
+rect 9193 4981 9238 5009
+rect 6931 4972 6989 4978
+rect 9232 4969 9238 4981
+rect 9290 4969 9296 5021
+rect 10099 5012 10157 5018
+rect 10099 4978 10111 5012
+rect 10145 5009 10157 5012
+rect 10576 5009 10582 5021
+rect 10145 4981 10582 5009
+rect 10145 4978 10157 4981
+rect 10099 4972 10157 4978
+rect 10576 4969 10582 4981
+rect 10634 4969 10640 5021
+rect 10867 5012 10925 5018
+rect 10867 4978 10879 5012
+rect 10913 5009 10925 5012
+rect 11056 5009 11062 5021
+rect 10913 4981 11062 5009
+rect 10913 4978 10925 4981
+rect 10867 4972 10925 4978
+rect 11056 4969 11062 4981
+rect 11114 4969 11120 5021
+rect 11824 4969 11830 5021
+rect 11882 5009 11888 5021
+rect 12211 5012 12269 5018
+rect 12211 5009 12223 5012
+rect 11882 4981 12223 5009
+rect 11882 4969 11888 4981
+rect 12211 4978 12223 4981
+rect 12257 4978 12269 5012
+rect 12976 5009 12982 5021
+rect 12937 4981 12982 5009
+rect 12211 4972 12269 4978
+rect 12976 4969 12982 4981
+rect 13034 4969 13040 5021
+rect 13936 5009 13942 5021
+rect 13897 4981 13942 5009
+rect 13936 4969 13942 4981
+rect 13994 4969 14000 5021
+rect 14416 4969 14422 5021
+rect 14474 5009 14480 5021
+rect 14707 5012 14765 5018
+rect 14707 5009 14719 5012
+rect 14474 4981 14719 5009
+rect 14474 4969 14480 4981
+rect 14707 4978 14719 4981
+rect 14753 4978 14765 5012
+rect 14707 4972 14765 4978
+rect 14896 4969 14902 5021
+rect 14954 5009 14960 5021
+rect 15475 5012 15533 5018
+rect 15475 5009 15487 5012
+rect 14954 4981 15487 5009
+rect 14954 4969 14960 4981
+rect 15475 4978 15487 4981
+rect 15521 4978 15533 5012
+rect 16240 5009 16246 5021
+rect 16201 4981 16246 5009
+rect 15475 4972 15533 4978
+rect 16240 4969 16246 4981
+rect 16298 4969 16304 5021
+rect 17488 5009 17494 5021
+rect 17449 4981 17494 5009
+rect 17488 4969 17494 4981
+rect 17546 4969 17552 5021
+rect 17968 4969 17974 5021
+rect 18026 5009 18032 5021
+rect 18259 5012 18317 5018
+rect 18259 5009 18271 5012
+rect 18026 4981 18271 5009
+rect 18026 4969 18032 4981
+rect 18259 4978 18271 4981
+rect 18305 4978 18317 5012
+rect 18259 4972 18317 4978
+rect 18832 4969 18838 5021
+rect 18890 5009 18896 5021
+rect 19027 5012 19085 5018
+rect 19027 5009 19039 5012
+rect 18890 4981 19039 5009
+rect 18890 4969 18896 4981
+rect 19027 4978 19039 4981
+rect 19073 4978 19085 5012
+rect 19027 4972 19085 4978
+rect 19795 5012 19853 5018
+rect 19795 4978 19807 5012
+rect 19841 4978 19853 5012
+rect 19795 4972 19853 4978
+rect 7942 4947 7994 4953
+rect 7942 4889 7994 4895
+rect 8080 4821 8086 4873
+rect 8138 4861 8144 4873
+rect 8138 4833 8256 4861
+rect 8138 4821 8144 4833
+rect 19024 4821 19030 4873
+rect 19082 4861 19088 4873
+rect 19810 4861 19838 4972
+rect 20368 4969 20374 5021
+rect 20426 5009 20432 5021
+rect 20563 5012 20621 5018
+rect 20563 5009 20575 5012
+rect 20426 4981 20575 5009
+rect 20426 4969 20432 4981
+rect 20563 4978 20575 4981
+rect 20609 4978 20621 5012
+rect 20563 4972 20621 4978
+rect 20944 4969 20950 5021
+rect 21002 5009 21008 5021
+rect 21331 5012 21389 5018
+rect 21331 5009 21343 5012
+rect 21002 4981 21343 5009
+rect 21002 4969 21008 4981
+rect 21331 4978 21343 4981
+rect 21377 4978 21389 5012
+rect 22768 5009 22774 5021
+rect 22729 4981 22774 5009
+rect 21331 4972 21389 4978
+rect 22768 4969 22774 4981
+rect 22826 4969 22832 5021
+rect 23536 5009 23542 5021
+rect 23497 4981 23542 5009
+rect 23536 4969 23542 4981
+rect 23594 4969 23600 5021
+rect 24307 5012 24365 5018
+rect 24307 4978 24319 5012
+rect 24353 4978 24365 5012
+rect 25072 5009 25078 5021
+rect 25033 4981 25078 5009
+rect 24307 4972 24365 4978
+rect 23152 4895 23158 4947
+rect 23210 4935 23216 4947
+rect 24322 4935 24350 4972
+rect 25072 4969 25078 4981
+rect 25130 4969 25136 5021
+rect 25840 5009 25846 5021
+rect 25801 4981 25846 5009
+rect 25840 4969 25846 4981
+rect 25898 4969 25904 5021
+rect 26608 5009 26614 5021
+rect 26569 4981 26614 5009
+rect 26608 4969 26614 4981
+rect 26666 4969 26672 5021
+rect 28048 5009 28054 5021
+rect 28009 4981 28054 5009
+rect 28048 4969 28054 4981
+rect 28106 4969 28112 5021
+rect 28912 5009 28918 5021
+rect 28873 4981 28918 5009
+rect 28912 4969 28918 4981
+rect 28970 4969 28976 5021
+rect 29296 4969 29302 5021
+rect 29354 5009 29360 5021
+rect 29587 5012 29645 5018
+rect 29587 5009 29599 5012
+rect 29354 4981 29599 5009
+rect 29354 4969 29360 4981
+rect 29587 4978 29599 4981
+rect 29633 4978 29645 5012
+rect 30352 5009 30358 5021
+rect 30313 4981 30358 5009
+rect 29587 4972 29645 4978
+rect 30352 4969 30358 4981
+rect 30410 4969 30416 5021
+rect 31120 5009 31126 5021
+rect 31081 4981 31126 5009
+rect 31120 4969 31126 4981
+rect 31178 4969 31184 5021
+rect 31888 5009 31894 5021
+rect 31849 4981 31894 5009
+rect 31888 4969 31894 4981
+rect 31946 4969 31952 5021
+rect 33328 5009 33334 5021
+rect 33289 4981 33334 5009
+rect 33328 4969 33334 4981
+rect 33386 4969 33392 5021
+rect 33424 4969 33430 5021
+rect 33482 5009 33488 5021
+rect 34099 5012 34157 5018
+rect 34099 5009 34111 5012
+rect 33482 4981 34111 5009
+rect 33482 4969 33488 4981
+rect 34099 4978 34111 4981
+rect 34145 4978 34157 5012
+rect 34864 5009 34870 5021
+rect 34825 4981 34870 5009
+rect 34099 4972 34157 4978
+rect 34864 4969 34870 4981
+rect 34922 4969 34928 5021
+rect 35632 5009 35638 5021
+rect 35593 4981 35638 5009
+rect 35632 4969 35638 4981
+rect 35690 4969 35696 5021
+rect 36112 4969 36118 5021
+rect 36170 5009 36176 5021
+rect 36403 5012 36461 5018
+rect 36403 5009 36415 5012
+rect 36170 4981 36415 5009
+rect 36170 4969 36176 4981
+rect 36403 4978 36415 4981
+rect 36449 4978 36461 5012
+rect 36403 4972 36461 4978
+rect 36880 4969 36886 5021
+rect 36938 5009 36944 5021
+rect 37171 5012 37229 5018
+rect 37171 5009 37183 5012
+rect 36938 4981 37183 5009
+rect 36938 4969 36944 4981
+rect 37171 4978 37183 4981
+rect 37217 4978 37229 5012
+rect 38608 5009 38614 5021
+rect 38569 4981 38614 5009
+rect 37171 4972 37229 4978
+rect 38608 4969 38614 4981
+rect 38666 4969 38672 5021
+rect 39376 5009 39382 5021
+rect 39337 4981 39382 5009
+rect 39376 4969 39382 4981
+rect 39434 4969 39440 5021
+rect 40144 5009 40150 5021
+rect 40105 4981 40150 5009
+rect 40144 4969 40150 4981
+rect 40202 4969 40208 5021
+rect 40912 5009 40918 5021
+rect 40873 4981 40918 5009
+rect 40912 4969 40918 4981
+rect 40970 4969 40976 5021
+rect 41680 5009 41686 5021
+rect 41641 4981 41686 5009
+rect 41680 4969 41686 4981
+rect 41738 4969 41744 5021
+rect 42448 5009 42454 5021
+rect 42409 4981 42454 5009
+rect 42448 4969 42454 4981
+rect 42506 4969 42512 5021
+rect 43312 4969 43318 5021
+rect 43370 5009 43376 5021
+rect 43891 5012 43949 5018
+rect 43891 5009 43903 5012
+rect 43370 4981 43903 5009
+rect 43370 4969 43376 4981
+rect 43891 4978 43903 4981
+rect 43937 4978 43949 5012
+rect 44752 5009 44758 5021
+rect 44713 4981 44758 5009
+rect 43891 4972 43949 4978
+rect 44752 4969 44758 4981
+rect 44810 4969 44816 5021
+rect 45424 5009 45430 5021
+rect 45385 4981 45430 5009
+rect 45424 4969 45430 4981
+rect 45482 4969 45488 5021
+rect 46192 5009 46198 5021
+rect 46153 4981 46198 5009
+rect 46192 4969 46198 4981
+rect 46250 4969 46256 5021
+rect 46288 4969 46294 5021
+rect 46346 5009 46352 5021
+rect 46963 5012 47021 5018
+rect 46963 5009 46975 5012
+rect 46346 4981 46975 5009
+rect 46346 4969 46352 4981
+rect 46963 4978 46975 4981
+rect 47009 4978 47021 5012
+rect 46963 4972 47021 4978
+rect 47632 4969 47638 5021
+rect 47690 5009 47696 5021
+rect 47731 5012 47789 5018
+rect 47731 5009 47743 5012
+rect 47690 4981 47743 5009
+rect 47690 4969 47696 4981
+rect 47731 4978 47743 4981
+rect 47777 4978 47789 5012
+rect 49360 5009 49366 5021
+rect 49321 4981 49366 5009
+rect 47731 4972 47789 4978
+rect 49360 4969 49366 4981
+rect 49418 4969 49424 5021
+rect 50416 5009 50422 5021
+rect 50377 4981 50422 5009
+rect 50416 4969 50422 4981
+rect 50474 4969 50480 5021
+rect 50896 4969 50902 5021
+rect 50954 5009 50960 5021
+rect 51091 5012 51149 5018
+rect 51091 5009 51103 5012
+rect 50954 4981 51103 5009
+rect 50954 4969 50960 4981
+rect 51091 4978 51103 4981
+rect 51137 4978 51149 5012
+rect 51856 5009 51862 5021
+rect 51817 4981 51862 5009
+rect 51091 4972 51149 4978
+rect 51856 4969 51862 4981
+rect 51914 4969 51920 5021
+rect 52240 4969 52246 5021
+rect 52298 5009 52304 5021
+rect 52627 5012 52685 5018
+rect 52627 5009 52639 5012
+rect 52298 4981 52639 5009
+rect 52298 4969 52304 4981
+rect 52627 4978 52639 4981
+rect 52673 4978 52685 5012
+rect 52627 4972 52685 4978
+rect 53296 4969 53302 5021
+rect 53354 5009 53360 5021
+rect 55618 5018 55646 5129
+rect 59248 5117 59254 5129
+rect 59306 5117 59312 5169
+rect 57808 5083 57814 5095
+rect 56386 5055 57814 5083
+rect 56386 5018 56414 5055
+rect 57808 5043 57814 5055
+rect 57866 5043 57872 5095
+rect 54451 5012 54509 5018
+rect 54451 5009 54463 5012
+rect 53354 4981 54463 5009
+rect 53354 4969 53360 4981
+rect 54451 4978 54463 4981
+rect 54497 4978 54509 5012
+rect 54451 4972 54509 4978
+rect 55603 5012 55661 5018
+rect 55603 4978 55615 5012
+rect 55649 4978 55661 5012
+rect 55603 4972 55661 4978
+rect 56371 5012 56429 5018
+rect 56371 4978 56383 5012
+rect 56417 4978 56429 5012
+rect 57040 5009 57046 5021
+rect 57001 4981 57046 5009
+rect 56371 4972 56429 4978
+rect 57040 4969 57046 4981
+rect 57098 4969 57104 5021
+rect 23210 4907 24350 4935
+rect 23210 4895 23216 4907
+rect 35344 4895 35350 4947
+rect 35402 4935 35408 4947
+rect 58003 4938 58061 4944
+rect 58003 4935 58015 4938
+rect 35402 4907 58015 4935
+rect 35402 4895 35408 4907
+rect 58003 4904 58015 4907
+rect 58049 4904 58061 4938
+rect 58003 4898 58061 4904
+rect 19082 4833 19838 4861
+rect 19082 4821 19088 4833
+rect 1152 4688 58848 4710
+rect 1152 4636 19654 4688
+rect 19706 4636 19718 4688
+rect 19770 4636 19782 4688
+rect 19834 4636 19846 4688
+rect 19898 4636 50374 4688
+rect 50426 4636 50438 4688
+rect 50490 4636 50502 4688
+rect 50554 4636 50566 4688
+rect 50618 4636 58848 4688
+rect 1152 4614 58848 4636
+rect 15664 4525 15670 4577
+rect 15722 4565 15728 4577
+rect 15763 4568 15821 4574
+rect 15763 4565 15775 4568
+rect 15722 4537 15775 4565
+rect 15722 4525 15728 4537
+rect 15763 4534 15775 4537
+rect 15809 4534 15821 4568
+rect 16528 4565 16534 4577
+rect 16489 4537 16534 4565
+rect 15763 4528 15821 4534
+rect 16528 4525 16534 4537
+rect 16586 4525 16592 4577
+rect 22483 4568 22541 4574
+rect 22483 4534 22495 4568
+rect 22529 4565 22541 4568
+rect 22771 4568 22829 4574
+rect 22771 4565 22783 4568
+rect 22529 4537 22783 4565
+rect 22529 4534 22541 4537
+rect 22483 4528 22541 4534
+rect 22771 4534 22783 4537
+rect 22817 4565 22829 4568
+rect 27472 4565 27478 4577
+rect 22817 4537 27478 4565
+rect 22817 4534 22829 4537
+rect 22771 4528 22829 4534
+rect 27472 4525 27478 4537
+rect 27530 4525 27536 4577
+rect 16624 4451 16630 4503
+rect 16682 4491 16688 4503
+rect 17680 4491 17686 4503
+rect 16682 4463 17686 4491
+rect 16682 4451 16688 4463
+rect 17680 4451 17686 4463
+rect 17738 4451 17744 4503
+rect 784 4377 790 4429
+rect 842 4417 848 4429
+rect 842 4389 2366 4417
+rect 842 4377 848 4389
+rect 1168 4303 1174 4355
+rect 1226 4343 1232 4355
+rect 2338 4352 2366 4389
+rect 14224 4377 14230 4429
+rect 14282 4417 14288 4429
+rect 16816 4417 16822 4429
+rect 14282 4389 16822 4417
+rect 14282 4377 14288 4389
+rect 16816 4377 16822 4389
+rect 16874 4377 16880 4429
+rect 48976 4377 48982 4429
+rect 49034 4417 49040 4429
+rect 49034 4389 49886 4417
+rect 49034 4377 49040 4389
+rect 1555 4346 1613 4352
+rect 1555 4343 1567 4346
+rect 1226 4315 1567 4343
+rect 1226 4303 1232 4315
+rect 1555 4312 1567 4315
+rect 1601 4312 1613 4346
+rect 1555 4306 1613 4312
+rect 2323 4346 2381 4352
+rect 2323 4312 2335 4346
+rect 2369 4312 2381 4346
+rect 3091 4346 3149 4352
+rect 3091 4343 3103 4346
+rect 2323 4306 2381 4312
+rect 2866 4315 3103 4343
+rect 1360 4229 1366 4281
+rect 1418 4269 1424 4281
+rect 2866 4269 2894 4315
+rect 3091 4312 3103 4315
+rect 3137 4312 3149 4346
+rect 3091 4306 3149 4312
+rect 4339 4346 4397 4352
+rect 4339 4312 4351 4346
+rect 4385 4312 4397 4346
+rect 4339 4306 4397 4312
+rect 1418 4241 2894 4269
+rect 1418 4229 1424 4241
+rect 3760 4229 3766 4281
+rect 3818 4269 3824 4281
+rect 4354 4269 4382 4306
+rect 4720 4303 4726 4355
+rect 4778 4343 4784 4355
+rect 5107 4346 5165 4352
+rect 5107 4343 5119 4346
+rect 4778 4315 5119 4343
+rect 4778 4303 4784 4315
+rect 5107 4312 5119 4315
+rect 5153 4312 5165 4346
+rect 5875 4346 5933 4352
+rect 5875 4343 5887 4346
+rect 5107 4306 5165 4312
+rect 5602 4315 5887 4343
+rect 3818 4241 4382 4269
+rect 3818 4229 3824 4241
+rect 5008 4229 5014 4281
+rect 5066 4269 5072 4281
+rect 5602 4269 5630 4315
+rect 5875 4312 5887 4315
+rect 5921 4312 5933 4346
+rect 5875 4306 5933 4312
+rect 6643 4346 6701 4352
+rect 6643 4312 6655 4346
+rect 6689 4312 6701 4346
+rect 7408 4343 7414 4355
+rect 7369 4315 7414 4343
+rect 6643 4306 6701 4312
+rect 5066 4241 5630 4269
+rect 5066 4229 5072 4241
+rect 5680 4229 5686 4281
+rect 5738 4269 5744 4281
+rect 6658 4269 6686 4306
+rect 7408 4303 7414 4315
+rect 7466 4303 7472 4355
+rect 8179 4346 8237 4352
+rect 8179 4312 8191 4346
+rect 8225 4312 8237 4346
+rect 9616 4343 9622 4355
+rect 9577 4315 9622 4343
+rect 8179 4306 8237 4312
+rect 5738 4241 6686 4269
+rect 5738 4229 5744 4241
+rect 3472 4155 3478 4207
+rect 3530 4195 3536 4207
+rect 4912 4195 4918 4207
+rect 3530 4167 4918 4195
+rect 3530 4155 3536 4167
+rect 4912 4155 4918 4167
+rect 4970 4155 4976 4207
+rect 6448 4155 6454 4207
+rect 6506 4195 6512 4207
+rect 8194 4195 8222 4306
+rect 9616 4303 9622 4315
+rect 9674 4303 9680 4355
+rect 10384 4343 10390 4355
+rect 10345 4315 10390 4343
+rect 10384 4303 10390 4315
+rect 10442 4303 10448 4355
+rect 10768 4303 10774 4355
+rect 10826 4343 10832 4355
+rect 11155 4346 11213 4352
+rect 11155 4343 11167 4346
+rect 10826 4315 11167 4343
+rect 10826 4303 10832 4315
+rect 11155 4312 11167 4315
+rect 11201 4312 11213 4346
+rect 11155 4306 11213 4312
+rect 11923 4346 11981 4352
+rect 11923 4312 11935 4346
+rect 11969 4312 11981 4346
+rect 11923 4306 11981 4312
+rect 12691 4346 12749 4352
+rect 12691 4312 12703 4346
+rect 12737 4312 12749 4346
+rect 13552 4343 13558 4355
+rect 13513 4315 13558 4343
+rect 12691 4306 12749 4312
+rect 9808 4229 9814 4281
+rect 9866 4269 9872 4281
+rect 10192 4269 10198 4281
+rect 9866 4241 10198 4269
+rect 9866 4229 9872 4241
+rect 10192 4229 10198 4241
+rect 10250 4229 10256 4281
+rect 6506 4167 8222 4195
+rect 6506 4155 6512 4167
+rect 11152 4155 11158 4207
+rect 11210 4195 11216 4207
+rect 11938 4195 11966 4306
+rect 11210 4167 11966 4195
+rect 11210 4155 11216 4167
+rect 9040 4081 9046 4133
+rect 9098 4121 9104 4133
+rect 11056 4121 11062 4133
+rect 9098 4093 11062 4121
+rect 9098 4081 9104 4093
+rect 11056 4081 11062 4093
+rect 11114 4081 11120 4133
+rect 11440 4081 11446 4133
+rect 11498 4121 11504 4133
+rect 12706 4121 12734 4306
+rect 13552 4303 13558 4315
+rect 13610 4303 13616 4355
+rect 15472 4343 15478 4355
+rect 15433 4315 15478 4343
+rect 15472 4303 15478 4315
+rect 15530 4303 15536 4355
+rect 15952 4303 15958 4355
+rect 16010 4343 16016 4355
+rect 16243 4346 16301 4352
+rect 16243 4343 16255 4346
+rect 16010 4315 16255 4343
+rect 16010 4303 16016 4315
+rect 16243 4312 16255 4315
+rect 16289 4312 16301 4346
+rect 16243 4306 16301 4312
+rect 16336 4303 16342 4355
+rect 16394 4343 16400 4355
+rect 17011 4346 17069 4352
+rect 17011 4343 17023 4346
+rect 16394 4315 17023 4343
+rect 16394 4303 16400 4315
+rect 17011 4312 17023 4315
+rect 17057 4312 17069 4346
+rect 17779 4346 17837 4352
+rect 17779 4343 17791 4346
+rect 17011 4306 17069 4312
+rect 17266 4315 17791 4343
+rect 16912 4229 16918 4281
+rect 16970 4269 16976 4281
+rect 17266 4269 17294 4315
+rect 17779 4312 17791 4315
+rect 17825 4312 17837 4346
+rect 17779 4306 17837 4312
+rect 18547 4346 18605 4352
+rect 18547 4312 18559 4346
+rect 18593 4312 18605 4346
+rect 20272 4343 20278 4355
+rect 20233 4315 20278 4343
+rect 18547 4306 18605 4312
+rect 16970 4241 17294 4269
+rect 16970 4229 16976 4241
+rect 17584 4229 17590 4281
+rect 17642 4269 17648 4281
+rect 18562 4269 18590 4306
+rect 20272 4303 20278 4315
+rect 20330 4303 20336 4355
+rect 21040 4343 21046 4355
+rect 21001 4315 21046 4343
+rect 21040 4303 21046 4315
+rect 21098 4303 21104 4355
+rect 21808 4343 21814 4355
+rect 21769 4315 21814 4343
+rect 21808 4303 21814 4315
+rect 21866 4303 21872 4355
+rect 23248 4343 23254 4355
+rect 23209 4315 23254 4343
+rect 23248 4303 23254 4315
+rect 23306 4303 23312 4355
+rect 24016 4343 24022 4355
+rect 23977 4315 24022 4343
+rect 24016 4303 24022 4315
+rect 24074 4303 24080 4355
+rect 25456 4343 25462 4355
+rect 25417 4315 25462 4343
+rect 25456 4303 25462 4315
+rect 25514 4303 25520 4355
+rect 26128 4303 26134 4355
+rect 26186 4343 26192 4355
+rect 26227 4346 26285 4352
+rect 26227 4343 26239 4346
+rect 26186 4315 26239 4343
+rect 26186 4303 26192 4315
+rect 26227 4312 26239 4315
+rect 26273 4312 26285 4346
+rect 26227 4306 26285 4312
+rect 26512 4303 26518 4355
+rect 26570 4343 26576 4355
+rect 26995 4346 27053 4352
+rect 26995 4343 27007 4346
+rect 26570 4315 27007 4343
+rect 26570 4303 26576 4315
+rect 26995 4312 27007 4315
+rect 27041 4312 27053 4346
+rect 28336 4343 28342 4355
+rect 28297 4315 28342 4343
+rect 26995 4306 27053 4312
+rect 28336 4303 28342 4315
+rect 28394 4303 28400 4355
+rect 29104 4343 29110 4355
+rect 29065 4315 29110 4343
+rect 29104 4303 29110 4315
+rect 29162 4303 29168 4355
+rect 30928 4343 30934 4355
+rect 30889 4315 30934 4343
+rect 30928 4303 30934 4315
+rect 30986 4303 30992 4355
+rect 31696 4343 31702 4355
+rect 31657 4315 31702 4343
+rect 31696 4303 31702 4315
+rect 31754 4303 31760 4355
+rect 32752 4343 32758 4355
+rect 32713 4315 32758 4343
+rect 32752 4303 32758 4315
+rect 32810 4303 32816 4355
+rect 33904 4343 33910 4355
+rect 33865 4315 33910 4343
+rect 33904 4303 33910 4315
+rect 33962 4303 33968 4355
+rect 34576 4303 34582 4355
+rect 34634 4343 34640 4355
+rect 34675 4346 34733 4352
+rect 34675 4343 34687 4346
+rect 34634 4315 34687 4343
+rect 34634 4303 34640 4315
+rect 34675 4312 34687 4315
+rect 34721 4312 34733 4346
+rect 34675 4306 34733 4312
+rect 35344 4303 35350 4355
+rect 35402 4343 35408 4355
+rect 36019 4346 36077 4352
+rect 36019 4343 36031 4346
+rect 35402 4315 36031 4343
+rect 35402 4303 35408 4315
+rect 36019 4312 36031 4315
+rect 36065 4312 36077 4346
+rect 36784 4343 36790 4355
+rect 36745 4315 36790 4343
+rect 36019 4306 36077 4312
+rect 36784 4303 36790 4315
+rect 36842 4303 36848 4355
+rect 37168 4303 37174 4355
+rect 37226 4343 37232 4355
+rect 37555 4346 37613 4352
+rect 37555 4343 37567 4346
+rect 37226 4315 37567 4343
+rect 37226 4303 37232 4315
+rect 37555 4312 37567 4315
+rect 37601 4312 37613 4346
+rect 38992 4343 38998 4355
+rect 38953 4315 38998 4343
+rect 37555 4306 37613 4312
+rect 38992 4303 38998 4315
+rect 39050 4303 39056 4355
+rect 39760 4343 39766 4355
+rect 39721 4315 39766 4343
+rect 39760 4303 39766 4315
+rect 39818 4303 39824 4355
+rect 41968 4343 41974 4355
+rect 41929 4315 41974 4343
+rect 41968 4303 41974 4315
+rect 42026 4303 42032 4355
+rect 42352 4303 42358 4355
+rect 42410 4343 42416 4355
+rect 42739 4346 42797 4352
+rect 42739 4343 42751 4346
+rect 42410 4315 42751 4343
+rect 42410 4303 42416 4315
+rect 42739 4312 42751 4315
+rect 42785 4312 42797 4346
+rect 42739 4306 42797 4312
+rect 43408 4303 43414 4355
+rect 43466 4343 43472 4355
+rect 43507 4346 43565 4352
+rect 43507 4343 43519 4346
+rect 43466 4315 43519 4343
+rect 43466 4303 43472 4315
+rect 43507 4312 43519 4315
+rect 43553 4312 43565 4346
+rect 44944 4343 44950 4355
+rect 44905 4315 44950 4343
+rect 43507 4306 43565 4312
+rect 44944 4303 44950 4315
+rect 45002 4303 45008 4355
+rect 46768 4343 46774 4355
+rect 46729 4315 46774 4343
+rect 46768 4303 46774 4315
+rect 46826 4303 46832 4355
+rect 47539 4346 47597 4352
+rect 47539 4312 47551 4346
+rect 47585 4312 47597 4346
+rect 47539 4306 47597 4312
+rect 17642 4241 18590 4269
+rect 17642 4229 17648 4241
+rect 21232 4229 21238 4281
+rect 21290 4269 21296 4281
+rect 22768 4269 22774 4281
+rect 21290 4241 22774 4269
+rect 21290 4229 21296 4241
+rect 22768 4229 22774 4241
+rect 22826 4229 22832 4281
+rect 24208 4229 24214 4281
+rect 24266 4269 24272 4281
+rect 25840 4269 25846 4281
+rect 24266 4241 25846 4269
+rect 24266 4229 24272 4241
+rect 25840 4229 25846 4241
+rect 25898 4229 25904 4281
+rect 26416 4229 26422 4281
+rect 26474 4269 26480 4281
+rect 28048 4269 28054 4281
+rect 26474 4241 28054 4269
+rect 26474 4229 26480 4241
+rect 28048 4229 28054 4241
+rect 28106 4229 28112 4281
+rect 38515 4272 38573 4278
+rect 38515 4269 38527 4272
+rect 29698 4241 38527 4269
+rect 22288 4155 22294 4207
+rect 22346 4195 22352 4207
+rect 29698 4195 29726 4241
+rect 38515 4238 38527 4241
+rect 38561 4238 38573 4272
+rect 44464 4269 44470 4281
+rect 44425 4241 44470 4269
+rect 38515 4232 38573 4238
+rect 44464 4229 44470 4241
+rect 44522 4229 44528 4281
+rect 47440 4229 47446 4281
+rect 47498 4269 47504 4281
+rect 47554 4269 47582 4306
+rect 47824 4303 47830 4355
+rect 47882 4343 47888 4355
+rect 49858 4352 49886 4389
+rect 48307 4346 48365 4352
+rect 48307 4343 48319 4346
+rect 47882 4315 48319 4343
+rect 47882 4303 47888 4315
+rect 48307 4312 48319 4315
+rect 48353 4312 48365 4346
+rect 48307 4306 48365 4312
+rect 49075 4346 49133 4352
+rect 49075 4312 49087 4346
+rect 49121 4312 49133 4346
+rect 49075 4306 49133 4312
+rect 49843 4346 49901 4352
+rect 49843 4312 49855 4346
+rect 49889 4312 49901 4346
+rect 49843 4306 49901 4312
+rect 50611 4346 50669 4352
+rect 50611 4312 50623 4346
+rect 50657 4312 50669 4346
+rect 50611 4306 50669 4312
+rect 51859 4346 51917 4352
+rect 51859 4312 51871 4346
+rect 51905 4312 51917 4346
+rect 52624 4343 52630 4355
+rect 52585 4315 52630 4343
+rect 51859 4306 51917 4312
+rect 47498 4241 47582 4269
+rect 47498 4229 47504 4241
+rect 48592 4229 48598 4281
+rect 48650 4269 48656 4281
+rect 49090 4269 49118 4306
+rect 48650 4241 49118 4269
+rect 48650 4229 48656 4241
+rect 49936 4229 49942 4281
+rect 49994 4269 50000 4281
+rect 50626 4269 50654 4306
+rect 49994 4241 50654 4269
+rect 49994 4229 50000 4241
+rect 50992 4229 50998 4281
+rect 51050 4269 51056 4281
+rect 51874 4269 51902 4306
+rect 52624 4303 52630 4315
+rect 52682 4303 52688 4355
+rect 53395 4346 53453 4352
+rect 53395 4312 53407 4346
+rect 53441 4312 53453 4346
+rect 53395 4306 53453 4312
+rect 51050 4241 51902 4269
+rect 51050 4229 51056 4241
+rect 53008 4229 53014 4281
+rect 53066 4269 53072 4281
+rect 53410 4269 53438 4306
+rect 54064 4303 54070 4355
+rect 54122 4343 54128 4355
+rect 54163 4346 54221 4352
+rect 54163 4343 54175 4346
+rect 54122 4315 54175 4343
+rect 54122 4303 54128 4315
+rect 54163 4312 54175 4315
+rect 54209 4312 54221 4346
+rect 55600 4343 55606 4355
+rect 55561 4315 55606 4343
+rect 54163 4306 54221 4312
+rect 55600 4303 55606 4315
+rect 55658 4303 55664 4355
+rect 56656 4303 56662 4355
+rect 56714 4343 56720 4355
+rect 57139 4346 57197 4352
+rect 57139 4343 57151 4346
+rect 56714 4315 57151 4343
+rect 56714 4303 56720 4315
+rect 57139 4312 57151 4315
+rect 57185 4312 57197 4346
+rect 57139 4306 57197 4312
+rect 53066 4241 53438 4269
+rect 53066 4229 53072 4241
+rect 22346 4167 29726 4195
+rect 22346 4155 22352 4167
+rect 31984 4155 31990 4207
+rect 32042 4195 32048 4207
+rect 33712 4195 33718 4207
+rect 32042 4167 33718 4195
+rect 32042 4155 32048 4167
+rect 33712 4155 33718 4167
+rect 33770 4155 33776 4207
+rect 55123 4198 55181 4204
+rect 55123 4195 55135 4198
+rect 37426 4167 55135 4195
+rect 11498 4093 12734 4121
+rect 11498 4081 11504 4093
+rect 15088 4081 15094 4133
+rect 15146 4121 15152 4133
+rect 16240 4121 16246 4133
+rect 15146 4093 16246 4121
+rect 15146 4081 15152 4093
+rect 16240 4081 16246 4093
+rect 16298 4081 16304 4133
+rect 22480 4081 22486 4133
+rect 22538 4121 22544 4133
+rect 37426 4121 37454 4167
+rect 55123 4164 55135 4167
+rect 55169 4164 55181 4198
+rect 55123 4158 55181 4164
+rect 57328 4155 57334 4207
+rect 57386 4195 57392 4207
+rect 59152 4195 59158 4207
+rect 57386 4167 59158 4195
+rect 57386 4155 57392 4167
+rect 59152 4155 59158 4167
+rect 59210 4155 59216 4207
+rect 22538 4093 37454 4121
+rect 22538 4081 22544 4093
+rect 41296 4081 41302 4133
+rect 41354 4121 41360 4133
+rect 41584 4121 41590 4133
+rect 41354 4093 41590 4121
+rect 41354 4081 41360 4093
+rect 41584 4081 41590 4093
+rect 41642 4081 41648 4133
+rect 55216 4081 55222 4133
+rect 55274 4121 55280 4133
+rect 57904 4121 57910 4133
+rect 55274 4093 57910 4121
+rect 55274 4081 55280 4093
+rect 57904 4081 57910 4093
+rect 57962 4081 57968 4133
+rect 1152 4022 58848 4044
+rect 1152 3970 4294 4022
+rect 4346 3970 4358 4022
+rect 4410 3970 4422 4022
+rect 4474 3970 4486 4022
+rect 4538 3970 35014 4022
+rect 35066 3970 35078 4022
+rect 35130 3970 35142 4022
+rect 35194 3970 35206 4022
+rect 35258 3970 58848 4022
+rect 1152 3948 58848 3970
+rect 1936 3859 1942 3911
+rect 1994 3899 2000 3911
+rect 2992 3899 2998 3911
+rect 1994 3871 2998 3899
+rect 1994 3859 2000 3871
+rect 2992 3859 2998 3871
+rect 3050 3859 3056 3911
+rect 7888 3859 7894 3911
+rect 7946 3899 7952 3911
+rect 9232 3899 9238 3911
+rect 7946 3871 9238 3899
+rect 7946 3859 7952 3871
+rect 9232 3859 9238 3871
+rect 9290 3859 9296 3911
+rect 13072 3859 13078 3911
+rect 13130 3899 13136 3911
+rect 13939 3902 13997 3908
+rect 13939 3899 13951 3902
+rect 13130 3871 13951 3899
+rect 13130 3859 13136 3871
+rect 13939 3868 13951 3871
+rect 13985 3868 13997 3902
+rect 13939 3862 13997 3868
+rect 15184 3859 15190 3911
+rect 15242 3899 15248 3911
+rect 15475 3902 15533 3908
+rect 15475 3899 15487 3902
+rect 15242 3871 15487 3899
+rect 15242 3859 15248 3871
+rect 15475 3868 15487 3871
+rect 15521 3868 15533 3902
+rect 15475 3862 15533 3868
+rect 16915 3902 16973 3908
+rect 16915 3868 16927 3902
+rect 16961 3899 16973 3902
+rect 22288 3899 22294 3911
+rect 16961 3871 22294 3899
+rect 16961 3868 16973 3871
+rect 16915 3862 16973 3868
+rect 22288 3859 22294 3871
+rect 22346 3859 22352 3911
+rect 29008 3859 29014 3911
+rect 29066 3899 29072 3911
+rect 30352 3899 30358 3911
+rect 29066 3871 30358 3899
+rect 29066 3859 29072 3871
+rect 30352 3859 30358 3871
+rect 30410 3859 30416 3911
+rect 32176 3859 32182 3911
+rect 32234 3899 32240 3911
+rect 33520 3899 33526 3911
+rect 32234 3871 33526 3899
+rect 32234 3859 32240 3871
+rect 33520 3859 33526 3871
+rect 33578 3859 33584 3911
+rect 33712 3859 33718 3911
+rect 33770 3899 33776 3911
+rect 34864 3899 34870 3911
+rect 33770 3871 34870 3899
+rect 33770 3859 33776 3871
+rect 34864 3859 34870 3871
+rect 34922 3859 34928 3911
+rect 40048 3859 40054 3911
+rect 40106 3899 40112 3911
+rect 41680 3899 41686 3911
+rect 40106 3871 41686 3899
+rect 40106 3859 40112 3871
+rect 41680 3859 41686 3871
+rect 41738 3859 41744 3911
+rect 496 3785 502 3837
+rect 554 3825 560 3837
+rect 1648 3825 1654 3837
+rect 554 3797 1654 3825
+rect 554 3785 560 3797
+rect 1648 3785 1654 3797
+rect 1706 3785 1712 3837
+rect 2320 3785 2326 3837
+rect 2378 3825 2384 3837
+rect 3088 3825 3094 3837
+rect 2378 3797 3094 3825
+rect 2378 3785 2384 3797
+rect 3088 3785 3094 3797
+rect 3146 3785 3152 3837
+rect 8272 3785 8278 3837
+rect 8330 3825 8336 3837
+rect 10576 3825 10582 3837
+rect 8330 3797 10582 3825
+rect 8330 3785 8336 3797
+rect 10576 3785 10582 3797
+rect 10634 3785 10640 3837
+rect 12304 3785 12310 3837
+rect 12362 3825 12368 3837
+rect 13168 3825 13174 3837
+rect 12362 3797 13174 3825
+rect 12362 3785 12368 3797
+rect 13168 3785 13174 3797
+rect 13226 3785 13232 3837
+rect 13648 3785 13654 3837
+rect 13706 3785 13712 3837
+rect 16528 3785 16534 3837
+rect 16586 3825 16592 3837
+rect 17296 3825 17302 3837
+rect 16586 3797 17302 3825
+rect 16586 3785 16592 3797
+rect 17296 3785 17302 3797
+rect 17354 3785 17360 3837
+rect 17776 3785 17782 3837
+rect 17834 3825 17840 3837
+rect 18547 3828 18605 3834
+rect 18547 3825 18559 3828
+rect 17834 3797 18559 3825
+rect 17834 3785 17840 3797
+rect 18547 3794 18559 3797
+rect 18593 3794 18605 3828
+rect 18547 3788 18605 3794
+rect 25840 3785 25846 3837
+rect 25898 3825 25904 3837
+rect 25898 3797 26654 3825
+rect 25898 3785 25904 3797
+rect 2992 3711 2998 3763
+rect 3050 3751 3056 3763
+rect 3280 3751 3286 3763
+rect 3050 3723 3286 3751
+rect 3050 3711 3056 3723
+rect 3280 3711 3286 3723
+rect 3338 3711 3344 3763
+rect 3376 3711 3382 3763
+rect 3434 3751 3440 3763
+rect 3434 3723 4670 3751
+rect 3434 3711 3440 3723
+rect 112 3637 118 3689
+rect 170 3677 176 3689
+rect 1555 3680 1613 3686
+rect 1555 3677 1567 3680
+rect 170 3649 1567 3677
+rect 170 3637 176 3649
+rect 1555 3646 1567 3649
+rect 1601 3646 1613 3680
+rect 1555 3640 1613 3646
+rect 1648 3637 1654 3689
+rect 1706 3677 1712 3689
+rect 2323 3680 2381 3686
+rect 2323 3677 2335 3680
+rect 1706 3649 2335 3677
+rect 1706 3637 1712 3649
+rect 2323 3646 2335 3649
+rect 2369 3646 2381 3680
+rect 2323 3640 2381 3646
+rect 2704 3637 2710 3689
+rect 2762 3677 2768 3689
+rect 3091 3680 3149 3686
+rect 3091 3677 3103 3680
+rect 2762 3649 3103 3677
+rect 2762 3637 2768 3649
+rect 3091 3646 3103 3649
+rect 3137 3646 3149 3680
+rect 3091 3640 3149 3646
+rect 3568 3637 3574 3689
+rect 3626 3677 3632 3689
+rect 4642 3686 4670 3723
+rect 8080 3711 8086 3763
+rect 8138 3751 8144 3763
+rect 9712 3751 9718 3763
+rect 8138 3723 9718 3751
+rect 8138 3711 8144 3723
+rect 9712 3711 9718 3723
+rect 9770 3711 9776 3763
+rect 12016 3711 12022 3763
+rect 12074 3751 12080 3763
+rect 13666 3751 13694 3785
+rect 12074 3723 13694 3751
+rect 12074 3711 12080 3723
+rect 14320 3711 14326 3763
+rect 14378 3751 14384 3763
+rect 22480 3751 22486 3763
+rect 14378 3723 22486 3751
+rect 14378 3711 14384 3723
+rect 22480 3711 22486 3723
+rect 22538 3711 22544 3763
+rect 24688 3711 24694 3763
+rect 24746 3751 24752 3763
+rect 24746 3723 25886 3751
+rect 24746 3711 24752 3723
+rect 3859 3680 3917 3686
+rect 3859 3677 3871 3680
+rect 3626 3649 3871 3677
+rect 3626 3637 3632 3649
+rect 3859 3646 3871 3649
+rect 3905 3646 3917 3680
+rect 3859 3640 3917 3646
+rect 4627 3680 4685 3686
+rect 4627 3646 4639 3680
+rect 4673 3646 4685 3680
+rect 5584 3677 5590 3689
+rect 5545 3649 5590 3677
+rect 4627 3640 4685 3646
+rect 5584 3637 5590 3649
+rect 5642 3637 5648 3689
+rect 6352 3637 6358 3689
+rect 6410 3677 6416 3689
+rect 6931 3680 6989 3686
+rect 6931 3677 6943 3680
+rect 6410 3649 6943 3677
+rect 6410 3637 6416 3649
+rect 6931 3646 6943 3649
+rect 6977 3646 6989 3680
+rect 6931 3640 6989 3646
+rect 7024 3637 7030 3689
+rect 7082 3677 7088 3689
+rect 7699 3680 7757 3686
+rect 7699 3677 7711 3680
+rect 7082 3649 7711 3677
+rect 7082 3637 7088 3649
+rect 7699 3646 7711 3649
+rect 7745 3646 7757 3680
+rect 7699 3640 7757 3646
+rect 7792 3637 7798 3689
+rect 7850 3677 7856 3689
+rect 8467 3680 8525 3686
+rect 8467 3677 8479 3680
+rect 7850 3649 8479 3677
+rect 7850 3637 7856 3649
+rect 8467 3646 8479 3649
+rect 8513 3646 8525 3680
+rect 8467 3640 8525 3646
+rect 8560 3637 8566 3689
+rect 8618 3677 8624 3689
+rect 9235 3680 9293 3686
+rect 9235 3677 9247 3680
+rect 8618 3649 9247 3677
+rect 8618 3637 8624 3649
+rect 9235 3646 9247 3649
+rect 9281 3646 9293 3680
+rect 9235 3640 9293 3646
+rect 9328 3637 9334 3689
+rect 9386 3677 9392 3689
+rect 10003 3680 10061 3686
+rect 10003 3677 10015 3680
+rect 9386 3649 10015 3677
+rect 9386 3637 9392 3649
+rect 10003 3646 10015 3649
+rect 10049 3646 10061 3680
+rect 10003 3640 10061 3646
+rect 10771 3680 10829 3686
+rect 10771 3646 10783 3680
+rect 10817 3646 10829 3680
+rect 10771 3640 10829 3646
+rect 12979 3680 13037 3686
+rect 12979 3646 12991 3680
+rect 13025 3677 13037 3680
+rect 13168 3677 13174 3689
+rect 13025 3649 13174 3677
+rect 13025 3646 13037 3649
+rect 12979 3640 13037 3646
+rect 976 3563 982 3615
+rect 1034 3603 1040 3615
+rect 2416 3603 2422 3615
+rect 1034 3575 2422 3603
+rect 1034 3563 1040 3575
+rect 2416 3563 2422 3575
+rect 2474 3563 2480 3615
+rect 5200 3603 5206 3615
+rect 2866 3575 5206 3603
+rect 592 3415 598 3467
+rect 650 3455 656 3467
+rect 1456 3455 1462 3467
+rect 650 3427 1462 3455
+rect 650 3415 656 3427
+rect 1456 3415 1462 3427
+rect 1514 3415 1520 3467
+rect 2416 3415 2422 3467
+rect 2474 3455 2480 3467
+rect 2866 3455 2894 3575
+rect 5200 3563 5206 3575
+rect 5258 3563 5264 3615
+rect 10000 3489 10006 3541
+rect 10058 3529 10064 3541
+rect 10786 3529 10814 3640
+rect 13168 3637 13174 3649
+rect 13226 3637 13232 3689
+rect 13648 3677 13654 3689
+rect 13609 3649 13654 3677
+rect 13648 3637 13654 3649
+rect 13706 3637 13712 3689
+rect 14032 3637 14038 3689
+rect 14090 3677 14096 3689
+rect 14419 3680 14477 3686
+rect 14419 3677 14431 3680
+rect 14090 3649 14431 3677
+rect 14090 3637 14096 3649
+rect 14419 3646 14431 3649
+rect 14465 3646 14477 3680
+rect 14419 3640 14477 3646
+rect 14800 3637 14806 3689
+rect 14858 3677 14864 3689
+rect 15187 3680 15245 3686
+rect 15187 3677 15199 3680
+rect 14858 3649 15199 3677
+rect 14858 3637 14864 3649
+rect 15187 3646 15199 3649
+rect 15233 3646 15245 3680
+rect 15187 3640 15245 3646
+rect 15376 3637 15382 3689
+rect 15434 3677 15440 3689
+rect 15955 3680 16013 3686
+rect 15955 3677 15967 3680
+rect 15434 3649 15967 3677
+rect 15434 3637 15440 3649
+rect 15955 3646 15967 3649
+rect 16001 3646 16013 3680
+rect 15955 3640 16013 3646
+rect 17392 3637 17398 3689
+rect 17450 3677 17456 3689
+rect 17491 3680 17549 3686
+rect 17491 3677 17503 3680
+rect 17450 3649 17503 3677
+rect 17450 3637 17456 3649
+rect 17491 3646 17503 3649
+rect 17537 3646 17549 3680
+rect 17491 3640 17549 3646
+rect 18064 3637 18070 3689
+rect 18122 3677 18128 3689
+rect 18259 3680 18317 3686
+rect 18259 3677 18271 3680
+rect 18122 3649 18271 3677
+rect 18122 3637 18128 3649
+rect 18259 3646 18271 3649
+rect 18305 3646 18317 3680
+rect 18259 3640 18317 3646
+rect 18448 3637 18454 3689
+rect 18506 3677 18512 3689
+rect 19027 3680 19085 3686
+rect 19027 3677 19039 3680
+rect 18506 3649 19039 3677
+rect 18506 3637 18512 3649
+rect 19027 3646 19039 3649
+rect 19073 3646 19085 3680
+rect 19027 3640 19085 3646
+rect 19216 3637 19222 3689
+rect 19274 3677 19280 3689
+rect 19795 3680 19853 3686
+rect 19795 3677 19807 3680
+rect 19274 3649 19807 3677
+rect 19274 3637 19280 3649
+rect 19795 3646 19807 3649
+rect 19841 3646 19853 3680
+rect 19795 3640 19853 3646
+rect 19984 3637 19990 3689
+rect 20042 3677 20048 3689
+rect 20563 3680 20621 3686
+rect 20563 3677 20575 3680
+rect 20042 3649 20575 3677
+rect 20042 3637 20048 3649
+rect 20563 3646 20575 3649
+rect 20609 3646 20621 3680
+rect 20563 3640 20621 3646
+rect 20656 3637 20662 3689
+rect 20714 3677 20720 3689
+rect 21331 3680 21389 3686
+rect 21331 3677 21343 3680
+rect 20714 3649 21343 3677
+rect 20714 3637 20720 3649
+rect 21331 3646 21343 3649
+rect 21377 3646 21389 3680
+rect 21331 3640 21389 3646
+rect 22096 3637 22102 3689
+rect 22154 3677 22160 3689
+rect 22771 3680 22829 3686
+rect 22771 3677 22783 3680
+rect 22154 3649 22783 3677
+rect 22154 3637 22160 3649
+rect 22771 3646 22783 3649
+rect 22817 3646 22829 3680
+rect 22771 3640 22829 3646
+rect 22864 3637 22870 3689
+rect 22922 3677 22928 3689
+rect 23539 3680 23597 3686
+rect 23539 3677 23551 3680
+rect 22922 3649 23551 3677
+rect 22922 3637 22928 3649
+rect 23539 3646 23551 3649
+rect 23585 3646 23597 3680
+rect 23539 3640 23597 3646
+rect 23632 3637 23638 3689
+rect 23690 3677 23696 3689
+rect 24307 3680 24365 3686
+rect 24307 3677 24319 3680
+rect 23690 3649 24319 3677
+rect 23690 3637 23696 3649
+rect 24307 3646 24319 3649
+rect 24353 3646 24365 3680
+rect 24307 3640 24365 3646
+rect 24400 3637 24406 3689
+rect 24458 3677 24464 3689
+rect 25858 3686 25886 3723
+rect 26626 3686 26654 3797
+rect 28642 3797 37454 3825
+rect 25075 3680 25133 3686
+rect 25075 3677 25087 3680
+rect 24458 3649 25087 3677
+rect 24458 3637 24464 3649
+rect 25075 3646 25087 3649
+rect 25121 3646 25133 3680
+rect 25075 3640 25133 3646
+rect 25843 3680 25901 3686
+rect 25843 3646 25855 3680
+rect 25889 3646 25901 3680
+rect 25843 3640 25901 3646
+rect 26611 3680 26669 3686
+rect 26611 3646 26623 3680
+rect 26657 3646 26669 3680
+rect 26611 3640 26669 3646
+rect 27280 3637 27286 3689
+rect 27338 3677 27344 3689
+rect 28051 3680 28109 3686
+rect 28051 3677 28063 3680
+rect 27338 3649 28063 3677
+rect 27338 3637 27344 3649
+rect 28051 3646 28063 3649
+rect 28097 3646 28109 3680
+rect 28051 3640 28109 3646
+rect 12403 3606 12461 3612
+rect 12403 3572 12415 3606
+rect 12449 3603 12461 3606
+rect 28642 3603 28670 3797
+rect 28720 3711 28726 3763
+rect 28778 3751 28784 3763
+rect 37426 3751 37454 3797
+rect 37840 3785 37846 3837
+rect 37898 3825 37904 3837
+rect 39376 3825 39382 3837
+rect 37898 3797 39382 3825
+rect 37898 3785 37904 3797
+rect 39376 3785 39382 3797
+rect 39434 3785 39440 3837
+rect 41104 3785 41110 3837
+rect 41162 3825 41168 3837
+rect 42448 3825 42454 3837
+rect 41162 3797 42454 3825
+rect 41162 3785 41168 3797
+rect 42448 3785 42454 3797
+rect 42506 3785 42512 3837
+rect 49168 3785 49174 3837
+rect 49226 3825 49232 3837
+rect 50704 3825 50710 3837
+rect 49226 3797 50710 3825
+rect 49226 3785 49232 3797
+rect 50704 3785 50710 3797
+rect 50762 3785 50768 3837
+rect 56272 3785 56278 3837
+rect 56330 3825 56336 3837
+rect 57520 3825 57526 3837
+rect 56330 3797 57526 3825
+rect 56330 3785 56336 3797
+rect 57520 3785 57526 3797
+rect 57578 3785 57584 3837
+rect 40432 3751 40438 3763
+rect 28778 3723 29630 3751
+rect 37426 3723 40438 3751
+rect 28778 3711 28784 3723
+rect 29602 3686 29630 3723
+rect 40432 3711 40438 3723
+rect 40490 3711 40496 3763
+rect 44560 3711 44566 3763
+rect 44618 3751 44624 3763
+rect 44618 3723 45470 3751
+rect 44618 3711 44624 3723
+rect 28819 3680 28877 3686
+rect 28819 3646 28831 3680
+rect 28865 3646 28877 3680
+rect 28819 3640 28877 3646
+rect 29587 3680 29645 3686
+rect 29587 3646 29599 3680
+rect 29633 3646 29645 3680
+rect 29587 3640 29645 3646
+rect 30355 3680 30413 3686
+rect 30355 3646 30367 3680
+rect 30401 3646 30413 3680
+rect 30355 3640 30413 3646
+rect 12449 3575 28670 3603
+rect 12449 3572 12461 3575
+rect 12403 3566 12461 3572
+rect 10058 3501 10814 3529
+rect 10058 3489 10064 3501
+rect 11536 3489 11542 3541
+rect 11594 3529 11600 3541
+rect 16915 3532 16973 3538
+rect 16915 3529 16927 3532
+rect 11594 3501 16927 3529
+rect 11594 3489 11600 3501
+rect 16915 3498 16927 3501
+rect 16961 3498 16973 3532
+rect 16915 3492 16973 3498
+rect 17296 3489 17302 3541
+rect 17354 3529 17360 3541
+rect 17488 3529 17494 3541
+rect 17354 3501 17494 3529
+rect 17354 3489 17360 3501
+rect 17488 3489 17494 3501
+rect 17546 3489 17552 3541
+rect 28048 3489 28054 3541
+rect 28106 3529 28112 3541
+rect 28834 3529 28862 3640
+rect 29488 3563 29494 3615
+rect 29546 3603 29552 3615
+rect 30370 3603 30398 3640
+rect 30448 3637 30454 3689
+rect 30506 3677 30512 3689
+rect 31123 3680 31181 3686
+rect 31123 3677 31135 3680
+rect 30506 3649 31135 3677
+rect 30506 3637 30512 3649
+rect 31123 3646 31135 3649
+rect 31169 3646 31181 3680
+rect 31123 3640 31181 3646
+rect 31312 3637 31318 3689
+rect 31370 3677 31376 3689
+rect 31891 3680 31949 3686
+rect 31891 3677 31903 3680
+rect 31370 3649 31903 3677
+rect 31370 3637 31376 3649
+rect 31891 3646 31903 3649
+rect 31937 3646 31949 3680
+rect 31891 3640 31949 3646
+rect 32464 3637 32470 3689
+rect 32522 3677 32528 3689
+rect 33331 3680 33389 3686
+rect 33331 3677 33343 3680
+rect 32522 3649 33343 3677
+rect 32522 3637 32528 3649
+rect 33331 3646 33343 3649
+rect 33377 3646 33389 3680
+rect 33331 3640 33389 3646
+rect 33520 3637 33526 3689
+rect 33578 3677 33584 3689
+rect 34099 3680 34157 3686
+rect 34099 3677 34111 3680
+rect 33578 3649 34111 3677
+rect 33578 3637 33584 3649
+rect 34099 3646 34111 3649
+rect 34145 3646 34157 3680
+rect 34099 3640 34157 3646
+rect 34288 3637 34294 3689
+rect 34346 3677 34352 3689
+rect 34867 3680 34925 3686
+rect 34867 3677 34879 3680
+rect 34346 3649 34879 3677
+rect 34346 3637 34352 3649
+rect 34867 3646 34879 3649
+rect 34913 3646 34925 3680
+rect 34867 3640 34925 3646
+rect 34960 3637 34966 3689
+rect 35018 3677 35024 3689
+rect 35635 3680 35693 3686
+rect 35635 3677 35647 3680
+rect 35018 3649 35647 3677
+rect 35018 3637 35024 3649
+rect 35635 3646 35647 3649
+rect 35681 3646 35693 3680
+rect 35635 3640 35693 3646
+rect 35728 3637 35734 3689
+rect 35786 3677 35792 3689
+rect 36403 3680 36461 3686
+rect 36403 3677 36415 3680
+rect 35786 3649 36415 3677
+rect 35786 3637 35792 3649
+rect 36403 3646 36415 3649
+rect 36449 3646 36461 3680
+rect 36403 3640 36461 3646
+rect 36496 3637 36502 3689
+rect 36554 3677 36560 3689
+rect 37171 3680 37229 3686
+rect 37171 3677 37183 3680
+rect 36554 3649 37183 3677
+rect 36554 3637 36560 3649
+rect 37171 3646 37183 3649
+rect 37217 3646 37229 3680
+rect 37171 3640 37229 3646
+rect 37936 3637 37942 3689
+rect 37994 3677 38000 3689
+rect 38611 3680 38669 3686
+rect 38611 3677 38623 3680
+rect 37994 3649 38623 3677
+rect 37994 3637 38000 3649
+rect 38611 3646 38623 3649
+rect 38657 3646 38669 3680
+rect 38611 3640 38669 3646
+rect 38704 3637 38710 3689
+rect 38762 3677 38768 3689
+rect 39379 3680 39437 3686
+rect 39379 3677 39391 3680
+rect 38762 3649 39391 3677
+rect 38762 3637 38768 3649
+rect 39379 3646 39391 3649
+rect 39425 3646 39437 3680
+rect 39379 3640 39437 3646
+rect 40147 3680 40205 3686
+rect 40147 3646 40159 3680
+rect 40193 3646 40205 3680
+rect 40147 3640 40205 3646
+rect 29546 3575 30398 3603
+rect 29546 3563 29552 3575
+rect 32944 3563 32950 3615
+rect 33002 3603 33008 3615
+rect 34000 3603 34006 3615
+rect 33002 3575 34006 3603
+rect 33002 3563 33008 3575
+rect 34000 3563 34006 3575
+rect 34058 3563 34064 3615
+rect 28106 3501 28862 3529
+rect 28106 3489 28112 3501
+rect 31408 3489 31414 3541
+rect 31466 3529 31472 3541
+rect 32368 3529 32374 3541
+rect 31466 3501 32374 3529
+rect 31466 3489 31472 3501
+rect 32368 3489 32374 3501
+rect 32426 3489 32432 3541
+rect 37648 3489 37654 3541
+rect 37706 3529 37712 3541
+rect 38512 3529 38518 3541
+rect 37706 3501 38518 3529
+rect 37706 3489 37712 3501
+rect 38512 3489 38518 3501
+rect 38570 3489 38576 3541
+rect 39376 3489 39382 3541
+rect 39434 3529 39440 3541
+rect 40162 3529 40190 3640
+rect 40240 3637 40246 3689
+rect 40298 3677 40304 3689
+rect 40915 3680 40973 3686
+rect 40915 3677 40927 3680
+rect 40298 3649 40927 3677
+rect 40298 3637 40304 3649
+rect 40915 3646 40927 3649
+rect 40961 3646 40973 3680
+rect 40915 3640 40973 3646
+rect 41008 3637 41014 3689
+rect 41066 3677 41072 3689
+rect 41683 3680 41741 3686
+rect 41683 3677 41695 3680
+rect 41066 3649 41695 3677
+rect 41066 3637 41072 3649
+rect 41683 3646 41695 3649
+rect 41729 3646 41741 3680
+rect 41683 3640 41741 3646
+rect 42451 3680 42509 3686
+rect 42451 3646 42463 3680
+rect 42497 3646 42509 3680
+rect 42451 3640 42509 3646
+rect 41584 3563 41590 3615
+rect 41642 3603 41648 3615
+rect 42466 3603 42494 3640
+rect 42736 3637 42742 3689
+rect 42794 3677 42800 3689
+rect 45442 3686 45470 3723
+rect 55888 3711 55894 3763
+rect 55946 3751 55952 3763
+rect 55946 3723 56798 3751
+rect 55946 3711 55952 3723
+rect 43891 3680 43949 3686
+rect 43891 3677 43903 3680
+rect 42794 3649 43903 3677
+rect 42794 3637 42800 3649
+rect 43891 3646 43903 3649
+rect 43937 3646 43949 3680
+rect 43891 3640 43949 3646
+rect 44659 3680 44717 3686
+rect 44659 3646 44671 3680
+rect 44705 3646 44717 3680
+rect 44659 3640 44717 3646
+rect 45427 3680 45485 3686
+rect 45427 3646 45439 3680
+rect 45473 3646 45485 3680
+rect 45427 3640 45485 3646
+rect 46195 3680 46253 3686
+rect 46195 3646 46207 3680
+rect 46241 3646 46253 3680
+rect 46195 3640 46253 3646
+rect 46963 3680 47021 3686
+rect 46963 3646 46975 3680
+rect 47009 3646 47021 3680
+rect 46963 3640 47021 3646
+rect 41642 3575 42494 3603
+rect 41642 3563 41648 3575
+rect 43792 3563 43798 3615
+rect 43850 3603 43856 3615
+rect 44674 3603 44702 3640
+rect 43850 3575 44702 3603
+rect 43850 3563 43856 3575
+rect 45232 3563 45238 3615
+rect 45290 3603 45296 3615
+rect 46210 3603 46238 3640
+rect 45290 3575 46238 3603
+rect 45290 3563 45296 3575
+rect 39434 3501 40190 3529
+rect 39434 3489 39440 3501
+rect 41200 3489 41206 3541
+rect 41258 3529 41264 3541
+rect 41680 3529 41686 3541
+rect 41258 3501 41686 3529
+rect 41258 3489 41264 3501
+rect 41680 3489 41686 3501
+rect 41738 3489 41744 3541
+rect 46000 3489 46006 3541
+rect 46058 3529 46064 3541
+rect 46978 3529 47006 3640
+rect 47152 3637 47158 3689
+rect 47210 3677 47216 3689
+rect 47731 3680 47789 3686
+rect 47731 3677 47743 3680
+rect 47210 3649 47743 3677
+rect 47210 3637 47216 3649
+rect 47731 3646 47743 3649
+rect 47777 3646 47789 3680
+rect 47731 3640 47789 3646
+rect 48208 3637 48214 3689
+rect 48266 3677 48272 3689
+rect 49171 3680 49229 3686
+rect 49171 3677 49183 3680
+rect 48266 3649 49183 3677
+rect 48266 3637 48272 3649
+rect 49171 3646 49183 3649
+rect 49217 3646 49229 3680
+rect 49171 3640 49229 3646
+rect 50515 3680 50573 3686
+rect 50515 3646 50527 3680
+rect 50561 3677 50573 3680
+rect 50704 3677 50710 3689
+rect 50561 3649 50710 3677
+rect 50561 3646 50573 3649
+rect 50515 3640 50573 3646
+rect 50704 3637 50710 3649
+rect 50762 3637 50768 3689
+rect 50800 3637 50806 3689
+rect 50858 3677 50864 3689
+rect 51187 3680 51245 3686
+rect 51187 3677 51199 3680
+rect 50858 3649 51199 3677
+rect 50858 3637 50864 3649
+rect 51187 3646 51199 3649
+rect 51233 3646 51245 3680
+rect 51187 3640 51245 3646
+rect 51280 3637 51286 3689
+rect 51338 3677 51344 3689
+rect 51955 3680 52013 3686
+rect 51955 3677 51967 3680
+rect 51338 3649 51967 3677
+rect 51338 3637 51344 3649
+rect 51955 3646 51967 3649
+rect 52001 3646 52013 3680
+rect 51955 3640 52013 3646
+rect 52723 3680 52781 3686
+rect 52723 3646 52735 3680
+rect 52769 3646 52781 3680
+rect 52723 3640 52781 3646
+rect 46058 3501 47006 3529
+rect 46058 3489 46064 3501
+rect 51952 3489 51958 3541
+rect 52010 3529 52016 3541
+rect 52738 3529 52766 3640
+rect 53392 3637 53398 3689
+rect 53450 3677 53456 3689
+rect 56770 3686 56798 3723
+rect 54451 3680 54509 3686
+rect 54451 3677 54463 3680
+rect 53450 3649 54463 3677
+rect 53450 3637 53456 3649
+rect 54451 3646 54463 3649
+rect 54497 3646 54509 3680
+rect 54451 3640 54509 3646
+rect 55219 3680 55277 3686
+rect 55219 3646 55231 3680
+rect 55265 3646 55277 3680
+rect 55219 3640 55277 3646
+rect 55987 3680 56045 3686
+rect 55987 3646 55999 3680
+rect 56033 3646 56045 3680
+rect 55987 3640 56045 3646
+rect 56755 3680 56813 3686
+rect 56755 3646 56767 3680
+rect 56801 3646 56813 3680
+rect 56755 3640 56813 3646
+rect 57523 3680 57581 3686
+rect 57523 3646 57535 3680
+rect 57569 3646 57581 3680
+rect 57523 3640 57581 3646
+rect 52010 3501 52766 3529
+rect 52010 3489 52016 3501
+rect 54448 3489 54454 3541
+rect 54506 3529 54512 3541
+rect 55234 3529 55262 3640
+rect 54506 3501 55262 3529
+rect 54506 3489 54512 3501
+rect 2474 3427 2894 3455
+rect 2474 3415 2480 3427
+rect 3280 3415 3286 3467
+rect 3338 3455 3344 3467
+rect 3952 3455 3958 3467
+rect 3338 3427 3958 3455
+rect 3338 3415 3344 3427
+rect 3952 3415 3958 3427
+rect 4010 3415 4016 3467
+rect 30736 3415 30742 3467
+rect 30794 3455 30800 3467
+rect 31792 3455 31798 3467
+rect 30794 3427 31798 3455
+rect 30794 3415 30800 3427
+rect 31792 3415 31798 3427
+rect 31850 3415 31856 3467
+rect 43504 3415 43510 3467
+rect 43562 3455 43568 3467
+rect 44752 3455 44758 3467
+rect 43562 3427 44758 3455
+rect 43562 3415 43568 3427
+rect 44752 3415 44758 3427
+rect 44810 3415 44816 3467
+rect 55216 3415 55222 3467
+rect 55274 3455 55280 3467
+rect 56002 3455 56030 3640
+rect 56272 3563 56278 3615
+rect 56330 3603 56336 3615
+rect 57538 3603 57566 3640
+rect 58192 3637 58198 3689
+rect 58250 3677 58256 3689
+rect 59728 3677 59734 3689
+rect 58250 3649 59734 3677
+rect 58250 3637 58256 3649
+rect 59728 3637 59734 3649
+rect 59786 3637 59792 3689
+rect 56330 3575 57566 3603
+rect 56330 3563 56336 3575
+rect 55274 3427 56030 3455
+rect 55274 3415 55280 3427
+rect 1152 3356 58848 3378
+rect 1152 3304 19654 3356
+rect 19706 3304 19718 3356
+rect 19770 3304 19782 3356
+rect 19834 3304 19846 3356
+rect 19898 3304 50374 3356
+rect 50426 3304 50438 3356
+rect 50490 3304 50502 3356
+rect 50554 3304 50566 3356
+rect 50618 3304 58848 3356
+rect 1152 3282 58848 3304
+rect 1456 3193 1462 3245
+rect 1514 3233 1520 3245
+rect 2128 3233 2134 3245
+rect 1514 3205 2134 3233
+rect 1514 3193 1520 3205
+rect 2128 3193 2134 3205
+rect 2186 3193 2192 3245
+rect 3088 3193 3094 3245
+rect 3146 3233 3152 3245
+rect 3568 3233 3574 3245
+rect 3146 3205 3574 3233
+rect 3146 3193 3152 3205
+rect 3568 3193 3574 3205
+rect 3626 3193 3632 3245
+rect 3952 3193 3958 3245
+rect 4010 3233 4016 3245
+rect 5104 3233 5110 3245
+rect 4010 3205 5110 3233
+rect 4010 3193 4016 3205
+rect 5104 3193 5110 3205
+rect 5162 3193 5168 3245
+rect 13264 3233 13270 3245
+rect 13225 3205 13270 3233
+rect 13264 3193 13270 3205
+rect 13322 3193 13328 3245
+rect 13360 3193 13366 3245
+rect 13418 3233 13424 3245
+rect 14035 3236 14093 3242
+rect 14035 3233 14047 3236
+rect 13418 3205 14047 3233
+rect 13418 3193 13424 3205
+rect 14035 3202 14047 3205
+rect 14081 3202 14093 3236
+rect 14035 3196 14093 3202
+rect 15280 3193 15286 3245
+rect 15338 3233 15344 3245
+rect 15379 3236 15437 3242
+rect 15379 3233 15391 3236
+rect 15338 3205 15391 3233
+rect 15338 3193 15344 3205
+rect 15379 3202 15391 3205
+rect 15425 3202 15437 3236
+rect 16816 3233 16822 3245
+rect 16777 3205 16822 3233
+rect 15379 3196 15437 3202
+rect 16816 3193 16822 3205
+rect 16874 3193 16880 3245
+rect 17680 3193 17686 3245
+rect 17738 3233 17744 3245
+rect 18067 3236 18125 3242
+rect 18067 3233 18079 3236
+rect 17738 3205 18079 3233
+rect 17738 3193 17744 3205
+rect 18067 3202 18079 3205
+rect 18113 3202 18125 3236
+rect 18067 3196 18125 3202
+rect 18835 3236 18893 3242
+rect 18835 3202 18847 3236
+rect 18881 3233 18893 3236
+rect 19120 3233 19126 3245
+rect 18881 3205 19126 3233
+rect 18881 3202 18893 3205
+rect 18835 3196 18893 3202
+rect 19120 3193 19126 3205
+rect 19178 3193 19184 3245
+rect 19696 3193 19702 3245
+rect 19754 3233 19760 3245
+rect 20080 3233 20086 3245
+rect 19754 3205 20086 3233
+rect 19754 3193 19760 3205
+rect 20080 3193 20086 3205
+rect 20138 3193 20144 3245
+rect 22768 3193 22774 3245
+rect 22826 3233 22832 3245
+rect 23056 3233 23062 3245
+rect 22826 3205 23062 3233
+rect 22826 3193 22832 3205
+rect 23056 3193 23062 3205
+rect 23114 3193 23120 3245
+rect 28816 3193 28822 3245
+rect 28874 3233 28880 3245
+rect 29872 3233 29878 3245
+rect 28874 3205 29878 3233
+rect 28874 3193 28880 3205
+rect 29872 3193 29878 3205
+rect 29930 3193 29936 3245
+rect 30448 3193 30454 3245
+rect 30506 3233 30512 3245
+rect 31888 3233 31894 3245
+rect 30506 3205 31894 3233
+rect 30506 3193 30512 3205
+rect 31888 3193 31894 3205
+rect 31946 3193 31952 3245
+rect 34096 3193 34102 3245
+rect 34154 3233 34160 3245
+rect 35344 3233 35350 3245
+rect 34154 3205 35350 3233
+rect 34154 3193 34160 3205
+rect 35344 3193 35350 3205
+rect 35402 3193 35408 3245
+rect 38512 3193 38518 3245
+rect 38570 3233 38576 3245
+rect 40144 3233 40150 3245
+rect 38570 3205 40150 3233
+rect 38570 3193 38576 3205
+rect 40144 3193 40150 3205
+rect 40202 3193 40208 3245
+rect 44080 3193 44086 3245
+rect 44138 3233 44144 3245
+rect 45424 3233 45430 3245
+rect 44138 3205 45430 3233
+rect 44138 3193 44144 3205
+rect 45424 3193 45430 3205
+rect 45482 3193 45488 3245
+rect 45712 3193 45718 3245
+rect 45770 3233 45776 3245
+rect 46288 3233 46294 3245
+rect 45770 3205 46294 3233
+rect 45770 3193 45776 3205
+rect 46288 3193 46294 3205
+rect 46346 3193 46352 3245
+rect 48496 3193 48502 3245
+rect 48554 3233 48560 3245
+rect 49648 3233 49654 3245
+rect 48554 3205 49654 3233
+rect 48554 3193 48560 3205
+rect 49648 3193 49654 3205
+rect 49706 3193 49712 3245
+rect 208 3119 214 3171
+rect 266 3159 272 3171
+rect 1744 3159 1750 3171
+rect 266 3131 1750 3159
+rect 266 3119 272 3131
+rect 1744 3119 1750 3131
+rect 1802 3119 1808 3171
+rect 12208 3119 12214 3171
+rect 12266 3159 12272 3171
+rect 12976 3159 12982 3171
+rect 12266 3131 12982 3159
+rect 12266 3119 12272 3131
+rect 12976 3119 12982 3131
+rect 13034 3119 13040 3171
+rect 19408 3119 19414 3171
+rect 19466 3159 19472 3171
+rect 20368 3159 20374 3171
+rect 19466 3131 20374 3159
+rect 19466 3119 19472 3131
+rect 20368 3119 20374 3131
+rect 20426 3119 20432 3171
+rect 22000 3119 22006 3171
+rect 22058 3159 22064 3171
+rect 24016 3159 24022 3171
+rect 22058 3131 24022 3159
+rect 22058 3119 22064 3131
+rect 24016 3119 24022 3131
+rect 24074 3119 24080 3171
+rect 24976 3119 24982 3171
+rect 25034 3159 25040 3171
+rect 26608 3159 26614 3171
+rect 25034 3131 26614 3159
+rect 25034 3119 25040 3131
+rect 26608 3119 26614 3131
+rect 26666 3119 26672 3171
+rect 28240 3119 28246 3171
+rect 28298 3159 28304 3171
+rect 29296 3159 29302 3171
+rect 28298 3131 29302 3159
+rect 28298 3119 28304 3131
+rect 29296 3119 29302 3131
+rect 29354 3119 29360 3171
+rect 31792 3119 31798 3171
+rect 31850 3159 31856 3171
+rect 31984 3159 31990 3171
+rect 31850 3131 31990 3159
+rect 31850 3119 31856 3131
+rect 31984 3119 31990 3131
+rect 32042 3119 32048 3171
+rect 32656 3119 32662 3171
+rect 32714 3159 32720 3171
+rect 33424 3159 33430 3171
+rect 32714 3131 33430 3159
+rect 32714 3119 32720 3131
+rect 33424 3119 33430 3131
+rect 33482 3119 33488 3171
+rect 33808 3119 33814 3171
+rect 33866 3159 33872 3171
+rect 34672 3159 34678 3171
+rect 33866 3131 34678 3159
+rect 33866 3119 33872 3131
+rect 34672 3119 34678 3131
+rect 34730 3119 34736 3171
+rect 35731 3162 35789 3168
+rect 35731 3128 35743 3162
+rect 35777 3159 35789 3162
+rect 36880 3159 36886 3171
+rect 35777 3131 36886 3159
+rect 35777 3128 35789 3131
+rect 35731 3122 35789 3128
+rect 36880 3119 36886 3131
+rect 36938 3119 36944 3171
+rect 37072 3119 37078 3171
+rect 37130 3159 37136 3171
+rect 38608 3159 38614 3171
+rect 37130 3131 38614 3159
+rect 37130 3119 37136 3131
+rect 38608 3119 38614 3131
+rect 38666 3119 38672 3171
+rect 44752 3119 44758 3171
+rect 44810 3159 44816 3171
+rect 46192 3159 46198 3171
+rect 44810 3131 46198 3159
+rect 44810 3119 44816 3131
+rect 46192 3119 46198 3131
+rect 46250 3119 46256 3171
+rect 48112 3119 48118 3171
+rect 48170 3159 48176 3171
+rect 49072 3159 49078 3171
+rect 48170 3131 49078 3159
+rect 48170 3119 48176 3131
+rect 49072 3119 49078 3131
+rect 49130 3119 49136 3171
+rect 56368 3119 56374 3171
+rect 56426 3159 56432 3171
+rect 59440 3159 59446 3171
+rect 56426 3131 59446 3159
+rect 56426 3119 56432 3131
+rect 59440 3119 59446 3131
+rect 59498 3119 59504 3171
+rect 13072 3045 13078 3097
+rect 13130 3085 13136 3097
+rect 13840 3085 13846 3097
+rect 13130 3057 13846 3085
+rect 13130 3045 13136 3057
+rect 13840 3045 13846 3057
+rect 13898 3045 13904 3097
+rect 17488 3045 17494 3097
+rect 17546 3085 17552 3097
+rect 18160 3085 18166 3097
+rect 17546 3057 18166 3085
+rect 17546 3045 17552 3057
+rect 18160 3045 18166 3057
+rect 18218 3045 18224 3097
+rect 18352 3045 18358 3097
+rect 18410 3085 18416 3097
+rect 18832 3085 18838 3097
+rect 18410 3057 18838 3085
+rect 18410 3045 18416 3057
+rect 18832 3045 18838 3057
+rect 18890 3045 18896 3097
+rect 18946 3057 20510 3085
+rect 16 2971 22 3023
+rect 74 3011 80 3023
+rect 1555 3014 1613 3020
+rect 1555 3011 1567 3014
+rect 74 2983 1567 3011
+rect 74 2971 80 2983
+rect 1555 2980 1567 2983
+rect 1601 2980 1613 3014
+rect 2323 3014 2381 3020
+rect 2323 3011 2335 3014
+rect 1555 2974 1613 2980
+rect 1666 2983 2335 3011
+rect 688 2897 694 2949
+rect 746 2937 752 2949
+rect 1666 2937 1694 2983
+rect 2323 2980 2335 2983
+rect 2369 2980 2381 3014
+rect 3091 3014 3149 3020
+rect 3091 3011 3103 3014
+rect 2323 2974 2381 2980
+rect 2866 2983 3103 3011
+rect 746 2909 1694 2937
+rect 746 2897 752 2909
+rect 2128 2897 2134 2949
+rect 2186 2937 2192 2949
+rect 2866 2937 2894 2983
+rect 3091 2980 3103 2983
+rect 3137 2980 3149 3014
+rect 4912 3011 4918 3023
+rect 4873 2983 4918 3011
+rect 3091 2974 3149 2980
+rect 4912 2971 4918 2983
+rect 4970 2971 4976 3023
+rect 5200 2971 5206 3023
+rect 5258 3011 5264 3023
+rect 5683 3014 5741 3020
+rect 5683 3011 5695 3014
+rect 5258 2983 5695 3011
+rect 5258 2971 5264 2983
+rect 5683 2980 5695 2983
+rect 5729 2980 5741 3014
+rect 5683 2974 5741 2980
+rect 5968 2971 5974 3023
+rect 6026 3011 6032 3023
+rect 7027 3014 7085 3020
+rect 7027 3011 7039 3014
+rect 6026 2983 7039 3011
+rect 6026 2971 6032 2983
+rect 7027 2980 7039 2983
+rect 7073 2980 7085 3014
+rect 7027 2974 7085 2980
+rect 7795 3014 7853 3020
+rect 7795 2980 7807 3014
+rect 7841 2980 7853 3014
+rect 7795 2974 7853 2980
+rect 5776 2937 5782 2949
+rect 2186 2909 2894 2937
+rect 5218 2909 5782 2937
+rect 2186 2897 2192 2909
+rect 5104 2749 5110 2801
+rect 5162 2789 5168 2801
+rect 5218 2789 5246 2909
+rect 5776 2897 5782 2909
+rect 5834 2897 5840 2949
+rect 6736 2897 6742 2949
+rect 6794 2937 6800 2949
+rect 7810 2937 7838 2974
+rect 8176 2971 8182 3023
+rect 8234 3011 8240 3023
+rect 9715 3014 9773 3020
+rect 9715 3011 9727 3014
+rect 8234 2983 9727 3011
+rect 8234 2971 8240 2983
+rect 9715 2980 9727 2983
+rect 9761 2980 9773 3014
+rect 9715 2974 9773 2980
+rect 10483 3014 10541 3020
+rect 10483 2980 10495 3014
+rect 10529 2980 10541 3014
+rect 12976 3011 12982 3023
+rect 12937 2983 12982 3011
+rect 10483 2974 10541 2980
+rect 6794 2909 7838 2937
+rect 6794 2897 6800 2909
+rect 8944 2897 8950 2949
+rect 9002 2937 9008 2949
+rect 10498 2937 10526 2974
+rect 12976 2971 12982 2983
+rect 13034 2971 13040 3023
+rect 13360 2971 13366 3023
+rect 13418 3011 13424 3023
+rect 13747 3014 13805 3020
+rect 13747 3011 13759 3014
+rect 13418 2983 13759 3011
+rect 13418 2971 13424 2983
+rect 13747 2980 13759 2983
+rect 13793 2980 13805 3014
+rect 13747 2974 13805 2980
+rect 14512 2971 14518 3023
+rect 14570 3011 14576 3023
+rect 15091 3014 15149 3020
+rect 15091 3011 15103 3014
+rect 14570 2983 15103 3011
+rect 14570 2971 14576 2983
+rect 15091 2980 15103 2983
+rect 15137 2980 15149 3014
+rect 16624 3011 16630 3023
+rect 16585 2983 16630 3011
+rect 15091 2974 15149 2980
+rect 16624 2971 16630 2983
+rect 16682 2971 16688 3023
+rect 17008 2971 17014 3023
+rect 17066 3011 17072 3023
+rect 17779 3014 17837 3020
+rect 17779 3011 17791 3014
+rect 17066 2983 17791 3011
+rect 17066 2971 17072 2983
+rect 17779 2980 17791 2983
+rect 17825 2980 17837 3014
+rect 17779 2974 17837 2980
+rect 18547 3014 18605 3020
+rect 18547 2980 18559 3014
+rect 18593 2980 18605 3014
+rect 18547 2974 18605 2980
+rect 9002 2909 10526 2937
+rect 9002 2897 9008 2909
+rect 13840 2897 13846 2949
+rect 13898 2937 13904 2949
+rect 14704 2937 14710 2949
+rect 13898 2909 14710 2937
+rect 13898 2897 13904 2909
+rect 14704 2897 14710 2909
+rect 14762 2897 14768 2949
+rect 14896 2937 14902 2949
+rect 14818 2909 14902 2937
+rect 5162 2761 5246 2789
+rect 5162 2749 5168 2761
+rect 14704 2749 14710 2801
+rect 14762 2789 14768 2801
+rect 14818 2789 14846 2909
+rect 14896 2897 14902 2909
+rect 14954 2897 14960 2949
+rect 15184 2937 15190 2949
+rect 15010 2909 15190 2937
+rect 14762 2761 14846 2789
+rect 14762 2749 14768 2761
+rect 14896 2749 14902 2801
+rect 14954 2789 14960 2801
+rect 15010 2789 15038 2909
+rect 15184 2897 15190 2909
+rect 15242 2897 15248 2949
+rect 17680 2897 17686 2949
+rect 17738 2937 17744 2949
+rect 18562 2937 18590 2974
+rect 17738 2909 18590 2937
+rect 17738 2897 17744 2909
+rect 18832 2897 18838 2949
+rect 18890 2937 18896 2949
+rect 18946 2937 18974 3057
+rect 19600 2971 19606 3023
+rect 19658 3011 19664 3023
+rect 20482 3020 20510 3057
+rect 22384 3045 22390 3097
+rect 22442 3085 22448 3097
+rect 23536 3085 23542 3097
+rect 22442 3057 23542 3085
+rect 22442 3045 22448 3057
+rect 23536 3045 23542 3057
+rect 23594 3045 23600 3097
+rect 23824 3045 23830 3097
+rect 23882 3085 23888 3097
+rect 25072 3085 25078 3097
+rect 23882 3057 25078 3085
+rect 23882 3045 23888 3057
+rect 25072 3045 25078 3057
+rect 25130 3045 25136 3097
+rect 25360 3045 25366 3097
+rect 25418 3085 25424 3097
+rect 26224 3085 26230 3097
+rect 25418 3057 26230 3085
+rect 25418 3045 25424 3057
+rect 26224 3045 26230 3057
+rect 26282 3045 26288 3097
+rect 27472 3045 27478 3097
+rect 27530 3085 27536 3097
+rect 28912 3085 28918 3097
+rect 27530 3057 28918 3085
+rect 27530 3045 27536 3057
+rect 28912 3045 28918 3057
+rect 28970 3045 28976 3097
+rect 29392 3045 29398 3097
+rect 29450 3085 29456 3097
+rect 31120 3085 31126 3097
+rect 29450 3057 31126 3085
+rect 29450 3045 29456 3057
+rect 31120 3045 31126 3057
+rect 31178 3045 31184 3097
+rect 31888 3045 31894 3097
+rect 31946 3085 31952 3097
+rect 33328 3085 33334 3097
+rect 31946 3057 33334 3085
+rect 31946 3045 31952 3057
+rect 33328 3045 33334 3057
+rect 33386 3045 33392 3097
+rect 34480 3045 34486 3097
+rect 34538 3085 34544 3097
+rect 35632 3085 35638 3097
+rect 34538 3057 35638 3085
+rect 34538 3045 34544 3057
+rect 35632 3045 35638 3057
+rect 35690 3045 35696 3097
+rect 35920 3045 35926 3097
+rect 35978 3085 35984 3097
+rect 36112 3085 36118 3097
+rect 35978 3057 36118 3085
+rect 35978 3045 35984 3057
+rect 36112 3045 36118 3057
+rect 36170 3045 36176 3097
+rect 36688 3045 36694 3097
+rect 36746 3085 36752 3097
+rect 37552 3085 37558 3097
+rect 36746 3057 37558 3085
+rect 36746 3045 36752 3057
+rect 37552 3045 37558 3057
+rect 37610 3045 37616 3097
+rect 38320 3045 38326 3097
+rect 38378 3085 38384 3097
+rect 38378 3057 40094 3085
+rect 38378 3045 38384 3057
+rect 20467 3014 20525 3020
+rect 19658 2983 20126 3011
+rect 19658 2971 19664 2983
+rect 18890 2909 18974 2937
+rect 18890 2897 18896 2909
+rect 19792 2897 19798 2949
+rect 19850 2937 19856 2949
+rect 19984 2937 19990 2949
+rect 19850 2909 19990 2937
+rect 19850 2897 19856 2909
+rect 19984 2897 19990 2909
+rect 20042 2897 20048 2949
+rect 20098 2937 20126 2983
+rect 20467 2980 20479 3014
+rect 20513 2980 20525 3014
+rect 21235 3014 21293 3020
+rect 21235 3011 21247 3014
+rect 20467 2974 20525 2980
+rect 20578 2983 21247 3011
+rect 20578 2937 20606 2983
+rect 21235 2980 21247 2983
+rect 21281 2980 21293 3014
+rect 21235 2974 21293 2980
+rect 21424 2971 21430 3023
+rect 21482 3011 21488 3023
+rect 23155 3014 23213 3020
+rect 23155 3011 23167 3014
+rect 21482 2983 23167 3011
+rect 21482 2971 21488 2983
+rect 23155 2980 23167 2983
+rect 23201 2980 23213 3014
+rect 23155 2974 23213 2980
+rect 23923 3014 23981 3020
+rect 23923 2980 23935 3014
+rect 23969 2980 23981 3014
+rect 23923 2974 23981 2980
+rect 20848 2937 20854 2949
+rect 20098 2909 20606 2937
+rect 20674 2909 20854 2937
+rect 14954 2761 15038 2789
+rect 14954 2749 14960 2761
+rect 20176 2749 20182 2801
+rect 20234 2789 20240 2801
+rect 20674 2789 20702 2909
+rect 20848 2897 20854 2909
+rect 20906 2897 20912 2949
+rect 20944 2897 20950 2949
+rect 21002 2937 21008 2949
+rect 21712 2937 21718 2949
+rect 21002 2909 21718 2937
+rect 21002 2897 21008 2909
+rect 21712 2897 21718 2909
+rect 21770 2897 21776 2949
+rect 22480 2897 22486 2949
+rect 22538 2937 22544 2949
+rect 23938 2937 23966 2974
+rect 24016 2971 24022 3023
+rect 24074 3011 24080 3023
+rect 25843 3014 25901 3020
+rect 25843 3011 25855 3014
+rect 24074 2983 25855 3011
+rect 24074 2971 24080 2983
+rect 25843 2980 25855 2983
+rect 25889 2980 25901 3014
+rect 25843 2974 25901 2980
+rect 26611 3014 26669 3020
+rect 26611 2980 26623 3014
+rect 26657 2980 26669 3014
+rect 26611 2974 26669 2980
+rect 22538 2909 23966 2937
+rect 22538 2897 22544 2909
+rect 25072 2897 25078 2949
+rect 25130 2937 25136 2949
+rect 26626 2937 26654 2974
+rect 26896 2971 26902 3023
+rect 26954 3011 26960 3023
+rect 28531 3014 28589 3020
+rect 28531 3011 28543 3014
+rect 26954 2983 28543 3011
+rect 26954 2971 26960 2983
+rect 28531 2980 28543 2983
+rect 28577 2980 28589 3014
+rect 28531 2974 28589 2980
+rect 29299 3014 29357 3020
+rect 29299 2980 29311 3014
+rect 29345 2980 29357 3014
+rect 29299 2974 29357 2980
+rect 25130 2909 26654 2937
+rect 25130 2897 25136 2909
+rect 27664 2897 27670 2949
+rect 27722 2937 27728 2949
+rect 29314 2937 29342 2974
+rect 29872 2971 29878 3023
+rect 29930 3011 29936 3023
+rect 31219 3014 31277 3020
+rect 31219 3011 31231 3014
+rect 29930 2983 31231 3011
+rect 29930 2971 29936 2983
+rect 31219 2980 31231 2983
+rect 31265 2980 31277 3014
+rect 31219 2974 31277 2980
+rect 31987 3014 32045 3020
+rect 31987 2980 31999 3014
+rect 32033 2980 32045 3014
+rect 31987 2974 32045 2980
+rect 27722 2909 29342 2937
+rect 27722 2897 27728 2909
+rect 30544 2897 30550 2949
+rect 30602 2937 30608 2949
+rect 32002 2937 32030 2974
+rect 32080 2971 32086 3023
+rect 32138 3011 32144 3023
+rect 33907 3014 33965 3020
+rect 33907 3011 33919 3014
+rect 32138 2983 33919 3011
+rect 32138 2971 32144 2983
+rect 33907 2980 33919 2983
+rect 33953 2980 33965 3014
+rect 33907 2974 33965 2980
+rect 34675 3014 34733 3020
+rect 34675 2980 34687 3014
+rect 34721 2980 34733 3014
+rect 34675 2974 34733 2980
+rect 30602 2909 32030 2937
+rect 30602 2897 30608 2909
+rect 32272 2897 32278 2949
+rect 32330 2937 32336 2949
+rect 33136 2937 33142 2949
+rect 32330 2909 33142 2937
+rect 32330 2897 32336 2909
+rect 33136 2897 33142 2909
+rect 33194 2897 33200 2949
+rect 33328 2897 33334 2949
+rect 33386 2937 33392 2949
+rect 34690 2937 34718 2974
+rect 35440 2971 35446 3023
+rect 35498 3011 35504 3023
+rect 40066 3020 40094 3057
+rect 42544 3045 42550 3097
+rect 42602 3085 42608 3097
+rect 43312 3085 43318 3097
+rect 42602 3057 43318 3085
+rect 42602 3045 42608 3057
+rect 43312 3045 43318 3057
+rect 43370 3045 43376 3097
+rect 44464 3045 44470 3097
+rect 44522 3085 44528 3097
+rect 45136 3085 45142 3097
+rect 44522 3057 45142 3085
+rect 44522 3045 44528 3057
+rect 45136 3045 45142 3057
+rect 45194 3045 45200 3097
+rect 46288 3045 46294 3097
+rect 46346 3085 46352 3097
+rect 47632 3085 47638 3097
+rect 46346 3057 47638 3085
+rect 46346 3045 46352 3057
+rect 47632 3045 47638 3057
+rect 47690 3045 47696 3097
+rect 51760 3045 51766 3097
+rect 51818 3085 51824 3097
+rect 52240 3085 52246 3097
+rect 51818 3057 52246 3085
+rect 51818 3045 51824 3057
+rect 52240 3045 52246 3057
+rect 52298 3045 52304 3097
+rect 36595 3014 36653 3020
+rect 36595 3011 36607 3014
+rect 35498 2983 36607 3011
+rect 35498 2971 35504 2983
+rect 36595 2980 36607 2983
+rect 36641 2980 36653 3014
+rect 36595 2974 36653 2980
+rect 37363 3014 37421 3020
+rect 37363 2980 37375 3014
+rect 37409 2980 37421 3014
+rect 39283 3014 39341 3020
+rect 39283 3011 39295 3014
+rect 37363 2974 37421 2980
+rect 37570 2983 39295 3011
+rect 33386 2909 34718 2937
+rect 33386 2897 33392 2909
+rect 35344 2897 35350 2949
+rect 35402 2937 35408 2949
+rect 36016 2937 36022 2949
+rect 35402 2909 36022 2937
+rect 35402 2897 35408 2909
+rect 36016 2897 36022 2909
+rect 36074 2897 36080 2949
+rect 36112 2897 36118 2949
+rect 36170 2937 36176 2949
+rect 37378 2937 37406 2974
+rect 37570 2949 37598 2983
+rect 39283 2980 39295 2983
+rect 39329 2980 39341 3014
+rect 39283 2974 39341 2980
+rect 40051 3014 40109 3020
+rect 40051 2980 40063 3014
+rect 40097 2980 40109 3014
+rect 40051 2974 40109 2980
+rect 40528 2971 40534 3023
+rect 40586 3011 40592 3023
+rect 41971 3014 42029 3020
+rect 41971 3011 41983 3014
+rect 40586 2983 41983 3011
+rect 40586 2971 40592 2983
+rect 41971 2980 41983 2983
+rect 42017 2980 42029 3014
+rect 41971 2974 42029 2980
+rect 42739 3014 42797 3020
+rect 42739 2980 42751 3014
+rect 42785 2980 42797 3014
+rect 42739 2974 42797 2980
+rect 36170 2909 37406 2937
+rect 36170 2897 36176 2909
+rect 37552 2897 37558 2949
+rect 37610 2897 37616 2949
+rect 38128 2897 38134 2949
+rect 38186 2937 38192 2949
+rect 39088 2937 39094 2949
+rect 38186 2909 39094 2937
+rect 38186 2897 38192 2909
+rect 39088 2897 39094 2909
+rect 39146 2897 39152 2949
+rect 39664 2897 39670 2949
+rect 39722 2937 39728 2949
+rect 40912 2937 40918 2949
+rect 39722 2909 40918 2937
+rect 39722 2897 39728 2909
+rect 40912 2897 40918 2909
+rect 40970 2897 40976 2949
+rect 41200 2897 41206 2949
+rect 41258 2937 41264 2949
+rect 42754 2937 42782 2974
+rect 43024 2971 43030 3023
+rect 43082 3011 43088 3023
+rect 44659 3014 44717 3020
+rect 44659 3011 44671 3014
+rect 43082 2983 44671 3011
+rect 43082 2971 43088 2983
+rect 44659 2980 44671 2983
+rect 44705 2980 44717 3014
+rect 44659 2974 44717 2980
+rect 45427 3014 45485 3020
+rect 45427 2980 45439 3014
+rect 45473 2980 45485 3014
+rect 45427 2974 45485 2980
+rect 41258 2909 42782 2937
+rect 41258 2897 41264 2909
+rect 43312 2897 43318 2949
+rect 43370 2937 43376 2949
+rect 43504 2937 43510 2949
+rect 43370 2909 43510 2937
+rect 43370 2897 43376 2909
+rect 43504 2897 43510 2909
+rect 43562 2897 43568 2949
+rect 44176 2897 44182 2949
+rect 44234 2937 44240 2949
+rect 45442 2937 45470 2974
+rect 45616 2971 45622 3023
+rect 45674 3011 45680 3023
+rect 47347 3014 47405 3020
+rect 47347 3011 47359 3014
+rect 45674 2983 47359 3011
+rect 45674 2971 45680 2983
+rect 47347 2980 47359 2983
+rect 47393 2980 47405 3014
+rect 48115 3014 48173 3020
+rect 48115 3011 48127 3014
+rect 47347 2974 47405 2980
+rect 47506 2983 48127 3011
+rect 45712 2937 45718 2949
+rect 44234 2909 45470 2937
+rect 45538 2909 45718 2937
+rect 44234 2897 44240 2909
+rect 29776 2823 29782 2875
+rect 29834 2863 29840 2875
+rect 30259 2866 30317 2872
+rect 30259 2863 30271 2866
+rect 29834 2835 30271 2863
+rect 29834 2823 29840 2835
+rect 30259 2832 30271 2835
+rect 30305 2832 30317 2866
+rect 30259 2826 30317 2832
+rect 35632 2823 35638 2875
+rect 35690 2863 35696 2875
+rect 35731 2866 35789 2872
+rect 35731 2863 35743 2866
+rect 35690 2835 35743 2863
+rect 35690 2823 35696 2835
+rect 35731 2832 35743 2835
+rect 35777 2832 35789 2866
+rect 35731 2826 35789 2832
+rect 45136 2823 45142 2875
+rect 45194 2863 45200 2875
+rect 45538 2863 45566 2909
+rect 45712 2897 45718 2909
+rect 45770 2897 45776 2949
+rect 46384 2897 46390 2949
+rect 46442 2937 46448 2949
+rect 47506 2937 47534 2983
+rect 48115 2980 48127 2983
+rect 48161 2980 48173 3014
+rect 48115 2974 48173 2980
+rect 49648 2971 49654 3023
+rect 49706 3011 49712 3023
+rect 50035 3014 50093 3020
+rect 50035 3011 50047 3014
+rect 49706 2983 50047 3011
+rect 49706 2971 49712 2983
+rect 50035 2980 50047 2983
+rect 50081 2980 50093 3014
+rect 50035 2974 50093 2980
+rect 50803 3014 50861 3020
+rect 50803 2980 50815 3014
+rect 50849 2980 50861 3014
+rect 50803 2974 50861 2980
+rect 46442 2909 47534 2937
+rect 46442 2897 46448 2909
+rect 47632 2897 47638 2949
+rect 47690 2937 47696 2949
+rect 48304 2937 48310 2949
+rect 47690 2909 48310 2937
+rect 47690 2897 47696 2909
+rect 48304 2897 48310 2909
+rect 48362 2897 48368 2949
+rect 49072 2897 49078 2949
+rect 49130 2937 49136 2949
+rect 49744 2937 49750 2949
+rect 49130 2909 49750 2937
+rect 49130 2897 49136 2909
+rect 49744 2897 49750 2909
+rect 49802 2897 49808 2949
+rect 50818 2937 50846 2974
+rect 51472 2971 51478 3023
+rect 51530 3011 51536 3023
+rect 52723 3014 52781 3020
+rect 52723 3011 52735 3014
+rect 51530 2983 52735 3011
+rect 51530 2971 51536 2983
+rect 52723 2980 52735 2983
+rect 52769 2980 52781 3014
+rect 53491 3014 53549 3020
+rect 53491 3011 53503 3014
+rect 52723 2974 52781 2980
+rect 52834 2983 53503 3011
+rect 50050 2909 50846 2937
+rect 50050 2875 50078 2909
+rect 51376 2897 51382 2949
+rect 51434 2937 51440 2949
+rect 51856 2937 51862 2949
+rect 51434 2909 51862 2937
+rect 51434 2897 51440 2909
+rect 51856 2897 51862 2909
+rect 51914 2897 51920 2949
+rect 52240 2897 52246 2949
+rect 52298 2937 52304 2949
+rect 52834 2937 52862 2983
+rect 53491 2980 53503 2983
+rect 53537 2980 53549 3014
+rect 53491 2974 53549 2980
+rect 53776 2971 53782 3023
+rect 53834 3011 53840 3023
+rect 55411 3014 55469 3020
+rect 55411 3011 55423 3014
+rect 53834 2983 55423 3011
+rect 53834 2971 53840 2983
+rect 55411 2980 55423 2983
+rect 55457 2980 55469 3014
+rect 55411 2974 55469 2980
+rect 56179 3014 56237 3020
+rect 56179 2980 56191 3014
+rect 56225 2980 56237 3014
+rect 56179 2974 56237 2980
+rect 52298 2909 52862 2937
+rect 52298 2897 52304 2909
+rect 52912 2897 52918 2949
+rect 52970 2937 52976 2949
+rect 53680 2937 53686 2949
+rect 52970 2909 53686 2937
+rect 52970 2897 52976 2909
+rect 53680 2897 53686 2909
+rect 53738 2897 53744 2949
+rect 54832 2897 54838 2949
+rect 54890 2937 54896 2949
+rect 56194 2937 56222 2974
+rect 56848 2971 56854 3023
+rect 56906 3011 56912 3023
+rect 58000 3011 58006 3023
+rect 56906 2983 58006 3011
+rect 56906 2971 56912 2983
+rect 58000 2971 58006 2983
+rect 58058 2971 58064 3023
+rect 54890 2909 56222 2937
+rect 54890 2897 54896 2909
+rect 45194 2835 45566 2863
+rect 45194 2823 45200 2835
+rect 50032 2823 50038 2875
+rect 50090 2823 50096 2875
+rect 20234 2761 20702 2789
+rect 20234 2749 20240 2761
+rect 36016 2749 36022 2801
+rect 36074 2789 36080 2801
+rect 36208 2789 36214 2801
+rect 36074 2761 36214 2789
+rect 36074 2749 36080 2761
+rect 36208 2749 36214 2761
+rect 36266 2749 36272 2801
+rect 41011 2792 41069 2798
+rect 41011 2758 41023 2792
+rect 41057 2789 41069 2792
+rect 55120 2789 55126 2801
+rect 41057 2761 55126 2789
+rect 41057 2758 41069 2761
+rect 41011 2752 41069 2758
+rect 55120 2749 55126 2761
+rect 55178 2749 55184 2801
+rect 1152 2690 58848 2712
+rect 1152 2638 4294 2690
+rect 4346 2638 4358 2690
+rect 4410 2638 4422 2690
+rect 4474 2638 4486 2690
+rect 4538 2638 35014 2690
+rect 35066 2638 35078 2690
+rect 35130 2638 35142 2690
+rect 35194 2638 35206 2690
+rect 35258 2638 58848 2690
+rect 1152 2616 58848 2638
+rect 3952 2527 3958 2579
+rect 4010 2567 4016 2579
+rect 4240 2567 4246 2579
+rect 4010 2539 4246 2567
+rect 4010 2527 4016 2539
+rect 4240 2527 4246 2539
+rect 4298 2527 4304 2579
+rect 4336 2527 4342 2579
+rect 4394 2567 4400 2579
+rect 4816 2567 4822 2579
+rect 4394 2539 4822 2567
+rect 4394 2527 4400 2539
+rect 4816 2527 4822 2539
+rect 4874 2527 4880 2579
+rect 19504 2527 19510 2579
+rect 19562 2567 19568 2579
+rect 20080 2567 20086 2579
+rect 19562 2539 20086 2567
+rect 19562 2527 19568 2539
+rect 20080 2527 20086 2539
+rect 20138 2527 20144 2579
+rect 33424 2527 33430 2579
+rect 33482 2567 33488 2579
+rect 33712 2567 33718 2579
+rect 33482 2539 33718 2567
+rect 33482 2527 33488 2539
+rect 33712 2527 33718 2539
+rect 33770 2527 33776 2579
+rect 35152 2527 35158 2579
+rect 35210 2567 35216 2579
+rect 35536 2567 35542 2579
+rect 35210 2539 35542 2567
+rect 35210 2527 35216 2539
+rect 35536 2527 35542 2539
+rect 35594 2527 35600 2579
+rect 36304 2527 36310 2579
+rect 36362 2527 36368 2579
+rect 43216 2527 43222 2579
+rect 43274 2567 43280 2579
+rect 43984 2567 43990 2579
+rect 43274 2539 43990 2567
+rect 43274 2527 43280 2539
+rect 43984 2527 43990 2539
+rect 44042 2527 44048 2579
+rect 46096 2527 46102 2579
+rect 46154 2567 46160 2579
+rect 47056 2567 47062 2579
+rect 46154 2539 47062 2567
+rect 46154 2527 46160 2539
+rect 47056 2527 47062 2539
+rect 47114 2527 47120 2579
+rect 36322 2357 36350 2527
+rect 36304 2305 36310 2357
+rect 36362 2305 36368 2357
+rect 4720 2009 4726 2061
+rect 4778 2049 4784 2061
+rect 5296 2049 5302 2061
+rect 4778 2021 5302 2049
+rect 4778 2009 4784 2021
+rect 5296 2009 5302 2021
+rect 5354 2009 5360 2061
+rect 4528 1861 4534 1913
+rect 4586 1901 4592 1913
+rect 4816 1901 4822 1913
+rect 4586 1873 4822 1901
+rect 4586 1861 4592 1873
+rect 4816 1861 4822 1873
+rect 4874 1861 4880 1913
+rect 15280 1713 15286 1765
+rect 15338 1753 15344 1765
+rect 15568 1753 15574 1765
+rect 15338 1725 15574 1753
+rect 15338 1713 15344 1725
+rect 15568 1713 15574 1725
+rect 15626 1713 15632 1765
+rect 30352 1713 30358 1765
+rect 30410 1753 30416 1765
+rect 30640 1753 30646 1765
+rect 30410 1725 30646 1753
+rect 30410 1713 30416 1725
+rect 30640 1713 30646 1725
+rect 30698 1713 30704 1765
+rect 34864 1713 34870 1765
+rect 34922 1753 34928 1765
+rect 35920 1753 35926 1765
+rect 34922 1725 35926 1753
+rect 34922 1713 34928 1725
+rect 35920 1713 35926 1725
+rect 35978 1713 35984 1765
+rect 39952 1713 39958 1765
+rect 40010 1753 40016 1765
+rect 40240 1753 40246 1765
+rect 40010 1725 40246 1753
+rect 40010 1713 40016 1725
+rect 40240 1713 40246 1725
+rect 40298 1713 40304 1765
+rect 41008 1713 41014 1765
+rect 41066 1753 41072 1765
+rect 41296 1753 41302 1765
+rect 41066 1725 41302 1753
+rect 41066 1713 41072 1725
+rect 41296 1713 41302 1725
+rect 41354 1713 41360 1765
+rect 50704 1713 50710 1765
+rect 50762 1753 50768 1765
+rect 50896 1753 50902 1765
+rect 50762 1725 50902 1753
+rect 50762 1713 50768 1725
+rect 50896 1713 50902 1725
+rect 50954 1713 50960 1765
+rect 15088 1639 15094 1691
+rect 15146 1679 15152 1691
+rect 15376 1679 15382 1691
+rect 15146 1651 15382 1679
+rect 15146 1639 15152 1651
+rect 15376 1639 15382 1651
+rect 15434 1639 15440 1691
+rect 50512 1639 50518 1691
+rect 50570 1679 50576 1691
+rect 51088 1679 51094 1691
+rect 50570 1651 51094 1679
+rect 50570 1639 50576 1651
+rect 51088 1639 51094 1651
+rect 51146 1639 51152 1691
+rect 50896 1565 50902 1617
+rect 50954 1605 50960 1617
+rect 51568 1605 51574 1617
+rect 50954 1577 51574 1605
+rect 50954 1565 50960 1577
+rect 51568 1565 51574 1577
+rect 51626 1565 51632 1617
+rect 33232 1417 33238 1469
+rect 33290 1457 33296 1469
+rect 34192 1457 34198 1469
+rect 33290 1429 34198 1457
+rect 33290 1417 33296 1429
+rect 34192 1417 34198 1429
+rect 34250 1417 34256 1469
+<< via1 >>
+rect 4294 57250 4346 57302
+rect 4358 57250 4410 57302
+rect 4422 57250 4474 57302
+rect 4486 57250 4538 57302
+rect 35014 57250 35066 57302
+rect 35078 57250 35130 57302
+rect 35142 57250 35194 57302
+rect 35206 57250 35258 57302
+rect 1750 56991 1802 57043
+rect 214 56917 266 56969
+rect 3286 56991 3338 57043
+rect 4918 56917 4970 56969
+rect 9622 56991 9674 57043
+rect 11254 56991 11306 57043
+rect 6454 56917 6506 56969
+rect 8086 56960 8138 56969
+rect 8086 56926 8095 56960
+rect 8095 56926 8129 56960
+rect 8129 56926 8138 56960
+rect 8086 56917 8138 56926
+rect 16438 56991 16490 57043
+rect 29110 56991 29162 57043
+rect 12790 56917 12842 56969
+rect 14422 56917 14474 56969
+rect 15958 56917 16010 56969
+rect 17494 56917 17546 56969
+rect 19126 56917 19178 56969
+rect 20662 56917 20714 56969
+rect 22294 56917 22346 56969
+rect 23830 56917 23882 56969
+rect 25462 56917 25514 56969
+rect 26998 56917 27050 56969
+rect 28630 56960 28682 56969
+rect 28630 56926 28639 56960
+rect 28639 56926 28673 56960
+rect 28673 56926 28682 56960
+rect 28630 56917 28682 56926
+rect 30262 56960 30314 56969
+rect 30262 56926 30271 56960
+rect 30271 56926 30305 56960
+rect 30305 56926 30314 56960
+rect 30262 56917 30314 56926
+rect 31702 56960 31754 56969
+rect 31702 56926 31711 56960
+rect 31711 56926 31745 56960
+rect 31745 56926 31754 56960
+rect 31702 56917 31754 56926
+rect 33334 56917 33386 56969
+rect 34870 56960 34922 56969
+rect 34870 56926 34879 56960
+rect 34879 56926 34913 56960
+rect 34913 56926 34922 56960
+rect 34870 56917 34922 56926
+rect 38038 56960 38090 56969
+rect 38038 56926 38047 56960
+rect 38047 56926 38081 56960
+rect 38081 56926 38090 56960
+rect 38038 56917 38090 56926
+rect 41206 56917 41258 56969
+rect 44374 56917 44426 56969
+rect 47542 56960 47594 56969
+rect 47542 56926 47551 56960
+rect 47551 56926 47585 56960
+rect 47585 56926 47594 56960
+rect 53878 56960 53930 56969
+rect 47542 56917 47594 56926
+rect 53878 56926 53887 56960
+rect 53887 56926 53921 56960
+rect 53921 56926 53930 56960
+rect 53878 56917 53930 56926
+rect 2614 56886 2666 56895
+rect 2614 56852 2623 56886
+rect 2623 56852 2657 56886
+rect 2657 56852 2666 56886
+rect 2614 56843 2666 56852
+rect 5110 56886 5162 56895
+rect 5110 56852 5119 56886
+rect 5119 56852 5153 56886
+rect 5153 56852 5162 56886
+rect 5110 56843 5162 56852
+rect 8278 56843 8330 56895
+rect 11254 56886 11306 56895
+rect 11254 56852 11263 56886
+rect 11263 56852 11297 56886
+rect 11297 56852 11306 56886
+rect 11254 56843 11306 56852
+rect 14038 56886 14090 56895
+rect 3574 56769 3626 56821
+rect 10870 56769 10922 56821
+rect 14038 56852 14047 56886
+rect 14047 56852 14081 56886
+rect 14081 56852 14090 56886
+rect 14038 56843 14090 56852
+rect 16150 56886 16202 56895
+rect 16150 56852 16159 56886
+rect 16159 56852 16193 56886
+rect 16193 56852 16202 56886
+rect 16150 56843 16202 56852
+rect 17974 56886 18026 56895
+rect 17974 56852 17983 56886
+rect 17983 56852 18017 56886
+rect 18017 56852 18026 56886
+rect 17974 56843 18026 56852
+rect 19318 56886 19370 56895
+rect 19318 56852 19327 56886
+rect 19327 56852 19361 56886
+rect 19361 56852 19370 56886
+rect 19318 56843 19370 56852
+rect 20854 56886 20906 56895
+rect 20854 56852 20863 56886
+rect 20863 56852 20897 56886
+rect 20897 56852 20906 56886
+rect 20854 56843 20906 56852
+rect 27094 56843 27146 56895
+rect 30070 56886 30122 56895
+rect 30070 56852 30079 56886
+rect 30079 56852 30113 56886
+rect 30113 56852 30122 56886
+rect 30070 56843 30122 56852
+rect 32662 56886 32714 56895
+rect 32662 56852 32671 56886
+rect 32671 56852 32705 56886
+rect 32705 56852 32714 56886
+rect 32662 56843 32714 56852
+rect 34102 56886 34154 56895
+rect 34102 56852 34111 56886
+rect 34111 56852 34145 56886
+rect 34145 56852 34154 56886
+rect 34102 56843 34154 56852
+rect 36502 56843 36554 56895
+rect 39670 56843 39722 56895
+rect 22294 56769 22346 56821
+rect 42838 56843 42890 56895
+rect 45910 56843 45962 56895
+rect 49078 56843 49130 56895
+rect 50710 56843 50762 56895
+rect 52246 56843 52298 56895
+rect 55414 56843 55466 56895
+rect 57046 56886 57098 56895
+rect 57046 56852 57055 56886
+rect 57055 56852 57089 56886
+rect 57089 56852 57098 56886
+rect 57046 56843 57098 56852
+rect 41014 56769 41066 56821
+rect 9622 56695 9674 56747
+rect 35350 56695 35402 56747
+rect 39766 56738 39818 56747
+rect 39766 56704 39775 56738
+rect 39775 56704 39809 56738
+rect 39809 56704 39818 56738
+rect 39766 56695 39818 56704
+rect 40438 56738 40490 56747
+rect 40438 56704 40447 56738
+rect 40447 56704 40481 56738
+rect 40481 56704 40490 56738
+rect 40438 56695 40490 56704
+rect 40822 56738 40874 56747
+rect 40822 56704 40831 56738
+rect 40831 56704 40865 56738
+rect 40865 56704 40874 56738
+rect 40822 56695 40874 56704
+rect 42934 56738 42986 56747
+rect 42934 56704 42943 56738
+rect 42943 56704 42977 56738
+rect 42977 56704 42986 56738
+rect 42934 56695 42986 56704
+rect 46102 56695 46154 56747
+rect 48694 56738 48746 56747
+rect 48694 56704 48703 56738
+rect 48703 56704 48737 56738
+rect 48737 56704 48746 56738
+rect 48694 56695 48746 56704
+rect 50806 56738 50858 56747
+rect 50806 56704 50815 56738
+rect 50815 56704 50849 56738
+rect 50849 56704 50858 56738
+rect 50806 56695 50858 56704
+rect 52822 56738 52874 56747
+rect 52822 56704 52831 56738
+rect 52831 56704 52865 56738
+rect 52865 56704 52874 56738
+rect 52822 56695 52874 56704
+rect 55510 56738 55562 56747
+rect 55510 56704 55519 56738
+rect 55519 56704 55553 56738
+rect 55553 56704 55562 56738
+rect 55510 56695 55562 56704
+rect 19654 56584 19706 56636
+rect 19718 56584 19770 56636
+rect 19782 56584 19834 56636
+rect 19846 56584 19898 56636
+rect 50374 56584 50426 56636
+rect 50438 56584 50490 56636
+rect 50502 56584 50554 56636
+rect 50566 56584 50618 56636
+rect 694 56473 746 56525
+rect 2230 56473 2282 56525
+rect 2806 56473 2858 56525
+rect 3862 56473 3914 56525
+rect 5398 56473 5450 56525
+rect 5974 56473 6026 56525
+rect 7030 56473 7082 56525
+rect 8566 56516 8618 56525
+rect 8566 56482 8575 56516
+rect 8575 56482 8609 56516
+rect 8609 56482 8618 56516
+rect 8566 56473 8618 56482
+rect 10198 56473 10250 56525
+rect 10678 56473 10730 56525
+rect 11734 56473 11786 56525
+rect 12310 56473 12362 56525
+rect 13366 56473 13418 56525
+rect 14902 56473 14954 56525
+rect 17014 56473 17066 56525
+rect 18070 56473 18122 56525
+rect 18550 56473 18602 56525
+rect 19990 56473 20042 56525
+rect 21238 56473 21290 56525
+rect 21718 56473 21770 56525
+rect 22774 56473 22826 56525
+rect 24406 56473 24458 56525
+rect 25942 56473 25994 56525
+rect 26518 56473 26570 56525
+rect 27574 56473 27626 56525
+rect 28054 56473 28106 56525
+rect 29686 56516 29738 56525
+rect 29686 56482 29695 56516
+rect 29695 56482 29729 56516
+rect 29729 56482 29738 56516
+rect 29686 56473 29738 56482
+rect 30646 56473 30698 56525
+rect 31222 56473 31274 56525
+rect 32278 56473 32330 56525
+rect 33814 56473 33866 56525
+rect 34390 56473 34442 56525
+rect 35446 56473 35498 56525
+rect 36214 56473 36266 56525
+rect 37558 56473 37610 56525
+rect 38614 56473 38666 56525
+rect 40150 56516 40202 56525
+rect 40150 56482 40159 56516
+rect 40159 56482 40193 56516
+rect 40193 56482 40202 56516
+rect 40150 56473 40202 56482
+rect 41782 56473 41834 56525
+rect 42262 56473 42314 56525
+rect 43318 56473 43370 56525
+rect 43894 56473 43946 56525
+rect 44950 56473 45002 56525
+rect 46486 56473 46538 56525
+rect 48022 56473 48074 56525
+rect 49654 56473 49706 56525
+rect 50134 56473 50186 56525
+rect 52918 56473 52970 56525
+rect 53302 56473 53354 56525
+rect 54358 56473 54410 56525
+rect 54934 56473 54986 56525
+rect 55990 56473 56042 56525
+rect 28342 56399 28394 56451
+rect 25174 56325 25226 56377
+rect 42454 56399 42506 56451
+rect 43990 56399 44042 56451
+rect 38806 56325 38858 56377
+rect 46870 56325 46922 56377
+rect 52726 56325 52778 56377
+rect 3766 56251 3818 56303
+rect 22870 56251 22922 56303
+rect 35446 56251 35498 56303
+rect 43798 56251 43850 56303
+rect 43894 56251 43946 56303
+rect 47062 56251 47114 56303
+rect 58582 56251 58634 56303
+rect 1750 56220 1802 56229
+rect 1750 56186 1759 56220
+rect 1759 56186 1793 56220
+rect 1793 56186 1802 56220
+rect 1750 56177 1802 56186
+rect 3286 56220 3338 56229
+rect 3286 56186 3295 56220
+rect 3295 56186 3329 56220
+rect 3329 56186 3338 56220
+rect 3286 56177 3338 56186
+rect 4726 56177 4778 56229
+rect 5590 56220 5642 56229
+rect 5590 56186 5599 56220
+rect 5599 56186 5633 56220
+rect 5633 56186 5642 56220
+rect 5590 56177 5642 56186
+rect 6358 56220 6410 56229
+rect 6358 56186 6367 56220
+rect 6367 56186 6401 56220
+rect 6401 56186 6410 56220
+rect 6358 56177 6410 56186
+rect 7222 56220 7274 56229
+rect 7222 56186 7231 56220
+rect 7231 56186 7265 56220
+rect 7265 56186 7274 56220
+rect 8182 56220 8234 56229
+rect 7222 56177 7274 56186
+rect 8182 56186 8191 56220
+rect 8191 56186 8225 56220
+rect 8225 56186 8234 56220
+rect 8182 56177 8234 56186
+rect 10390 56220 10442 56229
+rect 10390 56186 10399 56220
+rect 10399 56186 10433 56220
+rect 10433 56186 10442 56220
+rect 10390 56177 10442 56186
+rect 11158 56220 11210 56229
+rect 11158 56186 11167 56220
+rect 11167 56186 11201 56220
+rect 11201 56186 11210 56220
+rect 11158 56177 11210 56186
+rect 11542 56220 11594 56229
+rect 11542 56186 11551 56220
+rect 11551 56186 11585 56220
+rect 11585 56186 11594 56220
+rect 11542 56177 11594 56186
+rect 12694 56220 12746 56229
+rect 12694 56186 12703 56220
+rect 12703 56186 12737 56220
+rect 12737 56186 12746 56220
+rect 12694 56177 12746 56186
+rect 15190 56177 15242 56229
+rect 15766 56220 15818 56229
+rect 15766 56186 15775 56220
+rect 15775 56186 15809 56220
+rect 15809 56186 15818 56220
+rect 15766 56177 15818 56186
+rect 17206 56220 17258 56229
+rect 15382 56103 15434 56155
+rect 17206 56186 17215 56220
+rect 17215 56186 17249 56220
+rect 17249 56186 17258 56220
+rect 17206 56177 17258 56186
+rect 17878 56220 17930 56229
+rect 17878 56186 17887 56220
+rect 17887 56186 17921 56220
+rect 17921 56186 17930 56220
+rect 17878 56177 17930 56186
+rect 20374 56220 20426 56229
+rect 20374 56186 20383 56220
+rect 20383 56186 20417 56220
+rect 20417 56186 20426 56220
+rect 20374 56177 20426 56186
+rect 21430 56220 21482 56229
+rect 21430 56186 21439 56220
+rect 21439 56186 21473 56220
+rect 21473 56186 21482 56220
+rect 21430 56177 21482 56186
+rect 22102 56220 22154 56229
+rect 22102 56186 22111 56220
+rect 22111 56186 22145 56220
+rect 22145 56186 22154 56220
+rect 22102 56177 22154 56186
+rect 22966 56220 23018 56229
+rect 22966 56186 22975 56220
+rect 22975 56186 23009 56220
+rect 23009 56186 23018 56220
+rect 24406 56220 24458 56229
+rect 22966 56177 23018 56186
+rect 24406 56186 24415 56220
+rect 24415 56186 24449 56220
+rect 24449 56186 24458 56220
+rect 24406 56177 24458 56186
+rect 26134 56220 26186 56229
+rect 26134 56186 26143 56220
+rect 26143 56186 26177 56220
+rect 26177 56186 26186 56220
+rect 26134 56177 26186 56186
+rect 26518 56220 26570 56229
+rect 26518 56186 26527 56220
+rect 26527 56186 26561 56220
+rect 26561 56186 26570 56220
+rect 26518 56177 26570 56186
+rect 27478 56220 27530 56229
+rect 27478 56186 27487 56220
+rect 27487 56186 27521 56220
+rect 27521 56186 27530 56220
+rect 27478 56177 27530 56186
+rect 28150 56220 28202 56229
+rect 28150 56186 28159 56220
+rect 28159 56186 28193 56220
+rect 28193 56186 28202 56220
+rect 28150 56177 28202 56186
+rect 29302 56220 29354 56229
+rect 29302 56186 29311 56220
+rect 29311 56186 29345 56220
+rect 29345 56186 29354 56220
+rect 29302 56177 29354 56186
+rect 30838 56220 30890 56229
+rect 30838 56186 30847 56220
+rect 30847 56186 30881 56220
+rect 30881 56186 30890 56220
+rect 30838 56177 30890 56186
+rect 33046 56177 33098 56229
+rect 34198 56220 34250 56229
+rect 32758 56103 32810 56155
+rect 34198 56186 34207 56220
+rect 34207 56186 34241 56220
+rect 34241 56186 34250 56220
+rect 34198 56177 34250 56186
+rect 34774 56220 34826 56229
+rect 34774 56186 34783 56220
+rect 34783 56186 34817 56220
+rect 34817 56186 34826 56220
+rect 34774 56177 34826 56186
+rect 36886 56220 36938 56229
+rect 36886 56186 36895 56220
+rect 36895 56186 36929 56220
+rect 36929 56186 36938 56220
+rect 36886 56177 36938 56186
+rect 37750 56220 37802 56229
+rect 37750 56186 37759 56220
+rect 37759 56186 37793 56220
+rect 37793 56186 37802 56220
+rect 37750 56177 37802 56186
+rect 38710 56220 38762 56229
+rect 38710 56186 38719 56220
+rect 38719 56186 38753 56220
+rect 38753 56186 38762 56220
+rect 38710 56177 38762 56186
+rect 42358 56220 42410 56229
+rect 42358 56186 42367 56220
+rect 42367 56186 42401 56220
+rect 42401 56186 42410 56220
+rect 42358 56177 42410 56186
+rect 43222 56220 43274 56229
+rect 43222 56186 43231 56220
+rect 43231 56186 43265 56220
+rect 43265 56186 43274 56220
+rect 43222 56177 43274 56186
+rect 44182 56220 44234 56229
+rect 44182 56186 44191 56220
+rect 44191 56186 44225 56220
+rect 44225 56186 44234 56220
+rect 44182 56177 44234 56186
+rect 44374 56177 44426 56229
+rect 48790 56177 48842 56229
+rect 48598 56103 48650 56155
+rect 49078 56177 49130 56229
+rect 52918 56220 52970 56229
+rect 51190 56103 51242 56155
+rect 52918 56186 52927 56220
+rect 52927 56186 52961 56220
+rect 52961 56186 52970 56220
+rect 52918 56177 52970 56186
+rect 53782 56220 53834 56229
+rect 53782 56186 53791 56220
+rect 53791 56186 53825 56220
+rect 53825 56186 53834 56220
+rect 53782 56177 53834 56186
+rect 54454 56220 54506 56229
+rect 54454 56186 54463 56220
+rect 54463 56186 54497 56220
+rect 54497 56186 54506 56220
+rect 54454 56177 54506 56186
+rect 55222 56220 55274 56229
+rect 55222 56186 55231 56220
+rect 55231 56186 55265 56220
+rect 55265 56186 55274 56220
+rect 55222 56177 55274 56186
+rect 36982 56029 37034 56081
+rect 40822 56029 40874 56081
+rect 4294 55918 4346 55970
+rect 4358 55918 4410 55970
+rect 4422 55918 4474 55970
+rect 4486 55918 4538 55970
+rect 35014 55918 35066 55970
+rect 35078 55918 35130 55970
+rect 35142 55918 35194 55970
+rect 35206 55918 35258 55970
+rect 49270 55733 49322 55785
+rect 1174 55659 1226 55711
+rect 4630 55659 4682 55711
+rect 7510 55659 7562 55711
+rect 9142 55659 9194 55711
+rect 13846 55659 13898 55711
+rect 20182 55659 20234 55711
+rect 23350 55659 23402 55711
+rect 24886 55659 24938 55711
+rect 39094 55659 39146 55711
+rect 40726 55659 40778 55711
+rect 45334 55659 45386 55711
+rect 45430 55659 45482 55711
+rect 46966 55659 47018 55711
+rect 51766 55659 51818 55711
+rect 56470 55659 56522 55711
+rect 57526 55659 57578 55711
+rect 7222 55585 7274 55637
+rect 1846 55511 1898 55563
+rect 4630 55511 4682 55563
+rect 7702 55554 7754 55563
+rect 7702 55520 7711 55554
+rect 7711 55520 7745 55554
+rect 7745 55520 7754 55554
+rect 7702 55511 7754 55520
+rect 8662 55554 8714 55563
+rect 8662 55520 8671 55554
+rect 8671 55520 8705 55554
+rect 8705 55520 8714 55554
+rect 8662 55511 8714 55520
+rect 9238 55554 9290 55563
+rect 9238 55520 9247 55554
+rect 9247 55520 9281 55554
+rect 9281 55520 9290 55554
+rect 9238 55511 9290 55520
+rect 10582 55511 10634 55563
+rect 15958 55554 16010 55563
+rect 15958 55520 15967 55554
+rect 15967 55520 16001 55554
+rect 16001 55520 16010 55554
+rect 15958 55511 16010 55520
+rect 24982 55511 25034 55563
+rect 39190 55554 39242 55563
+rect 39190 55520 39199 55554
+rect 39199 55520 39233 55554
+rect 39233 55520 39242 55554
+rect 39190 55511 39242 55520
+rect 40534 55511 40586 55563
+rect 45238 55511 45290 55563
+rect 49654 55511 49706 55563
+rect 51766 55511 51818 55563
+rect 32182 55437 32234 55489
+rect 19990 55406 20042 55415
+rect 19990 55372 19999 55406
+rect 19999 55372 20033 55406
+rect 20033 55372 20042 55406
+rect 19990 55363 20042 55372
+rect 23158 55406 23210 55415
+rect 23158 55372 23167 55406
+rect 23167 55372 23201 55406
+rect 23201 55372 23210 55406
+rect 23158 55363 23210 55372
+rect 40534 55406 40586 55415
+rect 40534 55372 40543 55406
+rect 40543 55372 40577 55406
+rect 40577 55372 40586 55406
+rect 40534 55363 40586 55372
+rect 45238 55406 45290 55415
+rect 45238 55372 45247 55406
+rect 45247 55372 45281 55406
+rect 45281 55372 45290 55406
+rect 45238 55363 45290 55372
+rect 51766 55363 51818 55415
+rect 57238 55363 57290 55415
+rect 19654 55252 19706 55304
+rect 19718 55252 19770 55304
+rect 19782 55252 19834 55304
+rect 19846 55252 19898 55304
+rect 50374 55252 50426 55304
+rect 50438 55252 50490 55304
+rect 50502 55252 50554 55304
+rect 50566 55252 50618 55304
+rect 15382 55141 15434 55193
+rect 40534 55141 40586 55193
+rect 59158 55141 59210 55193
+rect 15958 55067 16010 55119
+rect 37462 55067 37514 55119
+rect 8662 54919 8714 54971
+rect 40630 54919 40682 54971
+rect 26038 54845 26090 54897
+rect 2230 54740 2282 54749
+rect 2230 54706 2239 54740
+rect 2239 54706 2273 54740
+rect 2273 54706 2282 54740
+rect 2230 54697 2282 54706
+rect 10582 54697 10634 54749
+rect 41110 54740 41162 54749
+rect 41110 54706 41119 54740
+rect 41119 54706 41153 54740
+rect 41153 54706 41162 54740
+rect 41110 54697 41162 54706
+rect 4294 54586 4346 54638
+rect 4358 54586 4410 54638
+rect 4422 54586 4474 54638
+rect 4486 54586 4538 54638
+rect 35014 54586 35066 54638
+rect 35078 54586 35130 54638
+rect 35142 54586 35194 54638
+rect 35206 54586 35258 54638
+rect 49078 54475 49130 54527
+rect 43798 54327 43850 54379
+rect 58102 54327 58154 54379
+rect 6358 54253 6410 54305
+rect 10486 54179 10538 54231
+rect 57910 54222 57962 54231
+rect 18838 54105 18890 54157
+rect 57910 54188 57919 54222
+rect 57919 54188 57953 54222
+rect 57953 54188 57962 54222
+rect 57910 54179 57962 54188
+rect 44086 54031 44138 54083
+rect 19654 53920 19706 53972
+rect 19718 53920 19770 53972
+rect 19782 53920 19834 53972
+rect 19846 53920 19898 53972
+rect 50374 53920 50426 53972
+rect 50438 53920 50490 53972
+rect 50502 53920 50554 53972
+rect 50566 53920 50618 53972
+rect 59638 53809 59690 53861
+rect 40438 53513 40490 53565
+rect 18070 53365 18122 53417
+rect 57622 53408 57674 53417
+rect 57622 53374 57631 53408
+rect 57631 53374 57665 53408
+rect 57665 53374 57674 53408
+rect 57622 53365 57674 53374
+rect 4294 53254 4346 53306
+rect 4358 53254 4410 53306
+rect 4422 53254 4474 53306
+rect 4486 53254 4538 53306
+rect 35014 53254 35066 53306
+rect 35078 53254 35130 53306
+rect 35142 53254 35194 53306
+rect 35206 53254 35258 53306
+rect 2518 52847 2570 52899
+rect 53782 52847 53834 52899
+rect 19654 52588 19706 52640
+rect 19718 52588 19770 52640
+rect 19782 52588 19834 52640
+rect 19846 52588 19898 52640
+rect 50374 52588 50426 52640
+rect 50438 52588 50490 52640
+rect 50502 52588 50554 52640
+rect 50566 52588 50618 52640
+rect 22870 52477 22922 52529
+rect 28246 52033 28298 52085
+rect 48022 52076 48074 52085
+rect 48022 52042 48031 52076
+rect 48031 52042 48065 52076
+rect 48065 52042 48074 52076
+rect 48022 52033 48074 52042
+rect 4294 51922 4346 51974
+rect 4358 51922 4410 51974
+rect 4422 51922 4474 51974
+rect 4486 51922 4538 51974
+rect 35014 51922 35066 51974
+rect 35078 51922 35130 51974
+rect 35142 51922 35194 51974
+rect 35206 51922 35258 51974
+rect 15094 51410 15146 51419
+rect 15094 51376 15103 51410
+rect 15103 51376 15137 51410
+rect 15137 51376 15146 51410
+rect 15094 51367 15146 51376
+rect 18166 51367 18218 51419
+rect 26134 51515 26186 51567
+rect 46294 51441 46346 51493
+rect 19654 51256 19706 51308
+rect 19718 51256 19770 51308
+rect 19782 51256 19834 51308
+rect 19846 51256 19898 51308
+rect 50374 51256 50426 51308
+rect 50438 51256 50490 51308
+rect 50502 51256 50554 51308
+rect 50566 51256 50618 51308
+rect 52726 51188 52778 51197
+rect 52726 51154 52735 51188
+rect 52735 51154 52769 51188
+rect 52769 51154 52778 51188
+rect 52726 51145 52778 51154
+rect 8662 50744 8714 50753
+rect 8662 50710 8671 50744
+rect 8671 50710 8705 50744
+rect 8705 50710 8714 50744
+rect 8662 50701 8714 50710
+rect 27190 50701 27242 50753
+rect 4294 50590 4346 50642
+rect 4358 50590 4410 50642
+rect 4422 50590 4474 50642
+rect 4486 50590 4538 50642
+rect 35014 50590 35066 50642
+rect 35078 50590 35130 50642
+rect 35142 50590 35194 50642
+rect 35206 50590 35258 50642
+rect 8662 50479 8714 50531
+rect 42262 50479 42314 50531
+rect 46774 50183 46826 50235
+rect 52534 50183 52586 50235
+rect 19414 50109 19466 50161
+rect 10390 50035 10442 50087
+rect 52534 50078 52586 50087
+rect 52534 50044 52543 50078
+rect 52543 50044 52577 50078
+rect 52577 50044 52586 50078
+rect 52534 50035 52586 50044
+rect 55414 50078 55466 50087
+rect 55414 50044 55423 50078
+rect 55423 50044 55457 50078
+rect 55457 50044 55466 50078
+rect 55414 50035 55466 50044
+rect 19654 49924 19706 49976
+rect 19718 49924 19770 49976
+rect 19782 49924 19834 49976
+rect 19846 49924 19898 49976
+rect 50374 49924 50426 49976
+rect 50438 49924 50490 49976
+rect 50502 49924 50554 49976
+rect 50566 49924 50618 49976
+rect 38422 49813 38474 49865
+rect 55414 49813 55466 49865
+rect 13750 49739 13802 49791
+rect 52534 49739 52586 49791
+rect 38806 49369 38858 49421
+rect 4294 49258 4346 49310
+rect 4358 49258 4410 49310
+rect 4422 49258 4474 49310
+rect 4486 49258 4538 49310
+rect 35014 49258 35066 49310
+rect 35078 49258 35130 49310
+rect 35142 49258 35194 49310
+rect 35206 49258 35258 49310
+rect 55606 48851 55658 48903
+rect 53974 48777 54026 48829
+rect 19654 48592 19706 48644
+rect 19718 48592 19770 48644
+rect 19782 48592 19834 48644
+rect 19846 48592 19898 48644
+rect 50374 48592 50426 48644
+rect 50438 48592 50490 48644
+rect 50502 48592 50554 48644
+rect 50566 48592 50618 48644
+rect 4918 48080 4970 48089
+rect 4918 48046 4927 48080
+rect 4927 48046 4961 48080
+rect 4961 48046 4970 48080
+rect 4918 48037 4970 48046
+rect 5782 48037 5834 48089
+rect 23734 48080 23786 48089
+rect 23734 48046 23743 48080
+rect 23743 48046 23777 48080
+rect 23777 48046 23786 48080
+rect 23734 48037 23786 48046
+rect 4294 47926 4346 47978
+rect 4358 47926 4410 47978
+rect 4422 47926 4474 47978
+rect 4486 47926 4538 47978
+rect 35014 47926 35066 47978
+rect 35078 47926 35130 47978
+rect 35142 47926 35194 47978
+rect 35206 47926 35258 47978
+rect 7702 47815 7754 47867
+rect 23734 47815 23786 47867
+rect 52246 47815 52298 47867
+rect 4918 47741 4970 47793
+rect 25078 47741 25130 47793
+rect 22774 47519 22826 47571
+rect 43222 47371 43274 47423
+rect 19654 47260 19706 47312
+rect 19718 47260 19770 47312
+rect 19782 47260 19834 47312
+rect 19846 47260 19898 47312
+rect 50374 47260 50426 47312
+rect 50438 47260 50490 47312
+rect 50502 47260 50554 47312
+rect 50566 47260 50618 47312
+rect 22966 46779 23018 46831
+rect 23830 46748 23882 46757
+rect 23830 46714 23839 46748
+rect 23839 46714 23873 46748
+rect 23873 46714 23882 46748
+rect 23830 46705 23882 46714
+rect 31030 46748 31082 46757
+rect 31030 46714 31039 46748
+rect 31039 46714 31073 46748
+rect 31073 46714 31082 46748
+rect 31030 46705 31082 46714
+rect 31702 46748 31754 46757
+rect 31702 46714 31711 46748
+rect 31711 46714 31745 46748
+rect 31745 46714 31754 46748
+rect 31702 46705 31754 46714
+rect 4294 46594 4346 46646
+rect 4358 46594 4410 46646
+rect 4422 46594 4474 46646
+rect 4486 46594 4538 46646
+rect 35014 46594 35066 46646
+rect 35078 46594 35130 46646
+rect 35142 46594 35194 46646
+rect 35206 46594 35258 46646
+rect 31702 46483 31754 46535
+rect 55990 46483 56042 46535
+rect 23830 46409 23882 46461
+rect 40246 46409 40298 46461
+rect 32086 46113 32138 46165
+rect 19654 45928 19706 45980
+rect 19718 45928 19770 45980
+rect 19782 45928 19834 45980
+rect 19846 45928 19898 45980
+rect 50374 45928 50426 45980
+rect 50438 45928 50490 45980
+rect 50502 45928 50554 45980
+rect 50566 45928 50618 45980
+rect 42454 45669 42506 45721
+rect 10006 45416 10058 45425
+rect 10006 45382 10015 45416
+rect 10015 45382 10049 45416
+rect 10049 45382 10058 45416
+rect 10006 45373 10058 45382
+rect 21718 45373 21770 45425
+rect 48214 45373 48266 45425
+rect 4294 45262 4346 45314
+rect 4358 45262 4410 45314
+rect 4422 45262 4474 45314
+rect 4486 45262 4538 45314
+rect 35014 45262 35066 45314
+rect 35078 45262 35130 45314
+rect 35142 45262 35194 45314
+rect 35206 45262 35258 45314
+rect 10006 45151 10058 45203
+rect 48886 45151 48938 45203
+rect 1654 45046 1706 45055
+rect 1654 45012 1663 45046
+rect 1663 45012 1697 45046
+rect 1697 45012 1706 45046
+rect 1654 45003 1706 45012
+rect 12598 44855 12650 44907
+rect 31030 44855 31082 44907
+rect 12598 44750 12650 44759
+rect 12598 44716 12607 44750
+rect 12607 44716 12641 44750
+rect 12641 44716 12650 44750
+rect 12598 44707 12650 44716
+rect 34486 44707 34538 44759
+rect 19654 44596 19706 44648
+rect 19718 44596 19770 44648
+rect 19782 44596 19834 44648
+rect 19846 44596 19898 44648
+rect 50374 44596 50426 44648
+rect 50438 44596 50490 44648
+rect 50502 44596 50554 44648
+rect 50566 44596 50618 44648
+rect 20950 44041 21002 44093
+rect 33526 44041 33578 44093
+rect 4294 43930 4346 43982
+rect 4358 43930 4410 43982
+rect 4422 43930 4474 43982
+rect 4486 43930 4538 43982
+rect 35014 43930 35066 43982
+rect 35078 43930 35130 43982
+rect 35142 43930 35194 43982
+rect 35206 43930 35258 43982
+rect 35446 43819 35498 43871
+rect 19654 43264 19706 43316
+rect 19718 43264 19770 43316
+rect 19782 43264 19834 43316
+rect 19846 43264 19898 43316
+rect 50374 43264 50426 43316
+rect 50438 43264 50490 43316
+rect 50502 43264 50554 43316
+rect 50566 43264 50618 43316
+rect 2902 42709 2954 42761
+rect 4294 42598 4346 42650
+rect 4358 42598 4410 42650
+rect 4422 42598 4474 42650
+rect 4486 42598 4538 42650
+rect 35014 42598 35066 42650
+rect 35078 42598 35130 42650
+rect 35142 42598 35194 42650
+rect 35206 42598 35258 42650
+rect 36790 42191 36842 42243
+rect 3670 42043 3722 42095
+rect 19654 41932 19706 41984
+rect 19718 41932 19770 41984
+rect 19782 41932 19834 41984
+rect 19846 41932 19898 41984
+rect 50374 41932 50426 41984
+rect 50438 41932 50490 41984
+rect 50502 41932 50554 41984
+rect 50566 41932 50618 41984
+rect 17206 41525 17258 41577
+rect 12022 41451 12074 41503
+rect 11734 41420 11786 41429
+rect 11734 41386 11743 41420
+rect 11743 41386 11777 41420
+rect 11777 41386 11786 41420
+rect 11734 41377 11786 41386
+rect 20662 41377 20714 41429
+rect 43030 41420 43082 41429
+rect 43030 41386 43039 41420
+rect 43039 41386 43073 41420
+rect 43073 41386 43082 41420
+rect 43030 41377 43082 41386
+rect 4294 41266 4346 41318
+rect 4358 41266 4410 41318
+rect 4422 41266 4474 41318
+rect 4486 41266 4538 41318
+rect 35014 41266 35066 41318
+rect 35078 41266 35130 41318
+rect 35142 41266 35194 41318
+rect 35206 41266 35258 41318
+rect 20662 41155 20714 41207
+rect 33718 41155 33770 41207
+rect 28438 41081 28490 41133
+rect 43030 41081 43082 41133
+rect 12694 40859 12746 40911
+rect 19654 40600 19706 40652
+rect 19718 40600 19770 40652
+rect 19782 40600 19834 40652
+rect 19846 40600 19898 40652
+rect 50374 40600 50426 40652
+rect 50438 40600 50490 40652
+rect 50502 40600 50554 40652
+rect 50566 40600 50618 40652
+rect 21430 40415 21482 40467
+rect 24406 40341 24458 40393
+rect 37174 40045 37226 40097
+rect 4294 39934 4346 39986
+rect 4358 39934 4410 39986
+rect 4422 39934 4474 39986
+rect 4486 39934 4538 39986
+rect 35014 39934 35066 39986
+rect 35078 39934 35130 39986
+rect 35142 39934 35194 39986
+rect 35206 39934 35258 39986
+rect 3286 39527 3338 39579
+rect 19654 39268 19706 39320
+rect 19718 39268 19770 39320
+rect 19782 39268 19834 39320
+rect 19846 39268 19898 39320
+rect 50374 39268 50426 39320
+rect 50438 39268 50490 39320
+rect 50502 39268 50554 39320
+rect 50566 39268 50618 39320
+rect 4294 38602 4346 38654
+rect 4358 38602 4410 38654
+rect 4422 38602 4474 38654
+rect 4486 38602 4538 38654
+rect 35014 38602 35066 38654
+rect 35078 38602 35130 38654
+rect 35142 38602 35194 38654
+rect 35206 38602 35258 38654
+rect 57910 38491 57962 38543
+rect 12214 38269 12266 38321
+rect 37750 38269 37802 38321
+rect 2710 38238 2762 38247
+rect 2710 38204 2719 38238
+rect 2719 38204 2753 38238
+rect 2753 38204 2762 38238
+rect 2710 38195 2762 38204
+rect 20182 38238 20234 38247
+rect 20182 38204 20191 38238
+rect 20191 38204 20225 38238
+rect 20225 38204 20234 38238
+rect 20182 38195 20234 38204
+rect 43414 38195 43466 38247
+rect 3862 38047 3914 38099
+rect 46678 38047 46730 38099
+rect 19654 37936 19706 37988
+rect 19718 37936 19770 37988
+rect 19782 37936 19834 37988
+rect 19846 37936 19898 37988
+rect 50374 37936 50426 37988
+rect 50438 37936 50490 37988
+rect 50502 37936 50554 37988
+rect 50566 37936 50618 37988
+rect 33814 37825 33866 37877
+rect 46678 37825 46730 37877
+rect 46966 37529 47018 37581
+rect 1846 37381 1898 37433
+rect 14902 37424 14954 37433
+rect 14902 37390 14911 37424
+rect 14911 37390 14945 37424
+rect 14945 37390 14954 37424
+rect 14902 37381 14954 37390
+rect 32374 37381 32426 37433
+rect 4294 37270 4346 37322
+rect 4358 37270 4410 37322
+rect 4422 37270 4474 37322
+rect 4486 37270 4538 37322
+rect 35014 37270 35066 37322
+rect 35078 37270 35130 37322
+rect 35142 37270 35194 37322
+rect 35206 37270 35258 37322
+rect 25174 37159 25226 37211
+rect 28630 36863 28682 36915
+rect 29494 36906 29546 36915
+rect 29494 36872 29503 36906
+rect 29503 36872 29537 36906
+rect 29537 36872 29546 36906
+rect 29494 36863 29546 36872
+rect 54070 36863 54122 36915
+rect 19654 36604 19706 36656
+rect 19718 36604 19770 36656
+rect 19782 36604 19834 36656
+rect 19846 36604 19898 36656
+rect 50374 36604 50426 36656
+rect 50438 36604 50490 36656
+rect 50502 36604 50554 36656
+rect 50566 36604 50618 36656
+rect 22390 36123 22442 36175
+rect 5878 36049 5930 36101
+rect 32662 36123 32714 36175
+rect 55894 36123 55946 36175
+rect 4294 35938 4346 35990
+rect 4358 35938 4410 35990
+rect 4422 35938 4474 35990
+rect 4486 35938 4538 35990
+rect 35014 35938 35066 35990
+rect 35078 35938 35130 35990
+rect 35142 35938 35194 35990
+rect 35206 35938 35258 35990
+rect 30262 35574 30314 35583
+rect 30262 35540 30271 35574
+rect 30271 35540 30305 35574
+rect 30305 35540 30314 35574
+rect 30262 35531 30314 35540
+rect 31126 35531 31178 35583
+rect 42454 35383 42506 35435
+rect 19654 35272 19706 35324
+rect 19718 35272 19770 35324
+rect 19782 35272 19834 35324
+rect 19846 35272 19898 35324
+rect 50374 35272 50426 35324
+rect 50438 35272 50490 35324
+rect 50502 35272 50554 35324
+rect 50566 35272 50618 35324
+rect 25654 34791 25706 34843
+rect 50038 34717 50090 34769
+rect 4294 34606 4346 34658
+rect 4358 34606 4410 34658
+rect 4422 34606 4474 34658
+rect 4486 34606 4538 34658
+rect 35014 34606 35066 34658
+rect 35078 34606 35130 34658
+rect 35142 34606 35194 34658
+rect 35206 34606 35258 34658
+rect 26038 34538 26090 34547
+rect 26038 34504 26047 34538
+rect 26047 34504 26081 34538
+rect 26081 34504 26090 34538
+rect 26038 34495 26090 34504
+rect 32950 34199 33002 34251
+rect 19654 33940 19706 33992
+rect 19718 33940 19770 33992
+rect 19782 33940 19834 33992
+rect 19846 33940 19898 33992
+rect 50374 33940 50426 33992
+rect 50438 33940 50490 33992
+rect 50502 33940 50554 33992
+rect 50566 33940 50618 33992
+rect 48310 33385 48362 33437
+rect 4294 33274 4346 33326
+rect 4358 33274 4410 33326
+rect 4422 33274 4474 33326
+rect 4486 33274 4538 33326
+rect 35014 33274 35066 33326
+rect 35078 33274 35130 33326
+rect 35142 33274 35194 33326
+rect 35206 33274 35258 33326
+rect 31222 33163 31274 33215
+rect 19654 32608 19706 32660
+rect 19718 32608 19770 32660
+rect 19782 32608 19834 32660
+rect 19846 32608 19898 32660
+rect 50374 32608 50426 32660
+rect 50438 32608 50490 32660
+rect 50502 32608 50554 32660
+rect 50566 32608 50618 32660
+rect 44374 32201 44426 32253
+rect 53206 32053 53258 32105
+rect 4294 31942 4346 31994
+rect 4358 31942 4410 31994
+rect 4422 31942 4474 31994
+rect 4486 31942 4538 31994
+rect 35014 31942 35066 31994
+rect 35078 31942 35130 31994
+rect 35142 31942 35194 31994
+rect 35206 31942 35258 31994
+rect 32182 31874 32234 31883
+rect 32182 31840 32191 31874
+rect 32191 31840 32225 31874
+rect 32225 31840 32234 31874
+rect 32182 31831 32234 31840
+rect 13462 31757 13514 31809
+rect 19510 31683 19562 31735
+rect 5590 31387 5642 31439
+rect 19654 31276 19706 31328
+rect 19718 31276 19770 31328
+rect 19782 31276 19834 31328
+rect 19846 31276 19898 31328
+rect 50374 31276 50426 31328
+rect 50438 31276 50490 31328
+rect 50502 31276 50554 31328
+rect 50566 31276 50618 31328
+rect 48118 30869 48170 30921
+rect 24982 30795 25034 30847
+rect 26422 30721 26474 30773
+rect 30934 30764 30986 30773
+rect 30934 30730 30943 30764
+rect 30943 30730 30977 30764
+rect 30977 30730 30986 30764
+rect 30934 30721 30986 30730
+rect 32566 30764 32618 30773
+rect 32566 30730 32575 30764
+rect 32575 30730 32609 30764
+rect 32609 30730 32618 30764
+rect 32566 30721 32618 30730
+rect 44950 30721 45002 30773
+rect 4294 30610 4346 30662
+rect 4358 30610 4410 30662
+rect 4422 30610 4474 30662
+rect 4486 30610 4538 30662
+rect 35014 30610 35066 30662
+rect 35078 30610 35130 30662
+rect 35142 30610 35194 30662
+rect 35206 30610 35258 30662
+rect 4822 30499 4874 30551
+rect 32566 30499 32618 30551
+rect 6838 30425 6890 30477
+rect 30934 30425 30986 30477
+rect 46198 30351 46250 30403
+rect 49750 30277 49802 30329
+rect 57814 30098 57866 30107
+rect 57814 30064 57823 30098
+rect 57823 30064 57857 30098
+rect 57857 30064 57866 30098
+rect 57814 30055 57866 30064
+rect 19654 29944 19706 29996
+rect 19718 29944 19770 29996
+rect 19782 29944 19834 29996
+rect 19846 29944 19898 29996
+rect 50374 29944 50426 29996
+rect 50438 29944 50490 29996
+rect 50502 29944 50554 29996
+rect 50566 29944 50618 29996
+rect 8662 29463 8714 29515
+rect 19222 29463 19274 29515
+rect 8086 29389 8138 29441
+rect 14326 29389 14378 29441
+rect 4294 29278 4346 29330
+rect 4358 29278 4410 29330
+rect 4422 29278 4474 29330
+rect 4486 29278 4538 29330
+rect 35014 29278 35066 29330
+rect 35078 29278 35130 29330
+rect 35142 29278 35194 29330
+rect 35206 29278 35258 29330
+rect 7894 29167 7946 29219
+rect 8662 28871 8714 28923
+rect 40918 28871 40970 28923
+rect 8086 28797 8138 28849
+rect 15862 28797 15914 28849
+rect 8615 28723 8667 28775
+rect 19654 28612 19706 28664
+rect 19718 28612 19770 28664
+rect 19782 28612 19834 28664
+rect 19846 28612 19898 28664
+rect 50374 28612 50426 28664
+rect 50438 28612 50490 28664
+rect 50502 28612 50554 28664
+rect 50566 28612 50618 28664
+rect 8615 28501 8667 28553
+rect 18934 28501 18986 28553
+rect 4054 28205 4106 28257
+rect 11542 28205 11594 28257
+rect 8182 28131 8234 28183
+rect 16630 28131 16682 28183
+rect 9334 28057 9386 28109
+rect 14230 28057 14282 28109
+rect 38710 28205 38762 28257
+rect 4294 27946 4346 27998
+rect 4358 27946 4410 27998
+rect 4422 27946 4474 27998
+rect 4486 27946 4538 27998
+rect 35014 27946 35066 27998
+rect 35078 27946 35130 27998
+rect 35142 27946 35194 27998
+rect 35206 27946 35258 27998
+rect 4054 27878 4106 27887
+rect 4054 27844 4063 27878
+rect 4063 27844 4097 27878
+rect 4097 27844 4106 27878
+rect 4054 27835 4106 27844
+rect 18358 27835 18410 27887
+rect 36118 27613 36170 27665
+rect 32182 27539 32234 27591
+rect 8182 27465 8234 27517
+rect 9334 27465 9386 27517
+rect 19654 27280 19706 27332
+rect 19718 27280 19770 27332
+rect 19782 27280 19834 27332
+rect 19846 27280 19898 27332
+rect 50374 27280 50426 27332
+rect 50438 27280 50490 27332
+rect 50502 27280 50554 27332
+rect 50566 27280 50618 27332
+rect 19990 27021 20042 27073
+rect 10966 26768 11018 26777
+rect 10966 26734 10975 26768
+rect 10975 26734 11009 26768
+rect 11009 26734 11018 26768
+rect 10966 26725 11018 26734
+rect 22678 26725 22730 26777
+rect 4294 26614 4346 26666
+rect 4358 26614 4410 26666
+rect 4422 26614 4474 26666
+rect 4486 26614 4538 26666
+rect 35014 26614 35066 26666
+rect 35078 26614 35130 26666
+rect 35142 26614 35194 26666
+rect 35206 26614 35258 26666
+rect 15670 26503 15722 26555
+rect 16534 26429 16586 26481
+rect 12310 26059 12362 26111
+rect 28150 26059 28202 26111
+rect 19654 25948 19706 26000
+rect 19718 25948 19770 26000
+rect 19782 25948 19834 26000
+rect 19846 25948 19898 26000
+rect 50374 25948 50426 26000
+rect 50438 25948 50490 26000
+rect 50502 25948 50554 26000
+rect 50566 25948 50618 26000
+rect 15190 25467 15242 25519
+rect 47062 25393 47114 25445
+rect 56182 25436 56234 25445
+rect 56182 25402 56191 25436
+rect 56191 25402 56225 25436
+rect 56225 25402 56234 25436
+rect 56182 25393 56234 25402
+rect 4294 25282 4346 25334
+rect 4358 25282 4410 25334
+rect 4422 25282 4474 25334
+rect 4486 25282 4538 25334
+rect 35014 25282 35066 25334
+rect 35078 25282 35130 25334
+rect 35142 25282 35194 25334
+rect 35206 25282 35258 25334
+rect 13078 25171 13130 25223
+rect 8086 25023 8138 25075
+rect 32470 24875 32522 24927
+rect 52438 24801 52490 24853
+rect 15958 24727 16010 24779
+rect 19654 24616 19706 24668
+rect 19718 24616 19770 24668
+rect 19782 24616 19834 24668
+rect 19846 24616 19898 24668
+rect 50374 24616 50426 24668
+rect 50438 24616 50490 24668
+rect 50502 24616 50554 24668
+rect 50566 24616 50618 24668
+rect 8086 24505 8138 24557
+rect 15286 24505 15338 24557
+rect 52918 24505 52970 24557
+rect 44086 24431 44138 24483
+rect 49558 24431 49610 24483
+rect 6454 24135 6506 24187
+rect 41110 24135 41162 24187
+rect 12118 24104 12170 24113
+rect 12118 24070 12127 24104
+rect 12127 24070 12161 24104
+rect 12161 24070 12170 24104
+rect 12118 24061 12170 24070
+rect 30646 24061 30698 24113
+rect 39286 24104 39338 24113
+rect 39286 24070 39295 24104
+rect 39295 24070 39329 24104
+rect 39329 24070 39338 24104
+rect 39286 24061 39338 24070
+rect 4294 23950 4346 24002
+rect 4358 23950 4410 24002
+rect 4422 23950 4474 24002
+rect 4486 23950 4538 24002
+rect 35014 23950 35066 24002
+rect 35078 23950 35130 24002
+rect 35142 23950 35194 24002
+rect 35206 23950 35258 24002
+rect 23734 23839 23786 23891
+rect 39286 23839 39338 23891
+rect 15478 23765 15530 23817
+rect 11062 23543 11114 23595
+rect 8086 23469 8138 23521
+rect 13270 23469 13322 23521
+rect 8470 23395 8522 23447
+rect 19654 23284 19706 23336
+rect 19718 23284 19770 23336
+rect 19782 23284 19834 23336
+rect 19846 23284 19898 23336
+rect 50374 23284 50426 23336
+rect 50438 23284 50490 23336
+rect 50502 23284 50554 23336
+rect 50566 23284 50618 23336
+rect 8086 23173 8138 23225
+rect 12406 23173 12458 23225
+rect 8470 23099 8522 23151
+rect 13174 23099 13226 23151
+rect 10582 22951 10634 23003
+rect 55510 22951 55562 23003
+rect 8278 22877 8330 22929
+rect 57622 22877 57674 22929
+rect 8086 22803 8138 22855
+rect 41014 22803 41066 22855
+rect 8566 22772 8618 22781
+rect 8566 22738 8575 22772
+rect 8575 22738 8609 22772
+rect 8609 22738 8618 22772
+rect 8566 22729 8618 22738
+rect 12694 22729 12746 22781
+rect 23926 22729 23978 22781
+rect 32566 22729 32618 22781
+rect 44854 22772 44906 22781
+rect 44854 22738 44863 22772
+rect 44863 22738 44897 22772
+rect 44897 22738 44906 22772
+rect 44854 22729 44906 22738
+rect 4294 22618 4346 22670
+rect 4358 22618 4410 22670
+rect 4422 22618 4474 22670
+rect 4486 22618 4538 22670
+rect 35014 22618 35066 22670
+rect 35078 22618 35130 22670
+rect 35142 22618 35194 22670
+rect 35206 22618 35258 22670
+rect 8566 22507 8618 22559
+rect 35926 22507 35978 22559
+rect 8278 22433 8330 22485
+rect 35446 22433 35498 22485
+rect 44854 22433 44906 22485
+rect 12694 22359 12746 22411
+rect 46390 22359 46442 22411
+rect 8086 22285 8138 22337
+rect 30166 22211 30218 22263
+rect 10582 22137 10634 22189
+rect 8182 22063 8234 22115
+rect 19654 21952 19706 22004
+rect 19718 21952 19770 22004
+rect 19782 21952 19834 22004
+rect 19846 21952 19898 22004
+rect 50374 21952 50426 22004
+rect 50438 21952 50490 22004
+rect 50502 21952 50554 22004
+rect 50566 21952 50618 22004
+rect 8278 21545 8330 21597
+rect 48694 21545 48746 21597
+rect 8086 21471 8138 21523
+rect 52822 21471 52874 21523
+rect 10102 21397 10154 21449
+rect 28054 21440 28106 21449
+rect 28054 21406 28063 21440
+rect 28063 21406 28097 21440
+rect 28097 21406 28106 21440
+rect 28054 21397 28106 21406
+rect 57334 21440 57386 21449
+rect 57334 21406 57343 21440
+rect 57343 21406 57377 21440
+rect 57377 21406 57386 21440
+rect 57334 21397 57386 21406
+rect 4294 21286 4346 21338
+rect 4358 21286 4410 21338
+rect 4422 21286 4474 21338
+rect 4486 21286 4538 21338
+rect 35014 21286 35066 21338
+rect 35078 21286 35130 21338
+rect 35142 21286 35194 21338
+rect 35206 21286 35258 21338
+rect 31126 21175 31178 21227
+rect 57334 21175 57386 21227
+rect 44182 20953 44234 21005
+rect 8230 20899 8282 20951
+rect 35734 20922 35786 20931
+rect 35734 20888 35743 20922
+rect 35743 20888 35777 20922
+rect 35777 20888 35786 20922
+rect 35734 20879 35786 20888
+rect 49942 20922 49994 20931
+rect 49942 20888 49951 20922
+rect 49951 20888 49985 20922
+rect 49985 20888 49994 20922
+rect 49942 20879 49994 20888
+rect 8086 20805 8138 20857
+rect 50806 20805 50858 20857
+rect 7606 20774 7658 20783
+rect 7606 20740 7615 20774
+rect 7615 20740 7649 20774
+rect 7649 20740 7658 20774
+rect 7606 20731 7658 20740
+rect 8758 20731 8810 20783
+rect 9334 20731 9386 20783
+rect 55222 20731 55274 20783
+rect 19654 20620 19706 20672
+rect 19718 20620 19770 20672
+rect 19782 20620 19834 20672
+rect 19846 20620 19898 20672
+rect 50374 20620 50426 20672
+rect 50438 20620 50490 20672
+rect 50502 20620 50554 20672
+rect 50566 20620 50618 20672
+rect 7606 20509 7658 20561
+rect 8758 20509 8810 20561
+rect 9334 20509 9386 20561
+rect 16246 20509 16298 20561
+rect 35734 20509 35786 20561
+rect 39574 20509 39626 20561
+rect 49942 20509 49994 20561
+rect 7606 20065 7658 20117
+rect 8758 20065 8810 20117
+rect 29782 20108 29834 20117
+rect 29782 20074 29791 20108
+rect 29791 20074 29825 20108
+rect 29825 20074 29834 20108
+rect 29782 20065 29834 20074
+rect 35542 20065 35594 20117
+rect 4294 19954 4346 20006
+rect 4358 19954 4410 20006
+rect 4422 19954 4474 20006
+rect 4486 19954 4538 20006
+rect 35014 19954 35066 20006
+rect 35078 19954 35130 20006
+rect 35142 19954 35194 20006
+rect 35206 19954 35258 20006
+rect 7606 19886 7658 19895
+rect 7606 19852 7615 19886
+rect 7615 19852 7649 19886
+rect 7649 19852 7658 19886
+rect 7606 19843 7658 19852
+rect 8758 19843 8810 19895
+rect 48790 19843 48842 19895
+rect 18166 19769 18218 19821
+rect 29782 19769 29834 19821
+rect 34390 19547 34442 19599
+rect 40150 19590 40202 19599
+rect 40150 19556 40159 19590
+rect 40159 19556 40193 19590
+rect 40193 19556 40202 19590
+rect 40150 19547 40202 19556
+rect 8278 19473 8330 19525
+rect 9046 19473 9098 19525
+rect 46102 19473 46154 19525
+rect 28054 19399 28106 19451
+rect 40054 19399 40106 19451
+rect 19654 19288 19706 19340
+rect 19718 19288 19770 19340
+rect 19782 19288 19834 19340
+rect 19846 19288 19898 19340
+rect 50374 19288 50426 19340
+rect 50438 19288 50490 19340
+rect 50502 19288 50554 19340
+rect 50566 19288 50618 19340
+rect 2230 19177 2282 19229
+rect 39094 19177 39146 19229
+rect 28918 19103 28970 19155
+rect 40150 19103 40202 19155
+rect 20374 18881 20426 18933
+rect 4294 18622 4346 18674
+rect 4358 18622 4410 18674
+rect 4422 18622 4474 18674
+rect 4486 18622 4538 18674
+rect 35014 18622 35066 18674
+rect 35078 18622 35130 18674
+rect 35142 18622 35194 18674
+rect 35206 18622 35258 18674
+rect 13078 18437 13130 18489
+rect 15190 18437 15242 18489
+rect 28342 18511 28394 18563
+rect 46198 18511 46250 18563
+rect 45238 18437 45290 18489
+rect 5974 18258 6026 18267
+rect 5974 18224 5983 18258
+rect 5983 18224 6017 18258
+rect 6017 18224 6026 18258
+rect 5974 18215 6026 18224
+rect 8086 18215 8138 18267
+rect 50134 18258 50186 18267
+rect 50134 18224 50143 18258
+rect 50143 18224 50177 18258
+rect 50177 18224 50186 18258
+rect 50134 18215 50186 18224
+rect 34870 18141 34922 18193
+rect 12118 18067 12170 18119
+rect 12502 18067 12554 18119
+rect 30838 18067 30890 18119
+rect 19654 17956 19706 18008
+rect 19718 17956 19770 18008
+rect 19782 17956 19834 18008
+rect 19846 17956 19898 18008
+rect 50374 17956 50426 18008
+rect 50438 17956 50490 18008
+rect 50502 17956 50554 18008
+rect 50566 17956 50618 18008
+rect 26230 17845 26282 17897
+rect 50134 17845 50186 17897
+rect 8086 17771 8138 17823
+rect 42934 17771 42986 17823
+rect 14134 17475 14186 17527
+rect 21814 17444 21866 17453
+rect 21814 17410 21823 17444
+rect 21823 17410 21857 17444
+rect 21857 17410 21866 17444
+rect 21814 17401 21866 17410
+rect 41782 17444 41834 17453
+rect 41782 17410 41791 17444
+rect 41791 17410 41825 17444
+rect 41825 17410 41834 17444
+rect 41782 17401 41834 17410
+rect 4294 17290 4346 17342
+rect 4358 17290 4410 17342
+rect 4422 17290 4474 17342
+rect 4486 17290 4538 17342
+rect 35014 17290 35066 17342
+rect 35078 17290 35130 17342
+rect 35142 17290 35194 17342
+rect 35206 17290 35258 17342
+rect 15382 17222 15434 17231
+rect 15382 17188 15391 17222
+rect 15391 17188 15425 17222
+rect 15425 17188 15434 17222
+rect 15382 17179 15434 17188
+rect 39766 17179 39818 17231
+rect 42550 17179 42602 17231
+rect 56182 17179 56234 17231
+rect 21814 17105 21866 17157
+rect 48982 17105 49034 17157
+rect 9334 17031 9386 17083
+rect 47062 17031 47114 17083
+rect 16054 16926 16106 16935
+rect 16054 16892 16063 16926
+rect 16063 16892 16097 16926
+rect 16097 16892 16106 16926
+rect 16054 16883 16106 16892
+rect 20182 16926 20234 16935
+rect 20182 16892 20191 16926
+rect 20191 16892 20225 16926
+rect 20225 16892 20234 16926
+rect 20182 16883 20234 16892
+rect 43990 16957 44042 17009
+rect 31990 16926 32042 16935
+rect 31990 16892 31999 16926
+rect 31999 16892 32033 16926
+rect 32033 16892 32042 16926
+rect 31990 16883 32042 16892
+rect 51478 16883 51530 16935
+rect 57526 16926 57578 16935
+rect 57526 16892 57535 16926
+rect 57535 16892 57569 16926
+rect 57569 16892 57578 16926
+rect 57526 16883 57578 16892
+rect 42358 16735 42410 16787
+rect 19654 16624 19706 16676
+rect 19718 16624 19770 16676
+rect 19782 16624 19834 16676
+rect 19846 16624 19898 16676
+rect 50374 16624 50426 16676
+rect 50438 16624 50490 16676
+rect 50502 16624 50554 16676
+rect 50566 16624 50618 16676
+rect 20182 16513 20234 16565
+rect 43030 16513 43082 16565
+rect 16054 16439 16106 16491
+rect 22486 16439 22538 16491
+rect 31990 16439 32042 16491
+rect 43798 16439 43850 16491
+rect 22102 16365 22154 16417
+rect 32566 16365 32618 16417
+rect 52822 16365 52874 16417
+rect 31318 16291 31370 16343
+rect 42550 16291 42602 16343
+rect 4822 16112 4874 16121
+rect 4822 16078 4831 16112
+rect 4831 16078 4865 16112
+rect 4865 16078 4874 16112
+rect 4822 16069 4874 16078
+rect 4294 15958 4346 16010
+rect 4358 15958 4410 16010
+rect 4422 15958 4474 16010
+rect 4486 15958 4538 16010
+rect 35014 15958 35066 16010
+rect 35078 15958 35130 16010
+rect 35142 15958 35194 16010
+rect 35206 15958 35258 16010
+rect 4822 15847 4874 15899
+rect 33910 15847 33962 15899
+rect 35350 15477 35402 15529
+rect 39190 15403 39242 15455
+rect 19654 15292 19706 15344
+rect 19718 15292 19770 15344
+rect 19782 15292 19834 15344
+rect 19846 15292 19898 15344
+rect 50374 15292 50426 15344
+rect 50438 15292 50490 15344
+rect 50502 15292 50554 15344
+rect 50566 15292 50618 15344
+rect 3766 15181 3818 15233
+rect 17974 15181 18026 15233
+rect 49654 15181 49706 15233
+rect 7126 15107 7178 15159
+rect 34198 15107 34250 15159
+rect 35542 15107 35594 15159
+rect 44086 15107 44138 15159
+rect 51766 14959 51818 15011
+rect 1654 14928 1706 14937
+rect 1654 14894 1663 14928
+rect 1663 14894 1697 14928
+rect 1697 14894 1706 14928
+rect 1654 14885 1706 14894
+rect 14422 14885 14474 14937
+rect 33142 14885 33194 14937
+rect 17782 14811 17834 14863
+rect 10774 14780 10826 14789
+rect 10774 14746 10783 14780
+rect 10783 14746 10817 14780
+rect 10817 14746 10826 14780
+rect 10774 14737 10826 14746
+rect 34294 14737 34346 14789
+rect 50518 14780 50570 14789
+rect 50518 14746 50527 14780
+rect 50527 14746 50561 14780
+rect 50561 14746 50570 14780
+rect 50518 14737 50570 14746
+rect 4294 14626 4346 14678
+rect 4358 14626 4410 14678
+rect 4422 14626 4474 14678
+rect 4486 14626 4538 14678
+rect 35014 14626 35066 14678
+rect 35078 14626 35130 14678
+rect 35142 14626 35194 14678
+rect 35206 14626 35258 14678
+rect 14518 14515 14570 14567
+rect 50518 14515 50570 14567
+rect 45334 14441 45386 14493
+rect 29398 14367 29450 14419
+rect 39574 14367 39626 14419
+rect 34102 14293 34154 14345
+rect 33622 14262 33674 14271
+rect 33622 14228 33631 14262
+rect 33631 14228 33665 14262
+rect 33665 14228 33674 14262
+rect 33622 14219 33674 14228
+rect 36886 14145 36938 14197
+rect 7894 14071 7946 14123
+rect 19654 13960 19706 14012
+rect 19718 13960 19770 14012
+rect 19782 13960 19834 14012
+rect 19846 13960 19898 14012
+rect 50374 13960 50426 14012
+rect 50438 13960 50490 14012
+rect 50502 13960 50554 14012
+rect 50566 13960 50618 14012
+rect 1750 13849 1802 13901
+rect 7894 13849 7946 13901
+rect 20758 13849 20810 13901
+rect 33142 13849 33194 13901
+rect 11350 13775 11402 13827
+rect 20950 13775 21002 13827
+rect 33622 13775 33674 13827
+rect 50902 13775 50954 13827
+rect 14422 13701 14474 13753
+rect 21430 13701 21482 13753
+rect 41782 13701 41834 13753
+rect 9910 13627 9962 13679
+rect 33046 13627 33098 13679
+rect 34390 13627 34442 13679
+rect 52342 13627 52394 13679
+rect 1750 13405 1802 13457
+rect 14806 13553 14858 13605
+rect 8086 13479 8138 13531
+rect 29974 13553 30026 13605
+rect 54454 13479 54506 13531
+rect 7606 13405 7658 13457
+rect 9910 13405 9962 13457
+rect 30166 13405 30218 13457
+rect 39670 13448 39722 13457
+rect 39670 13414 39679 13448
+rect 39679 13414 39713 13448
+rect 39713 13414 39722 13448
+rect 39670 13405 39722 13414
+rect 44374 13448 44426 13457
+rect 44374 13414 44383 13448
+rect 44383 13414 44417 13448
+rect 44417 13414 44426 13448
+rect 44374 13405 44426 13414
+rect 52054 13405 52106 13457
+rect 58006 13448 58058 13457
+rect 58006 13414 58015 13448
+rect 58015 13414 58049 13448
+rect 58049 13414 58058 13448
+rect 58006 13405 58058 13414
+rect 4294 13294 4346 13346
+rect 4358 13294 4410 13346
+rect 4422 13294 4474 13346
+rect 4486 13294 4538 13346
+rect 35014 13294 35066 13346
+rect 35078 13294 35130 13346
+rect 35142 13294 35194 13346
+rect 35206 13294 35258 13346
+rect 1750 13226 1802 13235
+rect 1750 13192 1759 13226
+rect 1759 13192 1793 13226
+rect 1793 13192 1802 13226
+rect 1750 13183 1802 13192
+rect 7606 13226 7658 13235
+rect 7606 13192 7615 13226
+rect 7615 13192 7649 13226
+rect 7649 13192 7658 13226
+rect 7606 13183 7658 13192
+rect 8086 13183 8138 13235
+rect 44566 13183 44618 13235
+rect 58006 13183 58058 13235
+rect 39670 13109 39722 13161
+rect 50230 13109 50282 13161
+rect 28246 12961 28298 13013
+rect 31606 12961 31658 13013
+rect 49942 12961 49994 13013
+rect 14326 12887 14378 12939
+rect 17782 12887 17834 12939
+rect 24694 12887 24746 12939
+rect 28438 12887 28490 12939
+rect 47158 12887 47210 12939
+rect 48214 12887 48266 12939
+rect 16342 12813 16394 12865
+rect 18166 12813 18218 12865
+rect 9910 12739 9962 12791
+rect 19654 12628 19706 12680
+rect 19718 12628 19770 12680
+rect 19782 12628 19834 12680
+rect 19846 12628 19898 12680
+rect 50374 12628 50426 12680
+rect 50438 12628 50490 12680
+rect 50502 12628 50554 12680
+rect 50566 12628 50618 12680
+rect 46870 12517 46922 12569
+rect 9814 12369 9866 12421
+rect 18262 12369 18314 12421
+rect 34774 12369 34826 12421
+rect 9718 12295 9770 12347
+rect 48022 12295 48074 12347
+rect 49750 12295 49802 12347
+rect 12406 12221 12458 12273
+rect 13078 12221 13130 12273
+rect 13654 12221 13706 12273
+rect 22390 12221 22442 12273
+rect 27958 12221 28010 12273
+rect 35446 12221 35498 12273
+rect 8086 12147 8138 12199
+rect 27094 12147 27146 12199
+rect 29014 12147 29066 12199
+rect 33814 12147 33866 12199
+rect 43894 12221 43946 12273
+rect 56278 12221 56330 12273
+rect 17302 12073 17354 12125
+rect 38710 12116 38762 12125
+rect 38710 12082 38719 12116
+rect 38719 12082 38753 12116
+rect 38753 12082 38762 12116
+rect 38710 12073 38762 12082
+rect 51670 12073 51722 12125
+rect 54454 12073 54506 12125
+rect 4294 11962 4346 12014
+rect 4358 11962 4410 12014
+rect 4422 11962 4474 12014
+rect 4486 11962 4538 12014
+rect 35014 11962 35066 12014
+rect 35078 11962 35130 12014
+rect 35142 11962 35194 12014
+rect 35206 11962 35258 12014
+rect 8374 11851 8426 11903
+rect 8758 11851 8810 11903
+rect 29302 11851 29354 11903
+rect 8566 11777 8618 11829
+rect 9430 11777 9482 11829
+rect 14518 11777 14570 11829
+rect 8086 11703 8138 11755
+rect 10966 11703 11018 11755
+rect 12310 11629 12362 11681
+rect 17014 11629 17066 11681
+rect 10198 11555 10250 11607
+rect 12214 11555 12266 11607
+rect 12886 11555 12938 11607
+rect 13750 11555 13802 11607
+rect 58198 11777 58250 11829
+rect 20278 11629 20330 11681
+rect 17686 11481 17738 11533
+rect 19414 11481 19466 11533
+rect 24214 11481 24266 11533
+rect 28918 11481 28970 11533
+rect 57142 11407 57194 11459
+rect 19654 11296 19706 11348
+rect 19718 11296 19770 11348
+rect 19782 11296 19834 11348
+rect 19846 11296 19898 11348
+rect 50374 11296 50426 11348
+rect 50438 11296 50490 11348
+rect 50502 11296 50554 11348
+rect 50566 11296 50618 11348
+rect 6070 11111 6122 11163
+rect 2710 11037 2762 11089
+rect 54742 11037 54794 11089
+rect 55990 11080 56042 11089
+rect 55990 11046 55999 11080
+rect 55999 11046 56033 11080
+rect 56033 11046 56042 11080
+rect 55990 11037 56042 11046
+rect 54070 10963 54122 11015
+rect 7894 10889 7946 10941
+rect 11062 10889 11114 10941
+rect 8086 10815 8138 10867
+rect 22294 10815 22346 10867
+rect 56758 10889 56810 10941
+rect 58294 10815 58346 10867
+rect 7606 10741 7658 10793
+rect 8278 10741 8330 10793
+rect 9622 10741 9674 10793
+rect 26518 10741 26570 10793
+rect 4294 10630 4346 10682
+rect 4358 10630 4410 10682
+rect 4422 10630 4474 10682
+rect 4486 10630 4538 10682
+rect 35014 10630 35066 10682
+rect 35078 10630 35130 10682
+rect 35142 10630 35194 10682
+rect 35206 10630 35258 10682
+rect 8278 10519 8330 10571
+rect 15766 10519 15818 10571
+rect 54742 10562 54794 10571
+rect 54742 10528 54751 10562
+rect 54751 10528 54785 10562
+rect 54785 10528 54794 10562
+rect 54742 10519 54794 10528
+rect 9622 10445 9674 10497
+rect 14038 10445 14090 10497
+rect 30070 10371 30122 10423
+rect 55126 10445 55178 10497
+rect 57238 10445 57290 10497
+rect 56086 10371 56138 10423
+rect 29494 10297 29546 10349
+rect 55990 10297 56042 10349
+rect 26614 10223 26666 10275
+rect 38614 10223 38666 10275
+rect 8086 10149 8138 10201
+rect 55030 10149 55082 10201
+rect 55702 10075 55754 10127
+rect 56470 10075 56522 10127
+rect 58582 10075 58634 10127
+rect 19654 9964 19706 10016
+rect 19718 9964 19770 10016
+rect 19782 9964 19834 10016
+rect 19846 9964 19898 10016
+rect 50374 9964 50426 10016
+rect 50438 9964 50490 10016
+rect 50502 9964 50554 10016
+rect 50566 9964 50618 10016
+rect 4726 9853 4778 9905
+rect 13750 9853 13802 9905
+rect 13942 9853 13994 9905
+rect 23158 9853 23210 9905
+rect 28246 9853 28298 9905
+rect 31126 9853 31178 9905
+rect 5302 9705 5354 9757
+rect 7798 9631 7850 9683
+rect 10870 9631 10922 9683
+rect 28342 9779 28394 9831
+rect 24598 9705 24650 9757
+rect 27382 9705 27434 9757
+rect 20950 9631 21002 9683
+rect 30934 9705 30986 9757
+rect 55126 9705 55178 9757
+rect 55894 9748 55946 9757
+rect 55894 9714 55903 9748
+rect 55903 9714 55937 9748
+rect 55937 9714 55946 9748
+rect 55894 9705 55946 9714
+rect 8086 9557 8138 9609
+rect 17878 9557 17930 9609
+rect 7990 9483 8042 9535
+rect 9526 9483 9578 9535
+rect 11158 9483 11210 9535
+rect 57622 9674 57674 9683
+rect 57622 9640 57631 9674
+rect 57631 9640 57665 9674
+rect 57665 9640 57674 9674
+rect 57622 9631 57674 9640
+rect 30166 9557 30218 9609
+rect 51094 9557 51146 9609
+rect 3190 9409 3242 9461
+rect 12406 9409 12458 9461
+rect 12502 9409 12554 9461
+rect 54262 9483 54314 9535
+rect 54934 9557 54986 9609
+rect 55318 9483 55370 9535
+rect 30934 9452 30986 9461
+rect 30934 9418 30943 9452
+rect 30943 9418 30977 9452
+rect 30977 9418 30986 9452
+rect 30934 9409 30986 9418
+rect 4294 9298 4346 9350
+rect 4358 9298 4410 9350
+rect 4422 9298 4474 9350
+rect 4486 9298 4538 9350
+rect 35014 9298 35066 9350
+rect 35078 9298 35130 9350
+rect 35142 9298 35194 9350
+rect 35206 9298 35258 9350
+rect 3190 9230 3242 9239
+rect 3190 9196 3199 9230
+rect 3199 9196 3233 9230
+rect 3233 9196 3242 9230
+rect 3190 9187 3242 9196
+rect 13750 9187 13802 9239
+rect 20374 9187 20426 9239
+rect 23734 9187 23786 9239
+rect 27382 9187 27434 9239
+rect 32374 9187 32426 9239
+rect 53206 9187 53258 9239
+rect 55606 9230 55658 9239
+rect 9238 9113 9290 9165
+rect 13942 9113 13994 9165
+rect 8086 8965 8138 9017
+rect 12406 9039 12458 9091
+rect 47542 9039 47594 9091
+rect 54550 9039 54602 9091
+rect 20854 8965 20906 9017
+rect 30262 8965 30314 9017
+rect 55606 9196 55615 9230
+rect 55615 9196 55649 9230
+rect 55649 9196 55658 9230
+rect 55606 9187 55658 9196
+rect 57238 9008 57290 9017
+rect 8374 8891 8426 8943
+rect 8518 8891 8570 8943
+rect 8950 8891 9002 8943
+rect 11158 8934 11210 8943
+rect 7702 8817 7754 8869
+rect 11158 8900 11167 8934
+rect 11167 8900 11201 8934
+rect 11201 8900 11210 8934
+rect 11158 8891 11210 8900
+rect 16150 8891 16202 8943
+rect 57238 8974 57247 9008
+rect 57247 8974 57281 9008
+rect 57281 8974 57290 9008
+rect 57238 8965 57290 8974
+rect 57334 8891 57386 8943
+rect 19318 8817 19370 8869
+rect 8278 8743 8330 8795
+rect 9046 8743 9098 8795
+rect 16054 8743 16106 8795
+rect 30166 8743 30218 8795
+rect 32470 8743 32522 8795
+rect 55222 8817 55274 8869
+rect 53878 8743 53930 8795
+rect 19654 8632 19706 8684
+rect 19718 8632 19770 8684
+rect 19782 8632 19834 8684
+rect 19846 8632 19898 8684
+rect 50374 8632 50426 8684
+rect 50438 8632 50490 8684
+rect 50502 8632 50554 8684
+rect 50566 8632 50618 8684
+rect 5974 8521 6026 8573
+rect 12790 8521 12842 8573
+rect 58966 8521 59018 8573
+rect 10774 8447 10826 8499
+rect 5302 8416 5354 8425
+rect 5302 8382 5311 8416
+rect 5311 8382 5345 8416
+rect 5345 8382 5354 8416
+rect 5302 8373 5354 8382
+rect 7894 8416 7946 8425
+rect 7894 8382 7903 8416
+rect 7903 8382 7937 8416
+rect 7937 8382 7946 8416
+rect 7894 8373 7946 8382
+rect 9814 8416 9866 8425
+rect 9814 8382 9823 8416
+rect 9823 8382 9857 8416
+rect 9857 8382 9866 8416
+rect 9814 8373 9866 8382
+rect 11350 8416 11402 8425
+rect 11350 8382 11359 8416
+rect 11359 8382 11393 8416
+rect 11393 8382 11402 8416
+rect 11350 8373 11402 8382
+rect 12022 8416 12074 8425
+rect 12022 8382 12031 8416
+rect 12031 8382 12065 8416
+rect 12065 8382 12074 8416
+rect 12022 8373 12074 8382
+rect 12886 8416 12938 8425
+rect 12886 8382 12895 8416
+rect 12895 8382 12929 8416
+rect 12929 8382 12938 8416
+rect 12886 8373 12938 8382
+rect 13462 8373 13514 8425
+rect 16246 8416 16298 8425
+rect 16246 8382 16255 8416
+rect 16255 8382 16289 8416
+rect 16289 8382 16298 8416
+rect 16246 8373 16298 8382
+rect 17014 8416 17066 8425
+rect 17014 8382 17023 8416
+rect 17023 8382 17057 8416
+rect 17057 8382 17066 8416
+rect 17014 8373 17066 8382
+rect 3862 8299 3914 8351
+rect 30934 8373 30986 8425
+rect 48118 8416 48170 8425
+rect 48118 8382 48127 8416
+rect 48127 8382 48161 8416
+rect 48161 8382 48170 8416
+rect 48118 8373 48170 8382
+rect 48982 8416 49034 8425
+rect 48982 8382 48991 8416
+rect 48991 8382 49025 8416
+rect 49025 8382 49034 8416
+rect 48982 8373 49034 8382
+rect 49558 8373 49610 8425
+rect 55990 8447 56042 8499
+rect 52438 8416 52490 8425
+rect 52438 8382 52447 8416
+rect 52447 8382 52481 8416
+rect 52481 8382 52490 8416
+rect 52438 8373 52490 8382
+rect 53974 8416 54026 8425
+rect 53974 8382 53983 8416
+rect 53983 8382 54017 8416
+rect 54017 8382 54026 8416
+rect 53974 8373 54026 8382
+rect 50230 8299 50282 8351
+rect 1654 8268 1706 8277
+rect 1654 8234 1663 8268
+rect 1663 8234 1697 8268
+rect 1697 8234 1706 8268
+rect 1654 8225 1706 8234
+rect 2134 8225 2186 8277
+rect 3190 8268 3242 8277
+rect 3190 8234 3199 8268
+rect 3199 8234 3233 8268
+rect 3233 8234 3242 8268
+rect 3190 8225 3242 8234
+rect 7702 8225 7754 8277
+rect 9526 8225 9578 8277
+rect 10294 8225 10346 8277
+rect 4822 8151 4874 8203
+rect 10678 8225 10730 8277
+rect 11350 8225 11402 8277
+rect 12214 8225 12266 8277
+rect 16054 8225 16106 8277
+rect 16438 8225 16490 8277
+rect 11446 8151 11498 8203
+rect 48022 8151 48074 8203
+rect 48694 8225 48746 8277
+rect 49462 8225 49514 8277
+rect 53110 8225 53162 8277
+rect 53494 8225 53546 8277
+rect 56950 8299 57002 8351
+rect 58390 8225 58442 8277
+rect 59830 8151 59882 8203
+rect 7030 8077 7082 8129
+rect 7222 8077 7274 8129
+rect 12598 8077 12650 8129
+rect 41494 8120 41546 8129
+rect 41494 8086 41503 8120
+rect 41503 8086 41537 8120
+rect 41537 8086 41546 8120
+rect 41494 8077 41546 8086
+rect 42934 8120 42986 8129
+rect 42934 8086 42943 8120
+rect 42943 8086 42977 8120
+rect 42977 8086 42986 8120
+rect 42934 8077 42986 8086
+rect 4294 7966 4346 8018
+rect 4358 7966 4410 8018
+rect 4422 7966 4474 8018
+rect 4486 7966 4538 8018
+rect 35014 7966 35066 8018
+rect 35078 7966 35130 8018
+rect 35142 7966 35194 8018
+rect 35206 7966 35258 8018
+rect 2902 7898 2954 7907
+rect 2902 7864 2911 7898
+rect 2911 7864 2945 7898
+rect 2945 7864 2954 7898
+rect 3670 7898 3722 7907
+rect 2902 7855 2954 7864
+rect 2518 7750 2570 7759
+rect 2518 7716 2527 7750
+rect 2527 7716 2561 7750
+rect 2561 7716 2570 7750
+rect 2518 7707 2570 7716
+rect 3670 7864 3679 7898
+rect 3679 7864 3713 7898
+rect 3713 7864 3722 7898
+rect 3670 7855 3722 7864
+rect 8518 7855 8570 7907
+rect 11254 7855 11306 7907
+rect 17974 7855 18026 7907
+rect 25078 7898 25130 7907
+rect 4918 7707 4970 7759
+rect 7222 7781 7274 7833
+rect 7606 7824 7658 7833
+rect 7606 7790 7615 7824
+rect 7615 7790 7649 7824
+rect 7649 7790 7658 7824
+rect 7606 7781 7658 7790
+rect 7126 7750 7178 7759
+rect 7126 7716 7135 7750
+rect 7135 7716 7169 7750
+rect 7169 7716 7178 7750
+rect 7126 7707 7178 7716
+rect 7942 7707 7994 7759
+rect 9430 7750 9482 7759
+rect 9430 7716 9439 7750
+rect 9439 7716 9473 7750
+rect 9473 7716 9482 7750
+rect 9430 7707 9482 7716
+rect 9910 7707 9962 7759
+rect 11158 7707 11210 7759
+rect 11734 7707 11786 7759
+rect 14134 7707 14186 7759
+rect 15862 7750 15914 7759
+rect 15862 7716 15871 7750
+rect 15871 7716 15905 7750
+rect 15905 7716 15914 7750
+rect 15862 7707 15914 7716
+rect 25078 7864 25087 7898
+rect 25087 7864 25121 7898
+rect 25121 7864 25130 7898
+rect 25078 7855 25130 7864
+rect 38422 7898 38474 7907
+rect 38422 7864 38431 7898
+rect 38431 7864 38465 7898
+rect 38465 7864 38474 7898
+rect 38422 7855 38474 7864
+rect 39094 7855 39146 7907
+rect 40630 7855 40682 7907
+rect 42262 7898 42314 7907
+rect 20950 7750 21002 7759
+rect 20950 7716 20959 7750
+rect 20959 7716 20993 7750
+rect 20993 7716 21002 7750
+rect 20950 7707 21002 7716
+rect 23926 7750 23978 7759
+rect 23926 7716 23935 7750
+rect 23935 7716 23969 7750
+rect 23969 7716 23978 7750
+rect 23926 7707 23978 7716
+rect 24118 7707 24170 7759
+rect 24694 7750 24746 7759
+rect 24694 7716 24703 7750
+rect 24703 7716 24737 7750
+rect 24737 7716 24746 7750
+rect 26230 7750 26282 7759
+rect 24694 7707 24746 7716
+rect 26230 7716 26239 7750
+rect 26239 7716 26273 7750
+rect 26273 7716 26282 7750
+rect 26230 7707 26282 7716
+rect 28342 7750 28394 7759
+rect 28342 7716 28351 7750
+rect 28351 7716 28385 7750
+rect 28385 7716 28394 7750
+rect 28342 7707 28394 7716
+rect 29398 7750 29450 7759
+rect 29398 7716 29407 7750
+rect 29407 7716 29441 7750
+rect 29441 7716 29450 7750
+rect 29398 7707 29450 7716
+rect 30166 7750 30218 7759
+rect 30166 7716 30175 7750
+rect 30175 7716 30209 7750
+rect 30209 7716 30218 7750
+rect 30166 7707 30218 7716
+rect 31222 7750 31274 7759
+rect 31222 7716 31231 7750
+rect 31231 7716 31265 7750
+rect 31265 7716 31274 7750
+rect 31222 7707 31274 7716
+rect 33718 7750 33770 7759
+rect 33718 7716 33727 7750
+rect 33727 7716 33761 7750
+rect 33761 7716 33770 7750
+rect 33718 7707 33770 7716
+rect 34486 7750 34538 7759
+rect 34486 7716 34495 7750
+rect 34495 7716 34529 7750
+rect 34529 7716 34538 7750
+rect 34486 7707 34538 7716
+rect 34774 7707 34826 7759
+rect 36118 7750 36170 7759
+rect 36118 7716 36127 7750
+rect 36127 7716 36161 7750
+rect 36161 7716 36170 7750
+rect 36118 7707 36170 7716
+rect 36790 7750 36842 7759
+rect 36790 7716 36799 7750
+rect 36799 7716 36833 7750
+rect 36833 7716 36842 7750
+rect 36790 7707 36842 7716
+rect 38038 7707 38090 7759
+rect 38806 7707 38858 7759
+rect 40246 7750 40298 7759
+rect 40246 7716 40255 7750
+rect 40255 7716 40289 7750
+rect 40289 7716 40298 7750
+rect 40246 7707 40298 7716
+rect 42262 7864 42271 7898
+rect 42271 7864 42305 7898
+rect 42305 7864 42314 7898
+rect 42262 7855 42314 7864
+rect 47542 7898 47594 7907
+rect 47542 7864 47551 7898
+rect 47551 7864 47585 7898
+rect 47585 7864 47594 7898
+rect 51478 7898 51530 7907
+rect 47542 7855 47594 7864
+rect 51478 7864 51487 7898
+rect 51487 7864 51521 7898
+rect 51521 7864 51530 7898
+rect 52246 7898 52298 7907
+rect 51478 7855 51530 7864
+rect 44086 7750 44138 7759
+rect 44086 7716 44095 7750
+rect 44095 7716 44129 7750
+rect 44129 7716 44138 7750
+rect 44086 7707 44138 7716
+rect 44950 7707 45002 7759
+rect 46294 7750 46346 7759
+rect 46294 7716 46303 7750
+rect 46303 7716 46337 7750
+rect 46337 7716 46346 7750
+rect 46294 7707 46346 7716
+rect 46486 7707 46538 7759
+rect 47158 7750 47210 7759
+rect 47158 7716 47167 7750
+rect 47167 7716 47201 7750
+rect 47201 7716 47210 7750
+rect 47158 7707 47210 7716
+rect 1462 7633 1514 7685
+rect 8230 7633 8282 7685
+rect 8518 7633 8570 7685
+rect 10198 7676 10250 7685
+rect 10198 7642 10207 7676
+rect 10207 7642 10241 7676
+rect 10241 7642 10250 7676
+rect 10198 7633 10250 7642
+rect 16150 7633 16202 7685
+rect 25078 7633 25130 7685
+rect 38614 7633 38666 7685
+rect 15094 7559 15146 7611
+rect 35350 7602 35402 7611
+rect 9142 7485 9194 7537
+rect 2422 7454 2474 7463
+rect 2422 7420 2431 7454
+rect 2431 7420 2465 7454
+rect 2465 7420 2474 7454
+rect 2422 7411 2474 7420
+rect 2998 7411 3050 7463
+rect 3958 7454 4010 7463
+rect 3958 7420 3967 7454
+rect 3967 7420 4001 7454
+rect 4001 7420 4010 7454
+rect 3958 7411 4010 7420
+rect 4054 7411 4106 7463
+rect 5302 7411 5354 7463
+rect 9334 7454 9386 7463
+rect 9334 7420 9343 7454
+rect 9343 7420 9377 7454
+rect 9377 7420 9386 7454
+rect 9334 7411 9386 7420
+rect 12502 7485 12554 7537
+rect 10966 7411 11018 7463
+rect 22870 7485 22922 7537
+rect 35350 7568 35359 7602
+rect 35359 7568 35393 7602
+rect 35393 7568 35402 7602
+rect 35350 7559 35402 7568
+rect 38422 7559 38474 7611
+rect 39094 7633 39146 7685
+rect 44374 7633 44426 7685
+rect 47254 7633 47306 7685
+rect 48406 7707 48458 7759
+rect 50038 7750 50090 7759
+rect 50038 7716 50047 7750
+rect 50047 7716 50081 7750
+rect 50081 7716 50090 7750
+rect 50038 7707 50090 7716
+rect 51094 7750 51146 7759
+rect 51094 7716 51103 7750
+rect 51103 7716 51137 7750
+rect 51137 7716 51146 7750
+rect 51094 7707 51146 7716
+rect 52246 7864 52255 7898
+rect 52255 7864 52289 7898
+rect 52289 7864 52298 7898
+rect 52246 7855 52298 7864
+rect 47542 7633 47594 7685
+rect 49270 7676 49322 7685
+rect 49270 7642 49279 7676
+rect 49279 7642 49313 7676
+rect 49313 7642 49322 7676
+rect 49270 7633 49322 7642
+rect 51670 7633 51722 7685
+rect 58774 7707 58826 7759
+rect 55798 7676 55850 7685
+rect 55798 7642 55807 7676
+rect 55807 7642 55841 7676
+rect 55841 7642 55850 7676
+rect 55798 7633 55850 7642
+rect 56182 7633 56234 7685
+rect 56662 7633 56714 7685
+rect 39958 7485 40010 7537
+rect 15766 7454 15818 7463
+rect 15766 7420 15775 7454
+rect 15775 7420 15809 7454
+rect 15809 7420 15818 7454
+rect 15766 7411 15818 7420
+rect 20854 7454 20906 7463
+rect 20854 7420 20863 7454
+rect 20863 7420 20897 7454
+rect 20897 7420 20906 7454
+rect 20854 7411 20906 7420
+rect 23734 7411 23786 7463
+rect 24790 7411 24842 7463
+rect 25558 7411 25610 7463
+rect 26710 7411 26762 7463
+rect 28150 7411 28202 7463
+rect 29206 7411 29258 7463
+rect 29590 7411 29642 7463
+rect 31030 7411 31082 7463
+rect 33622 7411 33674 7463
+rect 34582 7454 34634 7463
+rect 34582 7420 34591 7454
+rect 34591 7420 34625 7454
+rect 34625 7420 34634 7454
+rect 34582 7411 34634 7420
+rect 35830 7411 35882 7463
+rect 36598 7411 36650 7463
+rect 39478 7411 39530 7463
+rect 41398 7411 41450 7463
+rect 42550 7411 42602 7463
+rect 43894 7411 43946 7463
+rect 44662 7411 44714 7463
+rect 45046 7411 45098 7463
+rect 45814 7411 45866 7463
+rect 49846 7411 49898 7463
+rect 51670 7411 51722 7463
+rect 52438 7411 52490 7463
+rect 52726 7411 52778 7463
+rect 59350 7411 59402 7463
+rect 19654 7300 19706 7352
+rect 19718 7300 19770 7352
+rect 19782 7300 19834 7352
+rect 19846 7300 19898 7352
+rect 50374 7300 50426 7352
+rect 50438 7300 50490 7352
+rect 50502 7300 50554 7352
+rect 50566 7300 50618 7352
+rect 3670 7115 3722 7167
+rect 8470 7189 8522 7241
+rect 9334 7189 9386 7241
+rect 5878 7115 5930 7167
+rect 6838 7084 6890 7093
+rect 6838 7050 6847 7084
+rect 6847 7050 6881 7084
+rect 6881 7050 6890 7084
+rect 6838 7041 6890 7050
+rect 9238 7041 9290 7093
+rect 9718 7041 9770 7093
+rect 11638 7115 11690 7167
+rect 21718 7115 21770 7167
+rect 32086 7158 32138 7167
+rect 10486 7084 10538 7093
+rect 10486 7050 10495 7084
+rect 10495 7050 10529 7084
+rect 10529 7050 10538 7084
+rect 10486 7041 10538 7050
+rect 13654 7084 13706 7093
+rect 13654 7050 13663 7084
+rect 13663 7050 13697 7084
+rect 13697 7050 13706 7084
+rect 13654 7041 13706 7050
+rect 14806 7041 14858 7093
+rect 15958 7041 16010 7093
+rect 17302 7084 17354 7093
+rect 17302 7050 17311 7084
+rect 17311 7050 17345 7084
+rect 17345 7050 17354 7084
+rect 18070 7084 18122 7093
+rect 17302 7041 17354 7050
+rect 18070 7050 18079 7084
+rect 18079 7050 18113 7084
+rect 18113 7050 18122 7084
+rect 18070 7041 18122 7050
+rect 18934 7041 18986 7093
+rect 20374 7084 20426 7093
+rect 20374 7050 20383 7084
+rect 20383 7050 20417 7084
+rect 20417 7050 20426 7084
+rect 20374 7041 20426 7050
+rect 32086 7124 32095 7158
+rect 32095 7124 32129 7158
+rect 32129 7124 32138 7158
+rect 32950 7158 33002 7167
+rect 32086 7115 32138 7124
+rect 22678 7084 22730 7093
+rect 22678 7050 22687 7084
+rect 22687 7050 22721 7084
+rect 22721 7050 22730 7084
+rect 22678 7041 22730 7050
+rect 24214 7084 24266 7093
+rect 24214 7050 24223 7084
+rect 24223 7050 24257 7084
+rect 24257 7050 24266 7084
+rect 24214 7041 24266 7050
+rect 25654 7084 25706 7093
+rect 25654 7050 25663 7084
+rect 25663 7050 25697 7084
+rect 25697 7050 25706 7084
+rect 25654 7041 25706 7050
+rect 26422 7084 26474 7093
+rect 26422 7050 26431 7084
+rect 26431 7050 26465 7084
+rect 26465 7050 26474 7084
+rect 26422 7041 26474 7050
+rect 27190 7084 27242 7093
+rect 27190 7050 27199 7084
+rect 27199 7050 27233 7084
+rect 27233 7050 27242 7084
+rect 27190 7041 27242 7050
+rect 27958 7084 28010 7093
+rect 27958 7050 27967 7084
+rect 27967 7050 28001 7084
+rect 28001 7050 28010 7084
+rect 27958 7041 28010 7050
+rect 28630 7084 28682 7093
+rect 28630 7050 28639 7084
+rect 28639 7050 28673 7084
+rect 28673 7050 28682 7084
+rect 28630 7041 28682 7050
+rect 31318 7041 31370 7093
+rect 31606 7084 31658 7093
+rect 31606 7050 31615 7084
+rect 31615 7050 31649 7084
+rect 31649 7050 31658 7084
+rect 31606 7041 31658 7050
+rect 32950 7124 32959 7158
+rect 32959 7124 32993 7158
+rect 32993 7124 33002 7158
+rect 32950 7115 33002 7124
+rect 35926 7158 35978 7167
+rect 35926 7124 35935 7158
+rect 35935 7124 35969 7158
+rect 35969 7124 35978 7158
+rect 35926 7115 35978 7124
+rect 37462 7158 37514 7167
+rect 37462 7124 37471 7158
+rect 37471 7124 37505 7158
+rect 37505 7124 37514 7158
+rect 37462 7115 37514 7124
+rect 33910 7084 33962 7093
+rect 33910 7050 33919 7084
+rect 33919 7050 33953 7084
+rect 33953 7050 33962 7084
+rect 33910 7041 33962 7050
+rect 34870 7041 34922 7093
+rect 42934 7115 42986 7167
+rect 43414 7158 43466 7167
+rect 43414 7124 43423 7158
+rect 43423 7124 43457 7158
+rect 43457 7124 43466 7158
+rect 46390 7158 46442 7167
+rect 43414 7115 43466 7124
+rect 40054 7084 40106 7093
+rect 40054 7050 40063 7084
+rect 40063 7050 40097 7084
+rect 40097 7050 40106 7084
+rect 40054 7041 40106 7050
+rect 41206 7041 41258 7093
+rect 43030 7084 43082 7093
+rect 43030 7050 43039 7084
+rect 43039 7050 43073 7084
+rect 43073 7050 43082 7084
+rect 43030 7041 43082 7050
+rect 46390 7124 46399 7158
+rect 46399 7124 46433 7158
+rect 46433 7124 46442 7158
+rect 46390 7115 46442 7124
+rect 44566 7084 44618 7093
+rect 44566 7050 44575 7084
+rect 44575 7050 44609 7084
+rect 44609 7050 44618 7084
+rect 44566 7041 44618 7050
+rect 45334 7084 45386 7093
+rect 45334 7050 45343 7084
+rect 45343 7050 45377 7084
+rect 45377 7050 45386 7084
+rect 45334 7041 45386 7050
+rect 46774 7115 46826 7167
+rect 48886 7115 48938 7167
+rect 48310 7084 48362 7093
+rect 48310 7050 48319 7084
+rect 48319 7050 48353 7084
+rect 48353 7050 48362 7084
+rect 48310 7041 48362 7050
+rect 49942 7041 49994 7093
+rect 52054 7084 52106 7093
+rect 52054 7050 52063 7084
+rect 52063 7050 52097 7084
+rect 52097 7050 52106 7084
+rect 52054 7041 52106 7050
+rect 52822 7084 52874 7093
+rect 52822 7050 52831 7084
+rect 52831 7050 52865 7084
+rect 52865 7050 52874 7084
+rect 52822 7041 52874 7050
+rect 1654 7010 1706 7019
+rect 1654 6976 1663 7010
+rect 1663 6976 1697 7010
+rect 1697 6976 1706 7010
+rect 1654 6967 1706 6976
+rect 2518 7010 2570 7019
+rect 2518 6976 2527 7010
+rect 2527 6976 2561 7010
+rect 2561 6976 2570 7010
+rect 2518 6967 2570 6976
+rect 6454 6967 6506 7019
+rect 7318 6967 7370 7019
+rect 5206 6745 5258 6797
+rect 5878 6893 5930 6945
+rect 6550 6893 6602 6945
+rect 6934 6893 6986 6945
+rect 8854 6967 8906 7019
+rect 11254 7010 11306 7019
+rect 9718 6936 9770 6945
+rect 9718 6902 9727 6936
+rect 9727 6902 9761 6936
+rect 9761 6902 9770 6936
+rect 9718 6893 9770 6902
+rect 11254 6976 11263 7010
+rect 11263 6976 11297 7010
+rect 11297 6976 11306 7010
+rect 11254 6967 11306 6976
+rect 12694 7010 12746 7019
+rect 12694 6976 12703 7010
+rect 12703 6976 12737 7010
+rect 12737 6976 12746 7010
+rect 12694 6967 12746 6976
+rect 21334 6967 21386 7019
+rect 38710 6967 38762 7019
+rect 54454 7041 54506 7093
+rect 54742 7010 54794 7019
+rect 13462 6893 13514 6945
+rect 14614 6893 14666 6945
+rect 15574 6893 15626 6945
+rect 17110 6893 17162 6945
+rect 17878 6893 17930 6945
+rect 18550 6893 18602 6945
+rect 20086 6893 20138 6945
+rect 20470 6893 20522 6945
+rect 21238 6893 21290 6945
+rect 22006 6893 22058 6945
+rect 22678 6893 22730 6945
+rect 7126 6819 7178 6871
+rect 10102 6745 10154 6797
+rect 14902 6745 14954 6797
+rect 23350 6745 23402 6797
+rect 24502 6893 24554 6945
+rect 25174 6819 25226 6871
+rect 25942 6745 25994 6797
+rect 26998 6819 27050 6871
+rect 27766 6819 27818 6871
+rect 28534 6819 28586 6871
+rect 29494 6936 29546 6945
+rect 29494 6902 29503 6936
+rect 29503 6902 29537 6936
+rect 29537 6902 29546 6936
+rect 29494 6893 29546 6902
+rect 29974 6893 30026 6945
+rect 31798 6893 31850 6945
+rect 32374 6936 32426 6945
+rect 32374 6902 32383 6936
+rect 32383 6902 32417 6936
+rect 32417 6902 32426 6936
+rect 32374 6893 32426 6902
+rect 33430 6893 33482 6945
+rect 34006 6936 34058 6945
+rect 34006 6902 34015 6936
+rect 34015 6902 34049 6936
+rect 34049 6902 34058 6936
+rect 34006 6893 34058 6902
+rect 34102 6893 34154 6945
+rect 35542 6893 35594 6945
+rect 36406 6893 36458 6945
+rect 34294 6819 34346 6871
+rect 37078 6893 37130 6945
+rect 37366 6819 37418 6871
+rect 38518 6893 38570 6945
+rect 38614 6819 38666 6871
+rect 39862 6819 39914 6871
+rect 40438 6745 40490 6797
+rect 41590 6893 41642 6945
+rect 42838 6819 42890 6871
+rect 43606 6819 43658 6871
+rect 44566 6893 44618 6945
+rect 45334 6893 45386 6945
+rect 47062 6893 47114 6945
+rect 46870 6819 46922 6871
+rect 48310 6893 48362 6945
+rect 50134 6893 50186 6945
+rect 51382 6893 51434 6945
+rect 52054 6893 52106 6945
+rect 54742 6976 54751 7010
+rect 54751 6976 54785 7010
+rect 54785 6976 54794 7010
+rect 54742 6967 54794 6976
+rect 55414 6967 55466 7019
+rect 58486 6967 58538 7019
+rect 56374 6893 56426 6945
+rect 57526 6745 57578 6797
+rect 4294 6634 4346 6686
+rect 4358 6634 4410 6686
+rect 4422 6634 4474 6686
+rect 4486 6634 4538 6686
+rect 35014 6634 35066 6686
+rect 35078 6634 35130 6686
+rect 35142 6634 35194 6686
+rect 35206 6634 35258 6686
+rect 18838 6566 18890 6575
+rect 5110 6449 5162 6501
+rect 6070 6375 6122 6427
+rect 6262 6375 6314 6427
+rect 7126 6418 7178 6427
+rect 7126 6384 7135 6418
+rect 7135 6384 7169 6418
+rect 7169 6384 7178 6418
+rect 18838 6532 18847 6566
+rect 18847 6532 18881 6566
+rect 18881 6532 18890 6566
+rect 18838 6523 18890 6532
+rect 9046 6449 9098 6501
+rect 13174 6449 13226 6501
+rect 13366 6449 13418 6501
+rect 7126 6375 7178 6384
+rect 8374 6375 8426 6427
+rect 15478 6418 15530 6427
+rect 15478 6384 15487 6418
+rect 15487 6384 15521 6418
+rect 15521 6384 15530 6418
+rect 15478 6375 15530 6384
+rect 16342 6375 16394 6427
+rect 17686 6418 17738 6427
+rect 17686 6384 17695 6418
+rect 17695 6384 17729 6418
+rect 17729 6384 17738 6418
+rect 17686 6375 17738 6384
+rect 18358 6375 18410 6427
+rect 22774 6523 22826 6575
+rect 19510 6375 19562 6427
+rect 20758 6418 20810 6427
+rect 20758 6384 20767 6418
+rect 20767 6384 20801 6418
+rect 20801 6384 20810 6418
+rect 20758 6375 20810 6384
+rect 21430 6375 21482 6427
+rect 29494 6523 29546 6575
+rect 40918 6566 40970 6575
+rect 40918 6532 40927 6566
+rect 40927 6532 40961 6566
+rect 40961 6532 40970 6566
+rect 42454 6566 42506 6575
+rect 40918 6523 40970 6532
+rect 26614 6449 26666 6501
+rect 24598 6375 24650 6427
+rect 28246 6418 28298 6427
+rect 28246 6384 28255 6418
+rect 28255 6384 28289 6418
+rect 28289 6384 28298 6418
+rect 28246 6375 28298 6384
+rect 29014 6418 29066 6427
+rect 29014 6384 29023 6418
+rect 29023 6384 29057 6418
+rect 29057 6384 29066 6418
+rect 29014 6375 29066 6384
+rect 30646 6418 30698 6427
+rect 30646 6384 30655 6418
+rect 30655 6384 30689 6418
+rect 30689 6384 30698 6418
+rect 30646 6375 30698 6384
+rect 32182 6418 32234 6427
+rect 32182 6384 32191 6418
+rect 32191 6384 32225 6418
+rect 32225 6384 32234 6418
+rect 32182 6375 32234 6384
+rect 33526 6418 33578 6427
+rect 33526 6384 33535 6418
+rect 33535 6384 33569 6418
+rect 33569 6384 33578 6418
+rect 33526 6375 33578 6384
+rect 37174 6418 37226 6427
+rect 1558 6344 1610 6353
+rect 1558 6310 1567 6344
+rect 1567 6310 1601 6344
+rect 1601 6310 1610 6344
+rect 1558 6301 1610 6310
+rect 2038 6301 2090 6353
+rect 3190 6344 3242 6353
+rect 3190 6310 3199 6344
+rect 3199 6310 3233 6344
+rect 3233 6310 3242 6344
+rect 3190 6301 3242 6310
+rect 3862 6301 3914 6353
+rect 4630 6301 4682 6353
+rect 9430 6344 9482 6353
+rect 9430 6310 9439 6344
+rect 9439 6310 9473 6344
+rect 9473 6310 9482 6344
+rect 9430 6301 9482 6310
+rect 10102 6301 10154 6353
+rect 10870 6301 10922 6353
+rect 11638 6301 11690 6353
+rect 13174 6301 13226 6353
+rect 19606 6301 19658 6353
+rect 14326 6227 14378 6279
+rect 14134 6153 14186 6205
+rect 19318 6227 19370 6279
+rect 5494 6079 5546 6131
+rect 13846 6122 13898 6131
+rect 13846 6088 13855 6122
+rect 13855 6088 13889 6122
+rect 13889 6088 13898 6122
+rect 13846 6079 13898 6088
+rect 14710 6079 14762 6131
+rect 18838 6153 18890 6205
+rect 18934 6153 18986 6205
+rect 15478 6079 15530 6131
+rect 16726 6079 16778 6131
+rect 18166 6079 18218 6131
+rect 18454 6079 18506 6131
+rect 22486 6301 22538 6353
+rect 25654 6344 25706 6353
+rect 25654 6310 25663 6344
+rect 25663 6310 25697 6344
+rect 25697 6310 25706 6344
+rect 25654 6301 25706 6310
+rect 26806 6344 26858 6353
+rect 26806 6310 26815 6344
+rect 26815 6310 26849 6344
+rect 26849 6310 26858 6344
+rect 26806 6301 26858 6310
+rect 29686 6344 29738 6353
+rect 29686 6310 29695 6344
+rect 29695 6310 29729 6344
+rect 29729 6310 29738 6344
+rect 29686 6301 29738 6310
+rect 31222 6344 31274 6353
+rect 31222 6310 31231 6344
+rect 31231 6310 31265 6344
+rect 31265 6310 31274 6344
+rect 31222 6301 31274 6310
+rect 34198 6301 34250 6353
+rect 37174 6384 37183 6418
+rect 37183 6384 37217 6418
+rect 37217 6384 37226 6418
+rect 37174 6375 37226 6384
+rect 42454 6532 42463 6566
+rect 42463 6532 42497 6566
+rect 42497 6532 42506 6566
+rect 42454 6523 42506 6532
+rect 43798 6375 43850 6427
+rect 50902 6418 50954 6427
+rect 50902 6384 50911 6418
+rect 50911 6384 50945 6418
+rect 50945 6384 50954 6418
+rect 50902 6375 50954 6384
+rect 52342 6375 52394 6427
+rect 36310 6344 36362 6353
+rect 36310 6310 36319 6344
+rect 36319 6310 36353 6344
+rect 36353 6310 36362 6344
+rect 36310 6301 36362 6310
+rect 38902 6344 38954 6353
+rect 38902 6310 38911 6344
+rect 38911 6310 38945 6344
+rect 38945 6310 38954 6344
+rect 38902 6301 38954 6310
+rect 40342 6344 40394 6353
+rect 40342 6310 40351 6344
+rect 40351 6310 40385 6344
+rect 40385 6310 40394 6344
+rect 40342 6301 40394 6310
+rect 41878 6344 41930 6353
+rect 41878 6310 41887 6344
+rect 41887 6310 41921 6344
+rect 41921 6310 41930 6344
+rect 41878 6301 41930 6310
+rect 45526 6344 45578 6353
+rect 45526 6310 45535 6344
+rect 45535 6310 45569 6344
+rect 45569 6310 45578 6344
+rect 45526 6301 45578 6310
+rect 46966 6344 47018 6353
+rect 46966 6310 46975 6344
+rect 46975 6310 47009 6344
+rect 47009 6310 47018 6344
+rect 46966 6301 47018 6310
+rect 47734 6344 47786 6353
+rect 47734 6310 47743 6344
+rect 47743 6310 47777 6344
+rect 47777 6310 47786 6344
+rect 47734 6301 47786 6310
+rect 48790 6301 48842 6353
+rect 49558 6301 49610 6353
+rect 56854 6375 56906 6427
+rect 53974 6301 54026 6353
+rect 22966 6227 23018 6279
+rect 22390 6153 22442 6205
+rect 21526 6079 21578 6131
+rect 27574 6153 27626 6205
+rect 26326 6079 26378 6131
+rect 32566 6153 32618 6205
+rect 29878 6079 29930 6131
+rect 30646 6079 30698 6131
+rect 33718 6079 33770 6131
+rect 44470 6227 44522 6279
+rect 45430 6227 45482 6279
+rect 54358 6227 54410 6279
+rect 40630 6153 40682 6205
+rect 34678 6122 34730 6131
+rect 34678 6088 34687 6122
+rect 34687 6088 34721 6122
+rect 34721 6088 34730 6122
+rect 34678 6079 34730 6088
+rect 35446 6079 35498 6131
+rect 39190 6079 39242 6131
+rect 42070 6153 42122 6205
+rect 51478 6153 51530 6205
+rect 44086 6079 44138 6131
+rect 49846 6079 49898 6131
+rect 51094 6079 51146 6131
+rect 55030 6153 55082 6205
+rect 58102 6301 58154 6353
+rect 58870 6227 58922 6279
+rect 19654 5968 19706 6020
+rect 19718 5968 19770 6020
+rect 19782 5968 19834 6020
+rect 19846 5968 19898 6020
+rect 50374 5968 50426 6020
+rect 50438 5968 50490 6020
+rect 50502 5968 50554 6020
+rect 50566 5968 50618 6020
+rect 2614 5857 2666 5909
+rect 8086 5857 8138 5909
+rect 18838 5857 18890 5909
+rect 29782 5857 29834 5909
+rect 34678 5783 34730 5835
+rect 5782 5752 5834 5761
+rect 5782 5718 5791 5752
+rect 5791 5718 5825 5752
+rect 5825 5718 5834 5752
+rect 5782 5709 5834 5718
+rect 7030 5709 7082 5761
+rect 1078 5635 1130 5687
+rect 2902 5678 2954 5687
+rect 2902 5644 2911 5678
+rect 2911 5644 2945 5678
+rect 2945 5644 2954 5678
+rect 2902 5635 2954 5644
+rect 4918 5635 4970 5687
+rect 5110 5678 5162 5687
+rect 5110 5644 5119 5678
+rect 5119 5644 5153 5678
+rect 5153 5644 5162 5678
+rect 5110 5635 5162 5644
+rect 6838 5678 6890 5687
+rect 6838 5644 6847 5678
+rect 6847 5644 6881 5678
+rect 6881 5644 6890 5678
+rect 6838 5635 6890 5644
+rect 7222 5635 7274 5687
+rect 5782 5561 5834 5613
+rect 3574 5487 3626 5539
+rect 7798 5487 7850 5539
+rect 7606 5413 7658 5465
+rect 8758 5635 8810 5687
+rect 10198 5635 10250 5687
+rect 10486 5635 10538 5687
+rect 12598 5678 12650 5687
+rect 12598 5644 12607 5678
+rect 12607 5644 12641 5678
+rect 12641 5644 12650 5678
+rect 12598 5635 12650 5644
+rect 13654 5635 13706 5687
+rect 14998 5678 15050 5687
+rect 14998 5644 15007 5678
+rect 15007 5644 15041 5678
+rect 15041 5644 15050 5678
+rect 14998 5635 15050 5644
+rect 15862 5678 15914 5687
+rect 15862 5644 15871 5678
+rect 15871 5644 15905 5678
+rect 15905 5644 15914 5678
+rect 15862 5635 15914 5644
+rect 16150 5635 16202 5687
+rect 17302 5678 17354 5687
+rect 17302 5644 17311 5678
+rect 17311 5644 17345 5678
+rect 17345 5644 17354 5678
+rect 18742 5678 18794 5687
+rect 17302 5635 17354 5644
+rect 18742 5644 18751 5678
+rect 18751 5644 18785 5678
+rect 18785 5644 18794 5678
+rect 18742 5635 18794 5644
+rect 20182 5678 20234 5687
+rect 20182 5644 20191 5678
+rect 20191 5644 20225 5678
+rect 20225 5644 20234 5678
+rect 20182 5635 20234 5644
+rect 20566 5635 20618 5687
+rect 21718 5678 21770 5687
+rect 21718 5644 21727 5678
+rect 21727 5644 21761 5678
+rect 21761 5644 21770 5678
+rect 21718 5635 21770 5644
+rect 21622 5561 21674 5613
+rect 23062 5635 23114 5687
+rect 23446 5635 23498 5687
+rect 24598 5635 24650 5687
+rect 26230 5678 26282 5687
+rect 26230 5644 26239 5678
+rect 26239 5644 26273 5678
+rect 26273 5644 26282 5678
+rect 26230 5635 26282 5644
+rect 26038 5561 26090 5613
+rect 27382 5635 27434 5687
+rect 27862 5635 27914 5687
+rect 28822 5635 28874 5687
+rect 30262 5635 30314 5687
+rect 30838 5635 30890 5687
+rect 31702 5635 31754 5687
+rect 33142 5678 33194 5687
+rect 33142 5644 33151 5678
+rect 33151 5644 33185 5678
+rect 33185 5644 33194 5678
+rect 33142 5635 33194 5644
+rect 33238 5635 33290 5687
+rect 34678 5678 34730 5687
+rect 34678 5644 34687 5678
+rect 34687 5644 34721 5678
+rect 34721 5644 34730 5678
+rect 34678 5635 34730 5644
+rect 36022 5678 36074 5687
+rect 36022 5644 36031 5678
+rect 36031 5644 36065 5678
+rect 36065 5644 36074 5678
+rect 36022 5635 36074 5644
+rect 36214 5635 36266 5687
+rect 41494 5709 41546 5761
+rect 37558 5678 37610 5687
+rect 37558 5644 37567 5678
+rect 37567 5644 37601 5678
+rect 37601 5644 37610 5678
+rect 37558 5635 37610 5644
+rect 39094 5678 39146 5687
+rect 21334 5487 21386 5539
+rect 37462 5487 37514 5539
+rect 39094 5644 39103 5678
+rect 39103 5644 39137 5678
+rect 39137 5644 39146 5678
+rect 39094 5635 39146 5644
+rect 39286 5635 39338 5687
+rect 40726 5635 40778 5687
+rect 41782 5635 41834 5687
+rect 42262 5635 42314 5687
+rect 43222 5635 43274 5687
+rect 43702 5635 43754 5687
+rect 45142 5678 45194 5687
+rect 45142 5644 45151 5678
+rect 45151 5644 45185 5678
+rect 45185 5644 45194 5678
+rect 45142 5635 45194 5644
+rect 46102 5635 46154 5687
+rect 46678 5635 46730 5687
+rect 47542 5635 47594 5687
+rect 49078 5635 49130 5687
+rect 49654 5678 49706 5687
+rect 49654 5644 49663 5678
+rect 49663 5644 49697 5678
+rect 49697 5644 49706 5678
+rect 49654 5635 49706 5644
+rect 50710 5635 50762 5687
+rect 52150 5678 52202 5687
+rect 52150 5644 52159 5678
+rect 52159 5644 52193 5678
+rect 52193 5644 52202 5678
+rect 52150 5635 52202 5644
+rect 52534 5635 52586 5687
+rect 53686 5678 53738 5687
+rect 53686 5644 53695 5678
+rect 53695 5644 53729 5678
+rect 53729 5644 53738 5678
+rect 53686 5635 53738 5644
+rect 57430 5678 57482 5687
+rect 53590 5561 53642 5613
+rect 57430 5644 57439 5678
+rect 57439 5644 57473 5678
+rect 57473 5644 57482 5678
+rect 57430 5635 57482 5644
+rect 59638 5561 59690 5613
+rect 22870 5413 22922 5465
+rect 4294 5302 4346 5354
+rect 4358 5302 4410 5354
+rect 4422 5302 4474 5354
+rect 4486 5302 4538 5354
+rect 35014 5302 35066 5354
+rect 35078 5302 35130 5354
+rect 35142 5302 35194 5354
+rect 35206 5302 35258 5354
+rect 4726 5191 4778 5243
+rect 310 4969 362 5021
+rect 1846 4969 1898 5021
+rect 3094 5012 3146 5021
+rect 3094 4978 3103 5012
+rect 3103 4978 3137 5012
+rect 3137 4978 3146 5012
+rect 3094 4969 3146 4978
+rect 4150 5012 4202 5021
+rect 4150 4978 4159 5012
+rect 4159 4978 4193 5012
+rect 4193 4978 4202 5012
+rect 4150 4969 4202 4978
+rect 5398 5012 5450 5021
+rect 5398 4978 5407 5012
+rect 5407 4978 5441 5012
+rect 5441 4978 5450 5012
+rect 5398 4969 5450 4978
+rect 6070 4969 6122 5021
+rect 9238 5012 9290 5021
+rect 9238 4978 9247 5012
+rect 9247 4978 9281 5012
+rect 9281 4978 9290 5012
+rect 9238 4969 9290 4978
+rect 10582 4969 10634 5021
+rect 11062 4969 11114 5021
+rect 11830 4969 11882 5021
+rect 12982 5012 13034 5021
+rect 12982 4978 12991 5012
+rect 12991 4978 13025 5012
+rect 13025 4978 13034 5012
+rect 12982 4969 13034 4978
+rect 13942 5012 13994 5021
+rect 13942 4978 13951 5012
+rect 13951 4978 13985 5012
+rect 13985 4978 13994 5012
+rect 13942 4969 13994 4978
+rect 14422 4969 14474 5021
+rect 14902 4969 14954 5021
+rect 16246 5012 16298 5021
+rect 16246 4978 16255 5012
+rect 16255 4978 16289 5012
+rect 16289 4978 16298 5012
+rect 16246 4969 16298 4978
+rect 17494 5012 17546 5021
+rect 17494 4978 17503 5012
+rect 17503 4978 17537 5012
+rect 17537 4978 17546 5012
+rect 17494 4969 17546 4978
+rect 17974 4969 18026 5021
+rect 18838 4969 18890 5021
+rect 7942 4895 7994 4947
+rect 8086 4821 8138 4873
+rect 19030 4821 19082 4873
+rect 20374 4969 20426 5021
+rect 20950 4969 21002 5021
+rect 22774 5012 22826 5021
+rect 22774 4978 22783 5012
+rect 22783 4978 22817 5012
+rect 22817 4978 22826 5012
+rect 22774 4969 22826 4978
+rect 23542 5012 23594 5021
+rect 23542 4978 23551 5012
+rect 23551 4978 23585 5012
+rect 23585 4978 23594 5012
+rect 23542 4969 23594 4978
+rect 25078 5012 25130 5021
+rect 23158 4895 23210 4947
+rect 25078 4978 25087 5012
+rect 25087 4978 25121 5012
+rect 25121 4978 25130 5012
+rect 25078 4969 25130 4978
+rect 25846 5012 25898 5021
+rect 25846 4978 25855 5012
+rect 25855 4978 25889 5012
+rect 25889 4978 25898 5012
+rect 25846 4969 25898 4978
+rect 26614 5012 26666 5021
+rect 26614 4978 26623 5012
+rect 26623 4978 26657 5012
+rect 26657 4978 26666 5012
+rect 26614 4969 26666 4978
+rect 28054 5012 28106 5021
+rect 28054 4978 28063 5012
+rect 28063 4978 28097 5012
+rect 28097 4978 28106 5012
+rect 28054 4969 28106 4978
+rect 28918 5012 28970 5021
+rect 28918 4978 28927 5012
+rect 28927 4978 28961 5012
+rect 28961 4978 28970 5012
+rect 28918 4969 28970 4978
+rect 29302 4969 29354 5021
+rect 30358 5012 30410 5021
+rect 30358 4978 30367 5012
+rect 30367 4978 30401 5012
+rect 30401 4978 30410 5012
+rect 30358 4969 30410 4978
+rect 31126 5012 31178 5021
+rect 31126 4978 31135 5012
+rect 31135 4978 31169 5012
+rect 31169 4978 31178 5012
+rect 31126 4969 31178 4978
+rect 31894 5012 31946 5021
+rect 31894 4978 31903 5012
+rect 31903 4978 31937 5012
+rect 31937 4978 31946 5012
+rect 31894 4969 31946 4978
+rect 33334 5012 33386 5021
+rect 33334 4978 33343 5012
+rect 33343 4978 33377 5012
+rect 33377 4978 33386 5012
+rect 33334 4969 33386 4978
+rect 33430 4969 33482 5021
+rect 34870 5012 34922 5021
+rect 34870 4978 34879 5012
+rect 34879 4978 34913 5012
+rect 34913 4978 34922 5012
+rect 34870 4969 34922 4978
+rect 35638 5012 35690 5021
+rect 35638 4978 35647 5012
+rect 35647 4978 35681 5012
+rect 35681 4978 35690 5012
+rect 35638 4969 35690 4978
+rect 36118 4969 36170 5021
+rect 36886 4969 36938 5021
+rect 38614 5012 38666 5021
+rect 38614 4978 38623 5012
+rect 38623 4978 38657 5012
+rect 38657 4978 38666 5012
+rect 38614 4969 38666 4978
+rect 39382 5012 39434 5021
+rect 39382 4978 39391 5012
+rect 39391 4978 39425 5012
+rect 39425 4978 39434 5012
+rect 39382 4969 39434 4978
+rect 40150 5012 40202 5021
+rect 40150 4978 40159 5012
+rect 40159 4978 40193 5012
+rect 40193 4978 40202 5012
+rect 40150 4969 40202 4978
+rect 40918 5012 40970 5021
+rect 40918 4978 40927 5012
+rect 40927 4978 40961 5012
+rect 40961 4978 40970 5012
+rect 40918 4969 40970 4978
+rect 41686 5012 41738 5021
+rect 41686 4978 41695 5012
+rect 41695 4978 41729 5012
+rect 41729 4978 41738 5012
+rect 41686 4969 41738 4978
+rect 42454 5012 42506 5021
+rect 42454 4978 42463 5012
+rect 42463 4978 42497 5012
+rect 42497 4978 42506 5012
+rect 42454 4969 42506 4978
+rect 43318 4969 43370 5021
+rect 44758 5012 44810 5021
+rect 44758 4978 44767 5012
+rect 44767 4978 44801 5012
+rect 44801 4978 44810 5012
+rect 44758 4969 44810 4978
+rect 45430 5012 45482 5021
+rect 45430 4978 45439 5012
+rect 45439 4978 45473 5012
+rect 45473 4978 45482 5012
+rect 45430 4969 45482 4978
+rect 46198 5012 46250 5021
+rect 46198 4978 46207 5012
+rect 46207 4978 46241 5012
+rect 46241 4978 46250 5012
+rect 46198 4969 46250 4978
+rect 46294 4969 46346 5021
+rect 47638 4969 47690 5021
+rect 49366 5012 49418 5021
+rect 49366 4978 49375 5012
+rect 49375 4978 49409 5012
+rect 49409 4978 49418 5012
+rect 49366 4969 49418 4978
+rect 50422 5012 50474 5021
+rect 50422 4978 50431 5012
+rect 50431 4978 50465 5012
+rect 50465 4978 50474 5012
+rect 50422 4969 50474 4978
+rect 50902 4969 50954 5021
+rect 51862 5012 51914 5021
+rect 51862 4978 51871 5012
+rect 51871 4978 51905 5012
+rect 51905 4978 51914 5012
+rect 51862 4969 51914 4978
+rect 52246 4969 52298 5021
+rect 53302 4969 53354 5021
+rect 59254 5117 59306 5169
+rect 57814 5043 57866 5095
+rect 57046 5012 57098 5021
+rect 57046 4978 57055 5012
+rect 57055 4978 57089 5012
+rect 57089 4978 57098 5012
+rect 57046 4969 57098 4978
+rect 35350 4895 35402 4947
+rect 19654 4636 19706 4688
+rect 19718 4636 19770 4688
+rect 19782 4636 19834 4688
+rect 19846 4636 19898 4688
+rect 50374 4636 50426 4688
+rect 50438 4636 50490 4688
+rect 50502 4636 50554 4688
+rect 50566 4636 50618 4688
+rect 15670 4525 15722 4577
+rect 16534 4568 16586 4577
+rect 16534 4534 16543 4568
+rect 16543 4534 16577 4568
+rect 16577 4534 16586 4568
+rect 16534 4525 16586 4534
+rect 27478 4525 27530 4577
+rect 16630 4451 16682 4503
+rect 17686 4451 17738 4503
+rect 790 4377 842 4429
+rect 1174 4303 1226 4355
+rect 14230 4377 14282 4429
+rect 16822 4377 16874 4429
+rect 48982 4377 49034 4429
+rect 1366 4229 1418 4281
+rect 3766 4229 3818 4281
+rect 4726 4303 4778 4355
+rect 5014 4229 5066 4281
+rect 7414 4346 7466 4355
+rect 5686 4229 5738 4281
+rect 7414 4312 7423 4346
+rect 7423 4312 7457 4346
+rect 7457 4312 7466 4346
+rect 7414 4303 7466 4312
+rect 9622 4346 9674 4355
+rect 3478 4155 3530 4207
+rect 4918 4155 4970 4207
+rect 6454 4155 6506 4207
+rect 9622 4312 9631 4346
+rect 9631 4312 9665 4346
+rect 9665 4312 9674 4346
+rect 9622 4303 9674 4312
+rect 10390 4346 10442 4355
+rect 10390 4312 10399 4346
+rect 10399 4312 10433 4346
+rect 10433 4312 10442 4346
+rect 10390 4303 10442 4312
+rect 10774 4303 10826 4355
+rect 13558 4346 13610 4355
+rect 9814 4229 9866 4281
+rect 10198 4229 10250 4281
+rect 11158 4155 11210 4207
+rect 9046 4081 9098 4133
+rect 11062 4081 11114 4133
+rect 11446 4081 11498 4133
+rect 13558 4312 13567 4346
+rect 13567 4312 13601 4346
+rect 13601 4312 13610 4346
+rect 13558 4303 13610 4312
+rect 15478 4346 15530 4355
+rect 15478 4312 15487 4346
+rect 15487 4312 15521 4346
+rect 15521 4312 15530 4346
+rect 15478 4303 15530 4312
+rect 15958 4303 16010 4355
+rect 16342 4303 16394 4355
+rect 16918 4229 16970 4281
+rect 20278 4346 20330 4355
+rect 17590 4229 17642 4281
+rect 20278 4312 20287 4346
+rect 20287 4312 20321 4346
+rect 20321 4312 20330 4346
+rect 20278 4303 20330 4312
+rect 21046 4346 21098 4355
+rect 21046 4312 21055 4346
+rect 21055 4312 21089 4346
+rect 21089 4312 21098 4346
+rect 21046 4303 21098 4312
+rect 21814 4346 21866 4355
+rect 21814 4312 21823 4346
+rect 21823 4312 21857 4346
+rect 21857 4312 21866 4346
+rect 21814 4303 21866 4312
+rect 23254 4346 23306 4355
+rect 23254 4312 23263 4346
+rect 23263 4312 23297 4346
+rect 23297 4312 23306 4346
+rect 23254 4303 23306 4312
+rect 24022 4346 24074 4355
+rect 24022 4312 24031 4346
+rect 24031 4312 24065 4346
+rect 24065 4312 24074 4346
+rect 24022 4303 24074 4312
+rect 25462 4346 25514 4355
+rect 25462 4312 25471 4346
+rect 25471 4312 25505 4346
+rect 25505 4312 25514 4346
+rect 25462 4303 25514 4312
+rect 26134 4303 26186 4355
+rect 26518 4303 26570 4355
+rect 28342 4346 28394 4355
+rect 28342 4312 28351 4346
+rect 28351 4312 28385 4346
+rect 28385 4312 28394 4346
+rect 28342 4303 28394 4312
+rect 29110 4346 29162 4355
+rect 29110 4312 29119 4346
+rect 29119 4312 29153 4346
+rect 29153 4312 29162 4346
+rect 29110 4303 29162 4312
+rect 30934 4346 30986 4355
+rect 30934 4312 30943 4346
+rect 30943 4312 30977 4346
+rect 30977 4312 30986 4346
+rect 30934 4303 30986 4312
+rect 31702 4346 31754 4355
+rect 31702 4312 31711 4346
+rect 31711 4312 31745 4346
+rect 31745 4312 31754 4346
+rect 31702 4303 31754 4312
+rect 32758 4346 32810 4355
+rect 32758 4312 32767 4346
+rect 32767 4312 32801 4346
+rect 32801 4312 32810 4346
+rect 32758 4303 32810 4312
+rect 33910 4346 33962 4355
+rect 33910 4312 33919 4346
+rect 33919 4312 33953 4346
+rect 33953 4312 33962 4346
+rect 33910 4303 33962 4312
+rect 34582 4303 34634 4355
+rect 35350 4303 35402 4355
+rect 36790 4346 36842 4355
+rect 36790 4312 36799 4346
+rect 36799 4312 36833 4346
+rect 36833 4312 36842 4346
+rect 36790 4303 36842 4312
+rect 37174 4303 37226 4355
+rect 38998 4346 39050 4355
+rect 38998 4312 39007 4346
+rect 39007 4312 39041 4346
+rect 39041 4312 39050 4346
+rect 38998 4303 39050 4312
+rect 39766 4346 39818 4355
+rect 39766 4312 39775 4346
+rect 39775 4312 39809 4346
+rect 39809 4312 39818 4346
+rect 39766 4303 39818 4312
+rect 41974 4346 42026 4355
+rect 41974 4312 41983 4346
+rect 41983 4312 42017 4346
+rect 42017 4312 42026 4346
+rect 41974 4303 42026 4312
+rect 42358 4303 42410 4355
+rect 43414 4303 43466 4355
+rect 44950 4346 45002 4355
+rect 44950 4312 44959 4346
+rect 44959 4312 44993 4346
+rect 44993 4312 45002 4346
+rect 44950 4303 45002 4312
+rect 46774 4346 46826 4355
+rect 46774 4312 46783 4346
+rect 46783 4312 46817 4346
+rect 46817 4312 46826 4346
+rect 46774 4303 46826 4312
+rect 21238 4229 21290 4281
+rect 22774 4229 22826 4281
+rect 24214 4229 24266 4281
+rect 25846 4229 25898 4281
+rect 26422 4229 26474 4281
+rect 28054 4229 28106 4281
+rect 22294 4155 22346 4207
+rect 44470 4272 44522 4281
+rect 44470 4238 44479 4272
+rect 44479 4238 44513 4272
+rect 44513 4238 44522 4272
+rect 44470 4229 44522 4238
+rect 47446 4229 47498 4281
+rect 47830 4303 47882 4355
+rect 52630 4346 52682 4355
+rect 48598 4229 48650 4281
+rect 49942 4229 49994 4281
+rect 50998 4229 51050 4281
+rect 52630 4312 52639 4346
+rect 52639 4312 52673 4346
+rect 52673 4312 52682 4346
+rect 52630 4303 52682 4312
+rect 53014 4229 53066 4281
+rect 54070 4303 54122 4355
+rect 55606 4346 55658 4355
+rect 55606 4312 55615 4346
+rect 55615 4312 55649 4346
+rect 55649 4312 55658 4346
+rect 55606 4303 55658 4312
+rect 56662 4303 56714 4355
+rect 31990 4155 32042 4207
+rect 33718 4155 33770 4207
+rect 15094 4081 15146 4133
+rect 16246 4081 16298 4133
+rect 22486 4081 22538 4133
+rect 57334 4155 57386 4207
+rect 59158 4155 59210 4207
+rect 41302 4081 41354 4133
+rect 41590 4081 41642 4133
+rect 55222 4081 55274 4133
+rect 57910 4081 57962 4133
+rect 4294 3970 4346 4022
+rect 4358 3970 4410 4022
+rect 4422 3970 4474 4022
+rect 4486 3970 4538 4022
+rect 35014 3970 35066 4022
+rect 35078 3970 35130 4022
+rect 35142 3970 35194 4022
+rect 35206 3970 35258 4022
+rect 1942 3859 1994 3911
+rect 2998 3859 3050 3911
+rect 7894 3859 7946 3911
+rect 9238 3859 9290 3911
+rect 13078 3859 13130 3911
+rect 15190 3859 15242 3911
+rect 22294 3859 22346 3911
+rect 29014 3859 29066 3911
+rect 30358 3859 30410 3911
+rect 32182 3859 32234 3911
+rect 33526 3859 33578 3911
+rect 33718 3859 33770 3911
+rect 34870 3859 34922 3911
+rect 40054 3859 40106 3911
+rect 41686 3859 41738 3911
+rect 502 3785 554 3837
+rect 1654 3785 1706 3837
+rect 2326 3785 2378 3837
+rect 3094 3785 3146 3837
+rect 8278 3785 8330 3837
+rect 10582 3785 10634 3837
+rect 12310 3785 12362 3837
+rect 13174 3785 13226 3837
+rect 13654 3785 13706 3837
+rect 16534 3785 16586 3837
+rect 17302 3785 17354 3837
+rect 17782 3785 17834 3837
+rect 25846 3785 25898 3837
+rect 2998 3711 3050 3763
+rect 3286 3711 3338 3763
+rect 3382 3711 3434 3763
+rect 118 3637 170 3689
+rect 1654 3637 1706 3689
+rect 2710 3637 2762 3689
+rect 3574 3637 3626 3689
+rect 8086 3711 8138 3763
+rect 9718 3711 9770 3763
+rect 12022 3711 12074 3763
+rect 14326 3711 14378 3763
+rect 22486 3711 22538 3763
+rect 24694 3711 24746 3763
+rect 5590 3680 5642 3689
+rect 5590 3646 5599 3680
+rect 5599 3646 5633 3680
+rect 5633 3646 5642 3680
+rect 5590 3637 5642 3646
+rect 6358 3637 6410 3689
+rect 7030 3637 7082 3689
+rect 7798 3637 7850 3689
+rect 8566 3637 8618 3689
+rect 9334 3637 9386 3689
+rect 982 3563 1034 3615
+rect 2422 3563 2474 3615
+rect 598 3415 650 3467
+rect 1462 3415 1514 3467
+rect 2422 3415 2474 3467
+rect 5206 3563 5258 3615
+rect 10006 3489 10058 3541
+rect 13174 3637 13226 3689
+rect 13654 3680 13706 3689
+rect 13654 3646 13663 3680
+rect 13663 3646 13697 3680
+rect 13697 3646 13706 3680
+rect 13654 3637 13706 3646
+rect 14038 3637 14090 3689
+rect 14806 3637 14858 3689
+rect 15382 3637 15434 3689
+rect 17398 3637 17450 3689
+rect 18070 3637 18122 3689
+rect 18454 3637 18506 3689
+rect 19222 3637 19274 3689
+rect 19990 3637 20042 3689
+rect 20662 3637 20714 3689
+rect 22102 3637 22154 3689
+rect 22870 3637 22922 3689
+rect 23638 3637 23690 3689
+rect 24406 3637 24458 3689
+rect 27286 3637 27338 3689
+rect 28726 3711 28778 3763
+rect 37846 3785 37898 3837
+rect 39382 3785 39434 3837
+rect 41110 3785 41162 3837
+rect 42454 3785 42506 3837
+rect 49174 3785 49226 3837
+rect 50710 3785 50762 3837
+rect 56278 3785 56330 3837
+rect 57526 3785 57578 3837
+rect 40438 3711 40490 3763
+rect 44566 3711 44618 3763
+rect 11542 3489 11594 3541
+rect 17302 3489 17354 3541
+rect 17494 3489 17546 3541
+rect 28054 3489 28106 3541
+rect 29494 3563 29546 3615
+rect 30454 3637 30506 3689
+rect 31318 3637 31370 3689
+rect 32470 3637 32522 3689
+rect 33526 3637 33578 3689
+rect 34294 3637 34346 3689
+rect 34966 3637 35018 3689
+rect 35734 3637 35786 3689
+rect 36502 3637 36554 3689
+rect 37942 3637 37994 3689
+rect 38710 3637 38762 3689
+rect 32950 3563 33002 3615
+rect 34006 3563 34058 3615
+rect 31414 3489 31466 3541
+rect 32374 3489 32426 3541
+rect 37654 3489 37706 3541
+rect 38518 3489 38570 3541
+rect 39382 3489 39434 3541
+rect 40246 3637 40298 3689
+rect 41014 3637 41066 3689
+rect 41590 3563 41642 3615
+rect 42742 3637 42794 3689
+rect 55894 3711 55946 3763
+rect 43798 3563 43850 3615
+rect 45238 3563 45290 3615
+rect 41206 3489 41258 3541
+rect 41686 3489 41738 3541
+rect 46006 3489 46058 3541
+rect 47158 3637 47210 3689
+rect 48214 3637 48266 3689
+rect 50710 3637 50762 3689
+rect 50806 3637 50858 3689
+rect 51286 3637 51338 3689
+rect 51958 3489 52010 3541
+rect 53398 3637 53450 3689
+rect 54454 3489 54506 3541
+rect 3286 3415 3338 3467
+rect 3958 3415 4010 3467
+rect 30742 3415 30794 3467
+rect 31798 3415 31850 3467
+rect 43510 3415 43562 3467
+rect 44758 3415 44810 3467
+rect 55222 3415 55274 3467
+rect 56278 3563 56330 3615
+rect 58198 3637 58250 3689
+rect 59734 3637 59786 3689
+rect 19654 3304 19706 3356
+rect 19718 3304 19770 3356
+rect 19782 3304 19834 3356
+rect 19846 3304 19898 3356
+rect 50374 3304 50426 3356
+rect 50438 3304 50490 3356
+rect 50502 3304 50554 3356
+rect 50566 3304 50618 3356
+rect 1462 3193 1514 3245
+rect 2134 3193 2186 3245
+rect 3094 3193 3146 3245
+rect 3574 3193 3626 3245
+rect 3958 3193 4010 3245
+rect 5110 3193 5162 3245
+rect 13270 3236 13322 3245
+rect 13270 3202 13279 3236
+rect 13279 3202 13313 3236
+rect 13313 3202 13322 3236
+rect 13270 3193 13322 3202
+rect 13366 3193 13418 3245
+rect 15286 3193 15338 3245
+rect 16822 3236 16874 3245
+rect 16822 3202 16831 3236
+rect 16831 3202 16865 3236
+rect 16865 3202 16874 3236
+rect 16822 3193 16874 3202
+rect 17686 3193 17738 3245
+rect 19126 3193 19178 3245
+rect 19702 3193 19754 3245
+rect 20086 3193 20138 3245
+rect 22774 3193 22826 3245
+rect 23062 3193 23114 3245
+rect 28822 3193 28874 3245
+rect 29878 3193 29930 3245
+rect 30454 3193 30506 3245
+rect 31894 3193 31946 3245
+rect 34102 3193 34154 3245
+rect 35350 3193 35402 3245
+rect 38518 3193 38570 3245
+rect 40150 3193 40202 3245
+rect 44086 3193 44138 3245
+rect 45430 3193 45482 3245
+rect 45718 3193 45770 3245
+rect 46294 3193 46346 3245
+rect 48502 3193 48554 3245
+rect 49654 3193 49706 3245
+rect 214 3119 266 3171
+rect 1750 3119 1802 3171
+rect 12214 3119 12266 3171
+rect 12982 3119 13034 3171
+rect 19414 3119 19466 3171
+rect 20374 3119 20426 3171
+rect 22006 3119 22058 3171
+rect 24022 3119 24074 3171
+rect 24982 3119 25034 3171
+rect 26614 3119 26666 3171
+rect 28246 3119 28298 3171
+rect 29302 3119 29354 3171
+rect 31798 3119 31850 3171
+rect 31990 3119 32042 3171
+rect 32662 3119 32714 3171
+rect 33430 3119 33482 3171
+rect 33814 3119 33866 3171
+rect 34678 3119 34730 3171
+rect 36886 3119 36938 3171
+rect 37078 3119 37130 3171
+rect 38614 3119 38666 3171
+rect 44758 3119 44810 3171
+rect 46198 3119 46250 3171
+rect 48118 3119 48170 3171
+rect 49078 3119 49130 3171
+rect 56374 3119 56426 3171
+rect 59446 3119 59498 3171
+rect 13078 3045 13130 3097
+rect 13846 3045 13898 3097
+rect 17494 3045 17546 3097
+rect 18166 3045 18218 3097
+rect 18358 3045 18410 3097
+rect 18838 3045 18890 3097
+rect 22 2971 74 3023
+rect 694 2897 746 2949
+rect 2134 2897 2186 2949
+rect 4918 3014 4970 3023
+rect 4918 2980 4927 3014
+rect 4927 2980 4961 3014
+rect 4961 2980 4970 3014
+rect 4918 2971 4970 2980
+rect 5206 2971 5258 3023
+rect 5974 2971 6026 3023
+rect 5110 2749 5162 2801
+rect 5782 2897 5834 2949
+rect 6742 2897 6794 2949
+rect 8182 2971 8234 3023
+rect 12982 3014 13034 3023
+rect 8950 2897 9002 2949
+rect 12982 2980 12991 3014
+rect 12991 2980 13025 3014
+rect 13025 2980 13034 3014
+rect 12982 2971 13034 2980
+rect 13366 2971 13418 3023
+rect 14518 2971 14570 3023
+rect 16630 3014 16682 3023
+rect 16630 2980 16639 3014
+rect 16639 2980 16673 3014
+rect 16673 2980 16682 3014
+rect 16630 2971 16682 2980
+rect 17014 2971 17066 3023
+rect 13846 2897 13898 2949
+rect 14710 2897 14762 2949
+rect 14710 2749 14762 2801
+rect 14902 2897 14954 2949
+rect 14902 2749 14954 2801
+rect 15190 2897 15242 2949
+rect 17686 2897 17738 2949
+rect 18838 2897 18890 2949
+rect 19606 2971 19658 3023
+rect 22390 3045 22442 3097
+rect 23542 3045 23594 3097
+rect 23830 3045 23882 3097
+rect 25078 3045 25130 3097
+rect 25366 3045 25418 3097
+rect 26230 3045 26282 3097
+rect 27478 3045 27530 3097
+rect 28918 3045 28970 3097
+rect 29398 3045 29450 3097
+rect 31126 3045 31178 3097
+rect 31894 3045 31946 3097
+rect 33334 3045 33386 3097
+rect 34486 3045 34538 3097
+rect 35638 3045 35690 3097
+rect 35926 3045 35978 3097
+rect 36118 3045 36170 3097
+rect 36694 3045 36746 3097
+rect 37558 3045 37610 3097
+rect 38326 3045 38378 3097
+rect 19798 2897 19850 2949
+rect 19990 2897 20042 2949
+rect 21430 2971 21482 3023
+rect 20182 2749 20234 2801
+rect 20854 2897 20906 2949
+rect 20950 2897 21002 2949
+rect 21718 2897 21770 2949
+rect 22486 2897 22538 2949
+rect 24022 2971 24074 3023
+rect 25078 2897 25130 2949
+rect 26902 2971 26954 3023
+rect 27670 2897 27722 2949
+rect 29878 2971 29930 3023
+rect 30550 2897 30602 2949
+rect 32086 2971 32138 3023
+rect 32278 2897 32330 2949
+rect 33142 2897 33194 2949
+rect 33334 2897 33386 2949
+rect 35446 2971 35498 3023
+rect 42550 3045 42602 3097
+rect 43318 3045 43370 3097
+rect 44470 3045 44522 3097
+rect 45142 3045 45194 3097
+rect 46294 3045 46346 3097
+rect 47638 3045 47690 3097
+rect 51766 3045 51818 3097
+rect 52246 3045 52298 3097
+rect 35350 2897 35402 2949
+rect 36022 2897 36074 2949
+rect 36118 2897 36170 2949
+rect 40534 2971 40586 3023
+rect 37558 2897 37610 2949
+rect 38134 2897 38186 2949
+rect 39094 2897 39146 2949
+rect 39670 2897 39722 2949
+rect 40918 2897 40970 2949
+rect 41206 2897 41258 2949
+rect 43030 2971 43082 3023
+rect 43318 2897 43370 2949
+rect 43510 2897 43562 2949
+rect 44182 2897 44234 2949
+rect 45622 2971 45674 3023
+rect 29782 2823 29834 2875
+rect 35638 2823 35690 2875
+rect 45142 2823 45194 2875
+rect 45718 2897 45770 2949
+rect 46390 2897 46442 2949
+rect 49654 2971 49706 3023
+rect 47638 2897 47690 2949
+rect 48310 2897 48362 2949
+rect 49078 2897 49130 2949
+rect 49750 2897 49802 2949
+rect 51478 2971 51530 3023
+rect 51382 2897 51434 2949
+rect 51862 2897 51914 2949
+rect 52246 2897 52298 2949
+rect 53782 2971 53834 3023
+rect 52918 2897 52970 2949
+rect 53686 2897 53738 2949
+rect 54838 2897 54890 2949
+rect 56854 2971 56906 3023
+rect 58006 2971 58058 3023
+rect 50038 2823 50090 2875
+rect 36022 2749 36074 2801
+rect 36214 2749 36266 2801
+rect 55126 2749 55178 2801
+rect 4294 2638 4346 2690
+rect 4358 2638 4410 2690
+rect 4422 2638 4474 2690
+rect 4486 2638 4538 2690
+rect 35014 2638 35066 2690
+rect 35078 2638 35130 2690
+rect 35142 2638 35194 2690
+rect 35206 2638 35258 2690
+rect 3958 2527 4010 2579
+rect 4246 2527 4298 2579
+rect 4342 2527 4394 2579
+rect 4822 2527 4874 2579
+rect 19510 2527 19562 2579
+rect 20086 2527 20138 2579
+rect 33430 2527 33482 2579
+rect 33718 2527 33770 2579
+rect 35158 2527 35210 2579
+rect 35542 2527 35594 2579
+rect 36310 2527 36362 2579
+rect 43222 2527 43274 2579
+rect 43990 2527 44042 2579
+rect 46102 2527 46154 2579
+rect 47062 2527 47114 2579
+rect 36310 2305 36362 2357
+rect 4726 2009 4778 2061
+rect 5302 2009 5354 2061
+rect 4534 1861 4586 1913
+rect 4822 1861 4874 1913
+rect 15286 1713 15338 1765
+rect 15574 1713 15626 1765
+rect 30358 1713 30410 1765
+rect 30646 1713 30698 1765
+rect 34870 1713 34922 1765
+rect 35926 1713 35978 1765
+rect 39958 1713 40010 1765
+rect 40246 1713 40298 1765
+rect 41014 1713 41066 1765
+rect 41302 1713 41354 1765
+rect 50710 1713 50762 1765
+rect 50902 1713 50954 1765
+rect 15094 1639 15146 1691
+rect 15382 1639 15434 1691
+rect 50518 1639 50570 1691
+rect 51094 1639 51146 1691
+rect 50902 1565 50954 1617
+rect 51574 1565 51626 1617
+rect 33238 1417 33290 1469
+rect 34198 1417 34250 1469
+<< metal2 >>
+rect 212 59200 268 60000
+rect 692 59200 748 60000
+rect 1172 59200 1228 60000
+rect 1748 59200 1804 60000
+rect 2228 59200 2284 60000
+rect 2804 59200 2860 60000
+rect 3284 59200 3340 60000
+rect 3860 59200 3916 60000
+rect 4340 59200 4396 60000
+rect 4916 59200 4972 60000
+rect 5396 59200 5452 60000
+rect 5972 59200 6028 60000
+rect 6452 59200 6508 60000
+rect 7028 59200 7084 60000
+rect 7508 59200 7564 60000
+rect 8084 59200 8140 60000
+rect 8564 59200 8620 60000
+rect 9140 59200 9196 60000
+rect 9620 59200 9676 60000
+rect 10196 59200 10252 60000
+rect 10676 59200 10732 60000
+rect 11252 59200 11308 60000
+rect 11732 59200 11788 60000
+rect 12308 59200 12364 60000
+rect 12788 59200 12844 60000
+rect 13364 59200 13420 60000
+rect 13844 59200 13900 60000
+rect 14420 59200 14476 60000
+rect 14900 59200 14956 60000
+rect 15380 59200 15436 60000
+rect 15956 59200 16012 60000
+rect 16436 59200 16492 60000
+rect 17012 59200 17068 60000
+rect 17492 59200 17548 60000
+rect 18068 59200 18124 60000
+rect 18548 59200 18604 60000
+rect 19124 59200 19180 60000
+rect 19604 59200 19660 60000
+rect 20180 59200 20236 60000
+rect 20660 59200 20716 60000
+rect 21236 59200 21292 60000
+rect 21716 59200 21772 60000
+rect 22292 59200 22348 60000
+rect 22772 59200 22828 60000
+rect 23348 59200 23404 60000
+rect 23828 59200 23884 60000
+rect 24404 59200 24460 60000
+rect 24884 59200 24940 60000
+rect 25460 59200 25516 60000
+rect 25940 59200 25996 60000
+rect 26516 59200 26572 60000
+rect 26996 59200 27052 60000
+rect 27572 59200 27628 60000
+rect 28052 59200 28108 60000
+rect 28628 59200 28684 60000
+rect 29108 59200 29164 60000
+rect 29684 59200 29740 60000
+rect 30164 59200 30220 60000
+rect 30644 59200 30700 60000
+rect 31220 59200 31276 60000
+rect 31700 59200 31756 60000
+rect 32276 59200 32332 60000
+rect 32756 59200 32812 60000
+rect 33332 59200 33388 60000
+rect 33812 59200 33868 60000
+rect 34388 59200 34444 60000
+rect 34868 59200 34924 60000
+rect 35444 59200 35500 60000
+rect 35924 59200 35980 60000
+rect 36500 59200 36556 60000
+rect 36980 59200 37036 60000
+rect 37556 59200 37612 60000
+rect 38036 59200 38092 60000
+rect 38612 59200 38668 60000
+rect 39092 59200 39148 60000
+rect 39668 59200 39724 60000
+rect 40148 59200 40204 60000
+rect 40724 59200 40780 60000
+rect 41204 59200 41260 60000
+rect 41780 59200 41836 60000
+rect 42260 59200 42316 60000
+rect 42836 59200 42892 60000
+rect 43316 59200 43372 60000
+rect 43892 59200 43948 60000
+rect 44372 59200 44428 60000
+rect 44948 59200 45004 60000
+rect 45428 59200 45484 60000
+rect 45908 59200 45964 60000
+rect 46484 59200 46540 60000
+rect 46964 59200 47020 60000
+rect 47540 59200 47596 60000
+rect 48020 59200 48076 60000
+rect 48596 59200 48652 60000
+rect 49076 59200 49132 60000
+rect 49652 59200 49708 60000
+rect 50132 59200 50188 60000
+rect 50708 59200 50764 60000
+rect 51188 59200 51244 60000
+rect 51764 59200 51820 60000
+rect 52244 59200 52300 60000
+rect 52820 59200 52876 60000
+rect 53300 59200 53356 60000
+rect 53876 59200 53932 60000
+rect 54356 59200 54412 60000
+rect 54932 59200 54988 60000
+rect 55412 59200 55468 60000
+rect 55988 59200 56044 60000
+rect 56468 59200 56524 60000
+rect 57044 59200 57100 60000
+rect 57524 59200 57580 60000
+rect 58100 59200 58156 60000
+rect 58580 59200 58636 60000
+rect 59156 59200 59212 60000
+rect 59636 59200 59692 60000
+rect 226 56975 254 59200
+rect 214 56969 266 56975
+rect 214 56911 266 56917
+rect 706 56531 734 59200
+rect 694 56525 746 56531
+rect 694 56467 746 56473
+rect 1186 55717 1214 59200
+rect 1762 57049 1790 59200
+rect 1750 57043 1802 57049
+rect 1750 56985 1802 56991
+rect 2242 56531 2270 59200
+rect 2614 56895 2666 56901
+rect 2614 56837 2666 56843
+rect 2230 56525 2282 56531
+rect 2230 56467 2282 56473
+rect 1750 56229 1802 56235
+rect 1750 56171 1802 56177
+rect 1174 55711 1226 55717
+rect 1174 55653 1226 55659
+rect 1654 45055 1706 45061
+rect 1654 44997 1706 45003
+rect 1666 44955 1694 44997
+rect 1652 44946 1708 44955
+rect 1652 44881 1708 44890
+rect 1652 15050 1708 15059
+rect 1652 14985 1708 14994
+rect 1666 14943 1694 14985
+rect 1654 14937 1706 14943
+rect 1654 14879 1706 14885
+rect 1762 13907 1790 56171
+rect 1846 55563 1898 55569
+rect 1846 55505 1898 55511
+rect 1858 37439 1886 55505
+rect 2230 54749 2282 54755
+rect 2230 54691 2282 54697
+rect 1846 37433 1898 37439
+rect 1846 37375 1898 37381
+rect 2242 19235 2270 54691
+rect 2518 52899 2570 52905
+rect 2518 52841 2570 52847
+rect 2230 19229 2282 19235
+rect 2230 19171 2282 19177
+rect 1750 13901 1802 13907
+rect 1750 13843 1802 13849
+rect 1750 13457 1802 13463
+rect 1750 13399 1802 13405
+rect 1762 13241 1790 13399
+rect 1750 13235 1802 13241
+rect 1750 13177 1802 13183
+rect 1654 8277 1706 8283
+rect 1654 8219 1706 8225
+rect 2134 8277 2186 8283
+rect 2134 8219 2186 8225
+rect 1462 7685 1514 7691
+rect 1462 7627 1514 7633
+rect 1078 5687 1130 5693
+rect 1078 5629 1130 5635
+rect 310 5021 362 5027
+rect 310 4963 362 4969
+rect 118 3689 170 3695
+rect 118 3631 170 3637
+rect 22 3023 74 3029
+rect 22 2965 74 2971
+rect 34 800 62 2965
+rect 130 800 158 3631
+rect 214 3171 266 3177
+rect 214 3113 266 3119
+rect 226 800 254 3113
+rect 322 800 350 4963
+rect 790 4429 842 4435
+rect 790 4371 842 4377
+rect 502 3837 554 3843
+rect 502 3779 554 3785
+rect 514 800 542 3779
+rect 598 3467 650 3473
+rect 598 3409 650 3415
+rect 610 800 638 3409
+rect 694 2949 746 2955
+rect 694 2891 746 2897
+rect 706 800 734 2891
+rect 802 800 830 4371
+rect 982 3615 1034 3621
+rect 982 3557 1034 3563
+rect 994 800 1022 3557
+rect 1090 800 1118 5629
+rect 1174 4355 1226 4361
+rect 1174 4297 1226 4303
+rect 1186 800 1214 4297
+rect 1366 4281 1418 4287
+rect 1366 4223 1418 4229
+rect 1378 800 1406 4223
+rect 1474 3473 1502 7627
+rect 1666 7214 1694 8219
+rect 1666 7186 1790 7214
+rect 1654 7019 1706 7025
+rect 1654 6961 1706 6967
+rect 1558 6353 1610 6359
+rect 1558 6295 1610 6301
+rect 1462 3467 1514 3473
+rect 1462 3409 1514 3415
+rect 1462 3245 1514 3251
+rect 1462 3187 1514 3193
+rect 1474 800 1502 3187
+rect 1570 800 1598 6295
+rect 1666 3843 1694 6961
+rect 1654 3837 1706 3843
+rect 1654 3779 1706 3785
+rect 1654 3689 1706 3695
+rect 1654 3631 1706 3637
+rect 1666 800 1694 3631
+rect 1762 3177 1790 7186
+rect 2038 6353 2090 6359
+rect 2038 6295 2090 6301
+rect 1846 5021 1898 5027
+rect 1846 4963 1898 4969
+rect 1750 3171 1802 3177
+rect 1750 3113 1802 3119
+rect 1858 800 1886 4963
+rect 1942 3911 1994 3917
+rect 1942 3853 1994 3859
+rect 1954 800 1982 3853
+rect 2050 800 2078 6295
+rect 2146 3251 2174 8219
+rect 2530 7765 2558 52841
+rect 2518 7759 2570 7765
+rect 2518 7701 2570 7707
+rect 2422 7463 2474 7469
+rect 2422 7405 2474 7411
+rect 2326 3837 2378 3843
+rect 2326 3779 2378 3785
+rect 2134 3245 2186 3251
+rect 2134 3187 2186 3193
+rect 2134 2949 2186 2955
+rect 2134 2891 2186 2897
+rect 2146 800 2174 2891
+rect 2338 800 2366 3779
+rect 2434 3621 2462 7405
+rect 2518 7019 2570 7025
+rect 2518 6961 2570 6967
+rect 2422 3615 2474 3621
+rect 2422 3557 2474 3563
+rect 2422 3467 2474 3473
+rect 2422 3409 2474 3415
+rect 2434 800 2462 3409
+rect 2530 800 2558 6961
+rect 2626 5915 2654 56837
+rect 2818 56531 2846 59200
+rect 3298 57049 3326 59200
+rect 3286 57043 3338 57049
+rect 3286 56985 3338 56991
+rect 3574 56821 3626 56827
+rect 3574 56763 3626 56769
+rect 2806 56525 2858 56531
+rect 2806 56467 2858 56473
+rect 3286 56229 3338 56235
+rect 3286 56171 3338 56177
+rect 2902 42761 2954 42767
+rect 2902 42703 2954 42709
+rect 2710 38247 2762 38253
+rect 2710 38189 2762 38195
+rect 2722 11095 2750 38189
+rect 2710 11089 2762 11095
+rect 2710 11031 2762 11037
+rect 2914 7913 2942 42703
+rect 3298 39585 3326 56171
+rect 3286 39579 3338 39585
+rect 3286 39521 3338 39527
+rect 3190 9461 3242 9467
+rect 3190 9403 3242 9409
+rect 3202 9245 3230 9403
+rect 3190 9239 3242 9245
+rect 3190 9181 3242 9187
+rect 3190 8277 3242 8283
+rect 3190 8219 3242 8225
+rect 2902 7907 2954 7913
+rect 2902 7849 2954 7855
+rect 2998 7463 3050 7469
+rect 2998 7405 3050 7411
+rect 2614 5909 2666 5915
+rect 2614 5851 2666 5857
+rect 2902 5687 2954 5693
+rect 2902 5629 2954 5635
+rect 2710 3689 2762 3695
+rect 2710 3631 2762 3637
+rect 2722 800 2750 3631
+rect 2914 2900 2942 5629
+rect 3010 3917 3038 7405
+rect 3202 6452 3230 8219
+rect 3202 6424 3326 6452
+rect 3190 6353 3242 6359
+rect 3190 6295 3242 6301
+rect 3094 5021 3146 5027
+rect 3094 4963 3146 4969
+rect 2998 3911 3050 3917
+rect 2998 3853 3050 3859
+rect 3106 3843 3134 4963
+rect 3094 3837 3146 3843
+rect 3094 3779 3146 3785
+rect 2998 3763 3050 3769
+rect 2998 3705 3050 3711
+rect 2818 2872 2942 2900
+rect 2818 800 2846 2872
+rect 3010 2752 3038 3705
+rect 3094 3245 3146 3251
+rect 3094 3187 3146 3193
+rect 2914 2724 3038 2752
+rect 2914 800 2942 2724
+rect 3106 1864 3134 3187
+rect 3010 1836 3134 1864
+rect 3010 800 3038 1836
+rect 3202 800 3230 6295
+rect 3298 3769 3326 6424
+rect 3586 5545 3614 56763
+rect 3874 56531 3902 59200
+rect 4354 57614 4382 59200
+rect 4354 57586 4670 57614
+rect 4268 57304 4564 57324
+rect 4324 57302 4348 57304
+rect 4404 57302 4428 57304
+rect 4484 57302 4508 57304
+rect 4346 57250 4348 57302
+rect 4410 57250 4422 57302
+rect 4484 57250 4486 57302
+rect 4324 57248 4348 57250
+rect 4404 57248 4428 57250
+rect 4484 57248 4508 57250
+rect 4268 57228 4564 57248
+rect 3862 56525 3914 56531
+rect 3862 56467 3914 56473
+rect 3766 56303 3818 56309
+rect 3766 56245 3818 56251
+rect 3670 42095 3722 42101
+rect 3670 42037 3722 42043
+rect 3682 7913 3710 42037
+rect 3778 15239 3806 56245
+rect 4268 55972 4564 55992
+rect 4324 55970 4348 55972
+rect 4404 55970 4428 55972
+rect 4484 55970 4508 55972
+rect 4346 55918 4348 55970
+rect 4410 55918 4422 55970
+rect 4484 55918 4486 55970
+rect 4324 55916 4348 55918
+rect 4404 55916 4428 55918
+rect 4484 55916 4508 55918
+rect 4268 55896 4564 55916
+rect 4642 55717 4670 57586
+rect 4930 56975 4958 59200
+rect 4918 56969 4970 56975
+rect 4918 56911 4970 56917
+rect 5110 56895 5162 56901
+rect 5110 56837 5162 56843
+rect 4726 56229 4778 56235
+rect 4726 56171 4778 56177
+rect 4630 55711 4682 55717
+rect 4630 55653 4682 55659
+rect 4630 55563 4682 55569
+rect 4630 55505 4682 55511
+rect 4268 54640 4564 54660
+rect 4324 54638 4348 54640
+rect 4404 54638 4428 54640
+rect 4484 54638 4508 54640
+rect 4346 54586 4348 54638
+rect 4410 54586 4422 54638
+rect 4484 54586 4486 54638
+rect 4324 54584 4348 54586
+rect 4404 54584 4428 54586
+rect 4484 54584 4508 54586
+rect 4268 54564 4564 54584
+rect 4268 53308 4564 53328
+rect 4324 53306 4348 53308
+rect 4404 53306 4428 53308
+rect 4484 53306 4508 53308
+rect 4346 53254 4348 53306
+rect 4410 53254 4422 53306
+rect 4484 53254 4486 53306
+rect 4324 53252 4348 53254
+rect 4404 53252 4428 53254
+rect 4484 53252 4508 53254
+rect 4268 53232 4564 53252
+rect 4268 51976 4564 51996
+rect 4324 51974 4348 51976
+rect 4404 51974 4428 51976
+rect 4484 51974 4508 51976
+rect 4346 51922 4348 51974
+rect 4410 51922 4422 51974
+rect 4484 51922 4486 51974
+rect 4324 51920 4348 51922
+rect 4404 51920 4428 51922
+rect 4484 51920 4508 51922
+rect 4268 51900 4564 51920
+rect 4268 50644 4564 50664
+rect 4324 50642 4348 50644
+rect 4404 50642 4428 50644
+rect 4484 50642 4508 50644
+rect 4346 50590 4348 50642
+rect 4410 50590 4422 50642
+rect 4484 50590 4486 50642
+rect 4324 50588 4348 50590
+rect 4404 50588 4428 50590
+rect 4484 50588 4508 50590
+rect 4268 50568 4564 50588
+rect 4268 49312 4564 49332
+rect 4324 49310 4348 49312
+rect 4404 49310 4428 49312
+rect 4484 49310 4508 49312
+rect 4346 49258 4348 49310
+rect 4410 49258 4422 49310
+rect 4484 49258 4486 49310
+rect 4324 49256 4348 49258
+rect 4404 49256 4428 49258
+rect 4484 49256 4508 49258
+rect 4268 49236 4564 49256
+rect 4268 47980 4564 48000
+rect 4324 47978 4348 47980
+rect 4404 47978 4428 47980
+rect 4484 47978 4508 47980
+rect 4346 47926 4348 47978
+rect 4410 47926 4422 47978
+rect 4484 47926 4486 47978
+rect 4324 47924 4348 47926
+rect 4404 47924 4428 47926
+rect 4484 47924 4508 47926
+rect 4268 47904 4564 47924
+rect 4268 46648 4564 46668
+rect 4324 46646 4348 46648
+rect 4404 46646 4428 46648
+rect 4484 46646 4508 46648
+rect 4346 46594 4348 46646
+rect 4410 46594 4422 46646
+rect 4484 46594 4486 46646
+rect 4324 46592 4348 46594
+rect 4404 46592 4428 46594
+rect 4484 46592 4508 46594
+rect 4268 46572 4564 46592
+rect 4268 45316 4564 45336
+rect 4324 45314 4348 45316
+rect 4404 45314 4428 45316
+rect 4484 45314 4508 45316
+rect 4346 45262 4348 45314
+rect 4410 45262 4422 45314
+rect 4484 45262 4486 45314
+rect 4324 45260 4348 45262
+rect 4404 45260 4428 45262
+rect 4484 45260 4508 45262
+rect 4268 45240 4564 45260
+rect 4268 43984 4564 44004
+rect 4324 43982 4348 43984
+rect 4404 43982 4428 43984
+rect 4484 43982 4508 43984
+rect 4346 43930 4348 43982
+rect 4410 43930 4422 43982
+rect 4484 43930 4486 43982
+rect 4324 43928 4348 43930
+rect 4404 43928 4428 43930
+rect 4484 43928 4508 43930
+rect 4268 43908 4564 43928
+rect 4268 42652 4564 42672
+rect 4324 42650 4348 42652
+rect 4404 42650 4428 42652
+rect 4484 42650 4508 42652
+rect 4346 42598 4348 42650
+rect 4410 42598 4422 42650
+rect 4484 42598 4486 42650
+rect 4324 42596 4348 42598
+rect 4404 42596 4428 42598
+rect 4484 42596 4508 42598
+rect 4268 42576 4564 42596
+rect 4268 41320 4564 41340
+rect 4324 41318 4348 41320
+rect 4404 41318 4428 41320
+rect 4484 41318 4508 41320
+rect 4346 41266 4348 41318
+rect 4410 41266 4422 41318
+rect 4484 41266 4486 41318
+rect 4324 41264 4348 41266
+rect 4404 41264 4428 41266
+rect 4484 41264 4508 41266
+rect 4268 41244 4564 41264
+rect 4268 39988 4564 40008
+rect 4324 39986 4348 39988
+rect 4404 39986 4428 39988
+rect 4484 39986 4508 39988
+rect 4346 39934 4348 39986
+rect 4410 39934 4422 39986
+rect 4484 39934 4486 39986
+rect 4324 39932 4348 39934
+rect 4404 39932 4428 39934
+rect 4484 39932 4508 39934
+rect 4268 39912 4564 39932
+rect 4268 38656 4564 38676
+rect 4324 38654 4348 38656
+rect 4404 38654 4428 38656
+rect 4484 38654 4508 38656
+rect 4346 38602 4348 38654
+rect 4410 38602 4422 38654
+rect 4484 38602 4486 38654
+rect 4324 38600 4348 38602
+rect 4404 38600 4428 38602
+rect 4484 38600 4508 38602
+rect 4268 38580 4564 38600
+rect 3862 38099 3914 38105
+rect 3862 38041 3914 38047
+rect 3766 15233 3818 15239
+rect 3766 15175 3818 15181
+rect 3874 8357 3902 38041
+rect 4268 37324 4564 37344
+rect 4324 37322 4348 37324
+rect 4404 37322 4428 37324
+rect 4484 37322 4508 37324
+rect 4346 37270 4348 37322
+rect 4410 37270 4422 37322
+rect 4484 37270 4486 37322
+rect 4324 37268 4348 37270
+rect 4404 37268 4428 37270
+rect 4484 37268 4508 37270
+rect 4268 37248 4564 37268
+rect 4268 35992 4564 36012
+rect 4324 35990 4348 35992
+rect 4404 35990 4428 35992
+rect 4484 35990 4508 35992
+rect 4346 35938 4348 35990
+rect 4410 35938 4422 35990
+rect 4484 35938 4486 35990
+rect 4324 35936 4348 35938
+rect 4404 35936 4428 35938
+rect 4484 35936 4508 35938
+rect 4268 35916 4564 35936
+rect 4268 34660 4564 34680
+rect 4324 34658 4348 34660
+rect 4404 34658 4428 34660
+rect 4484 34658 4508 34660
+rect 4346 34606 4348 34658
+rect 4410 34606 4422 34658
+rect 4484 34606 4486 34658
+rect 4324 34604 4348 34606
+rect 4404 34604 4428 34606
+rect 4484 34604 4508 34606
+rect 4268 34584 4564 34604
+rect 4268 33328 4564 33348
+rect 4324 33326 4348 33328
+rect 4404 33326 4428 33328
+rect 4484 33326 4508 33328
+rect 4346 33274 4348 33326
+rect 4410 33274 4422 33326
+rect 4484 33274 4486 33326
+rect 4324 33272 4348 33274
+rect 4404 33272 4428 33274
+rect 4484 33272 4508 33274
+rect 4268 33252 4564 33272
+rect 4268 31996 4564 32016
+rect 4324 31994 4348 31996
+rect 4404 31994 4428 31996
+rect 4484 31994 4508 31996
+rect 4346 31942 4348 31994
+rect 4410 31942 4422 31994
+rect 4484 31942 4486 31994
+rect 4324 31940 4348 31942
+rect 4404 31940 4428 31942
+rect 4484 31940 4508 31942
+rect 4268 31920 4564 31940
+rect 4268 30664 4564 30684
+rect 4324 30662 4348 30664
+rect 4404 30662 4428 30664
+rect 4484 30662 4508 30664
+rect 4346 30610 4348 30662
+rect 4410 30610 4422 30662
+rect 4484 30610 4486 30662
+rect 4324 30608 4348 30610
+rect 4404 30608 4428 30610
+rect 4484 30608 4508 30610
+rect 4268 30588 4564 30608
+rect 4268 29332 4564 29352
+rect 4324 29330 4348 29332
+rect 4404 29330 4428 29332
+rect 4484 29330 4508 29332
+rect 4346 29278 4348 29330
+rect 4410 29278 4422 29330
+rect 4484 29278 4486 29330
+rect 4324 29276 4348 29278
+rect 4404 29276 4428 29278
+rect 4484 29276 4508 29278
+rect 4268 29256 4564 29276
+rect 4054 28257 4106 28263
+rect 4054 28199 4106 28205
+rect 4066 27893 4094 28199
+rect 4268 28000 4564 28020
+rect 4324 27998 4348 28000
+rect 4404 27998 4428 28000
+rect 4484 27998 4508 28000
+rect 4346 27946 4348 27998
+rect 4410 27946 4422 27998
+rect 4484 27946 4486 27998
+rect 4324 27944 4348 27946
+rect 4404 27944 4428 27946
+rect 4484 27944 4508 27946
+rect 4268 27924 4564 27944
+rect 4054 27887 4106 27893
+rect 4054 27829 4106 27835
+rect 4268 26668 4564 26688
+rect 4324 26666 4348 26668
+rect 4404 26666 4428 26668
+rect 4484 26666 4508 26668
+rect 4346 26614 4348 26666
+rect 4410 26614 4422 26666
+rect 4484 26614 4486 26666
+rect 4324 26612 4348 26614
+rect 4404 26612 4428 26614
+rect 4484 26612 4508 26614
+rect 4268 26592 4564 26612
+rect 4268 25336 4564 25356
+rect 4324 25334 4348 25336
+rect 4404 25334 4428 25336
+rect 4484 25334 4508 25336
+rect 4346 25282 4348 25334
+rect 4410 25282 4422 25334
+rect 4484 25282 4486 25334
+rect 4324 25280 4348 25282
+rect 4404 25280 4428 25282
+rect 4484 25280 4508 25282
+rect 4268 25260 4564 25280
+rect 4268 24004 4564 24024
+rect 4324 24002 4348 24004
+rect 4404 24002 4428 24004
+rect 4484 24002 4508 24004
+rect 4346 23950 4348 24002
+rect 4410 23950 4422 24002
+rect 4484 23950 4486 24002
+rect 4324 23948 4348 23950
+rect 4404 23948 4428 23950
+rect 4484 23948 4508 23950
+rect 4268 23928 4564 23948
+rect 4268 22672 4564 22692
+rect 4324 22670 4348 22672
+rect 4404 22670 4428 22672
+rect 4484 22670 4508 22672
+rect 4346 22618 4348 22670
+rect 4410 22618 4422 22670
+rect 4484 22618 4486 22670
+rect 4324 22616 4348 22618
+rect 4404 22616 4428 22618
+rect 4484 22616 4508 22618
+rect 4268 22596 4564 22616
+rect 4268 21340 4564 21360
+rect 4324 21338 4348 21340
+rect 4404 21338 4428 21340
+rect 4484 21338 4508 21340
+rect 4346 21286 4348 21338
+rect 4410 21286 4422 21338
+rect 4484 21286 4486 21338
+rect 4324 21284 4348 21286
+rect 4404 21284 4428 21286
+rect 4484 21284 4508 21286
+rect 4268 21264 4564 21284
+rect 4268 20008 4564 20028
+rect 4324 20006 4348 20008
+rect 4404 20006 4428 20008
+rect 4484 20006 4508 20008
+rect 4346 19954 4348 20006
+rect 4410 19954 4422 20006
+rect 4484 19954 4486 20006
+rect 4324 19952 4348 19954
+rect 4404 19952 4428 19954
+rect 4484 19952 4508 19954
+rect 4268 19932 4564 19952
+rect 4268 18676 4564 18696
+rect 4324 18674 4348 18676
+rect 4404 18674 4428 18676
+rect 4484 18674 4508 18676
+rect 4346 18622 4348 18674
+rect 4410 18622 4422 18674
+rect 4484 18622 4486 18674
+rect 4324 18620 4348 18622
+rect 4404 18620 4428 18622
+rect 4484 18620 4508 18622
+rect 4268 18600 4564 18620
+rect 4268 17344 4564 17364
+rect 4324 17342 4348 17344
+rect 4404 17342 4428 17344
+rect 4484 17342 4508 17344
+rect 4346 17290 4348 17342
+rect 4410 17290 4422 17342
+rect 4484 17290 4486 17342
+rect 4324 17288 4348 17290
+rect 4404 17288 4428 17290
+rect 4484 17288 4508 17290
+rect 4268 17268 4564 17288
+rect 4268 16012 4564 16032
+rect 4324 16010 4348 16012
+rect 4404 16010 4428 16012
+rect 4484 16010 4508 16012
+rect 4346 15958 4348 16010
+rect 4410 15958 4422 16010
+rect 4484 15958 4486 16010
+rect 4324 15956 4348 15958
+rect 4404 15956 4428 15958
+rect 4484 15956 4508 15958
+rect 4268 15936 4564 15956
+rect 4268 14680 4564 14700
+rect 4324 14678 4348 14680
+rect 4404 14678 4428 14680
+rect 4484 14678 4508 14680
+rect 4346 14626 4348 14678
+rect 4410 14626 4422 14678
+rect 4484 14626 4486 14678
+rect 4324 14624 4348 14626
+rect 4404 14624 4428 14626
+rect 4484 14624 4508 14626
+rect 4268 14604 4564 14624
+rect 4268 13348 4564 13368
+rect 4324 13346 4348 13348
+rect 4404 13346 4428 13348
+rect 4484 13346 4508 13348
+rect 4346 13294 4348 13346
+rect 4410 13294 4422 13346
+rect 4484 13294 4486 13346
+rect 4324 13292 4348 13294
+rect 4404 13292 4428 13294
+rect 4484 13292 4508 13294
+rect 4268 13272 4564 13292
+rect 4268 12016 4564 12036
+rect 4324 12014 4348 12016
+rect 4404 12014 4428 12016
+rect 4484 12014 4508 12016
+rect 4346 11962 4348 12014
+rect 4410 11962 4422 12014
+rect 4484 11962 4486 12014
+rect 4324 11960 4348 11962
+rect 4404 11960 4428 11962
+rect 4484 11960 4508 11962
+rect 4268 11940 4564 11960
+rect 4268 10684 4564 10704
+rect 4324 10682 4348 10684
+rect 4404 10682 4428 10684
+rect 4484 10682 4508 10684
+rect 4346 10630 4348 10682
+rect 4410 10630 4422 10682
+rect 4484 10630 4486 10682
+rect 4324 10628 4348 10630
+rect 4404 10628 4428 10630
+rect 4484 10628 4508 10630
+rect 4268 10608 4564 10628
+rect 4268 9352 4564 9372
+rect 4324 9350 4348 9352
+rect 4404 9350 4428 9352
+rect 4484 9350 4508 9352
+rect 4346 9298 4348 9350
+rect 4410 9298 4422 9350
+rect 4484 9298 4486 9350
+rect 4324 9296 4348 9298
+rect 4404 9296 4428 9298
+rect 4484 9296 4508 9298
+rect 4268 9276 4564 9296
+rect 3862 8351 3914 8357
+rect 3862 8293 3914 8299
+rect 4268 8020 4564 8040
+rect 4324 8018 4348 8020
+rect 4404 8018 4428 8020
+rect 4484 8018 4508 8020
+rect 4346 7966 4348 8018
+rect 4410 7966 4422 8018
+rect 4484 7966 4486 8018
+rect 4324 7964 4348 7966
+rect 4404 7964 4428 7966
+rect 4484 7964 4508 7966
+rect 4268 7944 4564 7964
+rect 3670 7907 3722 7913
+rect 3670 7849 3722 7855
+rect 3958 7463 4010 7469
+rect 3958 7405 4010 7411
+rect 4054 7463 4106 7469
+rect 4054 7405 4106 7411
+rect 3670 7167 3722 7173
+rect 3670 7109 3722 7115
+rect 3574 5539 3626 5545
+rect 3574 5481 3626 5487
+rect 3478 4207 3530 4213
+rect 3478 4149 3530 4155
+rect 3286 3763 3338 3769
+rect 3286 3705 3338 3711
+rect 3382 3763 3434 3769
+rect 3382 3705 3434 3711
+rect 3286 3467 3338 3473
+rect 3286 3409 3338 3415
+rect 3298 800 3326 3409
+rect 3394 800 3422 3705
+rect 3490 800 3518 4149
+rect 3574 3689 3626 3695
+rect 3574 3631 3626 3637
+rect 3586 3251 3614 3631
+rect 3574 3245 3626 3251
+rect 3574 3187 3626 3193
+rect 3682 800 3710 7109
+rect 3862 6353 3914 6359
+rect 3862 6295 3914 6301
+rect 3766 4281 3818 4287
+rect 3766 4223 3818 4229
+rect 3778 800 3806 4223
+rect 3874 800 3902 6295
+rect 3970 3473 3998 7405
+rect 3958 3467 4010 3473
+rect 3958 3409 4010 3415
+rect 3958 3245 4010 3251
+rect 3958 3187 4010 3193
+rect 3970 2585 3998 3187
+rect 3958 2579 4010 2585
+rect 3958 2521 4010 2527
+rect 4066 800 4094 7405
+rect 4268 6688 4564 6708
+rect 4324 6686 4348 6688
+rect 4404 6686 4428 6688
+rect 4484 6686 4508 6688
+rect 4346 6634 4348 6686
+rect 4410 6634 4422 6686
+rect 4484 6634 4486 6686
+rect 4324 6632 4348 6634
+rect 4404 6632 4428 6634
+rect 4484 6632 4508 6634
+rect 4268 6612 4564 6632
+rect 4642 6452 4670 55505
+rect 4738 9911 4766 56171
+rect 4918 48089 4970 48095
+rect 4918 48031 4970 48037
+rect 4930 47799 4958 48031
+rect 4918 47793 4970 47799
+rect 4918 47735 4970 47741
+rect 4822 30551 4874 30557
+rect 4822 30493 4874 30499
+rect 4834 23054 4862 30493
+rect 4834 23026 4958 23054
+rect 4822 16121 4874 16127
+rect 4822 16063 4874 16069
+rect 4834 15905 4862 16063
+rect 4822 15899 4874 15905
+rect 4822 15841 4874 15847
+rect 4726 9905 4778 9911
+rect 4726 9847 4778 9853
+rect 4822 8203 4874 8209
+rect 4822 8145 4874 8151
+rect 4642 6424 4766 6452
+rect 4630 6353 4682 6359
+rect 4630 6295 4682 6301
+rect 4268 5356 4564 5376
+rect 4324 5354 4348 5356
+rect 4404 5354 4428 5356
+rect 4484 5354 4508 5356
+rect 4346 5302 4348 5354
+rect 4410 5302 4422 5354
+rect 4484 5302 4486 5354
+rect 4324 5300 4348 5302
+rect 4404 5300 4428 5302
+rect 4484 5300 4508 5302
+rect 4268 5280 4564 5300
+rect 4150 5021 4202 5027
+rect 4150 4963 4202 4969
+rect 4162 800 4190 4963
+rect 4268 4024 4564 4044
+rect 4324 4022 4348 4024
+rect 4404 4022 4428 4024
+rect 4484 4022 4508 4024
+rect 4346 3970 4348 4022
+rect 4410 3970 4422 4022
+rect 4484 3970 4486 4022
+rect 4324 3968 4348 3970
+rect 4404 3968 4428 3970
+rect 4484 3968 4508 3970
+rect 4268 3948 4564 3968
+rect 4268 2692 4564 2712
+rect 4324 2690 4348 2692
+rect 4404 2690 4428 2692
+rect 4484 2690 4508 2692
+rect 4346 2638 4348 2690
+rect 4410 2638 4422 2690
+rect 4484 2638 4486 2690
+rect 4324 2636 4348 2638
+rect 4404 2636 4428 2638
+rect 4484 2636 4508 2638
+rect 4268 2616 4564 2636
+rect 4246 2579 4298 2585
+rect 4246 2521 4298 2527
+rect 4342 2579 4394 2585
+rect 4342 2521 4394 2527
+rect 4258 800 4286 2521
+rect 4354 800 4382 2521
+rect 4642 2456 4670 6295
+rect 4738 5249 4766 6424
+rect 4726 5243 4778 5249
+rect 4726 5185 4778 5191
+rect 4726 4355 4778 4361
+rect 4726 4297 4778 4303
+rect 4450 2428 4670 2456
+rect 4450 2012 4478 2428
+rect 4738 2160 4766 4297
+rect 4834 2585 4862 8145
+rect 4930 7765 4958 23026
+rect 4918 7759 4970 7765
+rect 4918 7701 4970 7707
+rect 5122 6507 5150 56837
+rect 5410 56531 5438 59200
+rect 5986 56531 6014 59200
+rect 6466 56975 6494 59200
+rect 6454 56969 6506 56975
+rect 6454 56911 6506 56917
+rect 7042 56531 7070 59200
+rect 5398 56525 5450 56531
+rect 5398 56467 5450 56473
+rect 5974 56525 6026 56531
+rect 5974 56467 6026 56473
+rect 7030 56525 7082 56531
+rect 7030 56467 7082 56473
+rect 5590 56229 5642 56235
+rect 5590 56171 5642 56177
+rect 6358 56229 6410 56235
+rect 6358 56171 6410 56177
+rect 7222 56229 7274 56235
+rect 7222 56171 7274 56177
+rect 5602 31445 5630 56171
+rect 6370 54311 6398 56171
+rect 7234 55643 7262 56171
+rect 7522 55717 7550 59200
+rect 8098 56975 8126 59200
+rect 8086 56969 8138 56975
+rect 8086 56911 8138 56917
+rect 8278 56895 8330 56901
+rect 8278 56837 8330 56843
+rect 8182 56229 8234 56235
+rect 8182 56171 8234 56177
+rect 7510 55711 7562 55717
+rect 7510 55653 7562 55659
+rect 7222 55637 7274 55643
+rect 7222 55579 7274 55585
+rect 7702 55563 7754 55569
+rect 7702 55505 7754 55511
+rect 6358 54305 6410 54311
+rect 6358 54247 6410 54253
+rect 5782 48089 5834 48095
+rect 5782 48031 5834 48037
+rect 5590 31439 5642 31445
+rect 5590 31381 5642 31387
+rect 5302 9757 5354 9763
+rect 5302 9699 5354 9705
+rect 5314 8431 5342 9699
+rect 5302 8425 5354 8431
+rect 5302 8367 5354 8373
+rect 5302 7463 5354 7469
+rect 5302 7405 5354 7411
+rect 5206 6797 5258 6803
+rect 5206 6739 5258 6745
+rect 5110 6501 5162 6507
+rect 5110 6443 5162 6449
+rect 4918 5687 4970 5693
+rect 4918 5629 4970 5635
+rect 5110 5687 5162 5693
+rect 5110 5629 5162 5635
+rect 4930 4213 4958 5629
+rect 5014 4281 5066 4287
+rect 5014 4223 5066 4229
+rect 4918 4207 4970 4213
+rect 4918 4149 4970 4155
+rect 4918 3023 4970 3029
+rect 4918 2965 4970 2971
+rect 4822 2579 4874 2585
+rect 4822 2521 4874 2527
+rect 4738 2132 4862 2160
+rect 4726 2061 4778 2067
+rect 4450 1984 4670 2012
+rect 4726 2003 4778 2009
+rect 4534 1913 4586 1919
+rect 4534 1855 4586 1861
+rect 4546 800 4574 1855
+rect 4642 800 4670 1984
+rect 4738 800 4766 2003
+rect 4834 1919 4862 2132
+rect 4822 1913 4874 1919
+rect 4822 1855 4874 1861
+rect 4930 800 4958 2965
+rect 5026 800 5054 4223
+rect 5122 3251 5150 5629
+rect 5218 3621 5246 6739
+rect 5206 3615 5258 3621
+rect 5206 3557 5258 3563
+rect 5110 3245 5162 3251
+rect 5110 3187 5162 3193
+rect 5206 3023 5258 3029
+rect 5206 2965 5258 2971
+rect 5110 2801 5162 2807
+rect 5110 2743 5162 2749
+rect 5122 800 5150 2743
+rect 5218 800 5246 2965
+rect 5314 2067 5342 7405
+rect 5494 6131 5546 6137
+rect 5494 6073 5546 6079
+rect 5398 5021 5450 5027
+rect 5398 4963 5450 4969
+rect 5302 2061 5354 2067
+rect 5302 2003 5354 2009
+rect 5410 800 5438 4963
+rect 5506 800 5534 6073
+rect 5794 5767 5822 48031
+rect 7714 47873 7742 55505
+rect 7702 47867 7754 47873
+rect 7702 47809 7754 47815
+rect 8194 37454 8222 56171
+rect 7906 37426 8222 37454
+rect 8290 37454 8318 56837
+rect 8578 56531 8606 59200
+rect 8566 56525 8618 56531
+rect 8566 56467 8618 56473
+rect 9154 55717 9182 59200
+rect 9634 57049 9662 59200
+rect 9622 57043 9674 57049
+rect 9622 56985 9674 56991
+rect 9622 56747 9674 56753
+rect 9622 56689 9674 56695
+rect 9142 55711 9194 55717
+rect 9142 55653 9194 55659
+rect 8662 55563 8714 55569
+rect 8662 55505 8714 55511
+rect 9238 55563 9290 55569
+rect 9238 55505 9290 55511
+rect 8674 54977 8702 55505
+rect 8662 54971 8714 54977
+rect 8662 54913 8714 54919
+rect 8662 50753 8714 50759
+rect 8662 50695 8714 50701
+rect 8674 50537 8702 50695
+rect 8662 50531 8714 50537
+rect 8662 50473 8714 50479
+rect 8290 37426 8414 37454
+rect 5878 36101 5930 36107
+rect 5878 36043 5930 36049
+rect 5890 7173 5918 36043
+rect 6838 30477 6890 30483
+rect 6838 30419 6890 30425
+rect 6454 24187 6506 24193
+rect 6454 24129 6506 24135
+rect 5974 18267 6026 18273
+rect 5974 18209 6026 18215
+rect 5986 8579 6014 18209
+rect 6070 11163 6122 11169
+rect 6070 11105 6122 11111
+rect 5974 8573 6026 8579
+rect 5974 8515 6026 8521
+rect 5878 7167 5930 7173
+rect 5878 7109 5930 7115
+rect 5878 6945 5930 6951
+rect 5878 6887 5930 6893
+rect 5782 5761 5834 5767
+rect 5782 5703 5834 5709
+rect 5782 5613 5834 5619
+rect 5782 5555 5834 5561
+rect 5686 4281 5738 4287
+rect 5686 4223 5738 4229
+rect 5590 3689 5642 3695
+rect 5590 3631 5642 3637
+rect 5602 800 5630 3631
+rect 5698 800 5726 4223
+rect 5794 2955 5822 5555
+rect 5782 2949 5834 2955
+rect 5782 2891 5834 2897
+rect 5890 800 5918 6887
+rect 6082 6433 6110 11105
+rect 6466 7025 6494 24129
+rect 6850 7099 6878 30419
+rect 7906 29225 7934 37426
+rect 8086 29441 8138 29447
+rect 8086 29383 8138 29389
+rect 7894 29219 7946 29225
+rect 7894 29161 7946 29167
+rect 8098 28855 8126 29383
+rect 8086 28849 8138 28855
+rect 8086 28791 8138 28797
+rect 8182 28183 8234 28189
+rect 8182 28125 8234 28131
+rect 8194 27523 8222 28125
+rect 8182 27517 8234 27523
+rect 8182 27459 8234 27465
+rect 8086 25075 8138 25081
+rect 8086 25017 8138 25023
+rect 8098 24563 8126 25017
+rect 8086 24557 8138 24563
+rect 8086 24499 8138 24505
+rect 8086 23521 8138 23527
+rect 8086 23463 8138 23469
+rect 8098 23231 8126 23463
+rect 8086 23225 8138 23231
+rect 8086 23167 8138 23173
+rect 8278 22929 8330 22935
+rect 8278 22871 8330 22877
+rect 8086 22855 8138 22861
+rect 8086 22797 8138 22803
+rect 8098 22343 8126 22797
+rect 8290 22491 8318 22871
+rect 8278 22485 8330 22491
+rect 8278 22427 8330 22433
+rect 8086 22337 8138 22343
+rect 8086 22279 8138 22285
+rect 8290 22140 8318 22427
+rect 8194 22121 8318 22140
+rect 8182 22115 8318 22121
+rect 8234 22112 8318 22115
+rect 8182 22057 8234 22063
+rect 8278 21597 8330 21603
+rect 8278 21539 8330 21545
+rect 8086 21523 8138 21529
+rect 8086 21465 8138 21471
+rect 8098 20863 8126 21465
+rect 8290 21252 8318 21539
+rect 8242 21224 8318 21252
+rect 8242 20957 8270 21224
+rect 8230 20951 8282 20957
+rect 8230 20893 8282 20899
+rect 8086 20857 8138 20863
+rect 8086 20799 8138 20805
+rect 7606 20783 7658 20789
+rect 7606 20725 7658 20731
+rect 7618 20567 7646 20725
+rect 7606 20561 7658 20567
+rect 7606 20503 7658 20509
+rect 7606 20117 7658 20123
+rect 7606 20059 7658 20065
+rect 7618 19901 7646 20059
+rect 7606 19895 7658 19901
+rect 7606 19837 7658 19843
+rect 8278 19525 8330 19531
+rect 8276 19490 8278 19499
+rect 8330 19490 8332 19499
+rect 8276 19425 8332 19434
+rect 8086 18267 8138 18273
+rect 8086 18209 8138 18215
+rect 8098 17829 8126 18209
+rect 8086 17823 8138 17829
+rect 8086 17765 8138 17771
+rect 7126 15159 7178 15165
+rect 7126 15101 7178 15107
+rect 7030 8129 7082 8135
+rect 7030 8071 7082 8077
+rect 6838 7093 6890 7099
+rect 6838 7035 6890 7041
+rect 6454 7019 6506 7025
+rect 6454 6961 6506 6967
+rect 6550 6945 6602 6951
+rect 6550 6887 6602 6893
+rect 6934 6945 6986 6951
+rect 6934 6887 6986 6893
+rect 6070 6427 6122 6433
+rect 6070 6369 6122 6375
+rect 6262 6427 6314 6433
+rect 6262 6369 6314 6375
+rect 6070 5021 6122 5027
+rect 6070 4963 6122 4969
+rect 5974 3023 6026 3029
+rect 5974 2965 6026 2971
+rect 5986 800 6014 2965
+rect 6082 800 6110 4963
+rect 6274 800 6302 6369
+rect 6454 4207 6506 4213
+rect 6454 4149 6506 4155
+rect 6358 3689 6410 3695
+rect 6358 3631 6410 3637
+rect 6370 800 6398 3631
+rect 6466 800 6494 4149
+rect 6562 800 6590 6887
+rect 6838 5687 6890 5693
+rect 6838 5629 6890 5635
+rect 6742 2949 6794 2955
+rect 6742 2891 6794 2897
+rect 6754 800 6782 2891
+rect 6850 800 6878 5629
+rect 6946 800 6974 6887
+rect 7042 5767 7070 8071
+rect 7138 7765 7166 15101
+rect 7894 14123 7946 14129
+rect 7894 14065 7946 14071
+rect 7906 13907 7934 14065
+rect 7894 13901 7946 13907
+rect 7894 13843 7946 13849
+rect 8086 13531 8138 13537
+rect 8086 13473 8138 13479
+rect 7606 13457 7658 13463
+rect 7606 13399 7658 13405
+rect 7618 13241 7646 13399
+rect 8098 13241 8126 13473
+rect 7606 13235 7658 13241
+rect 7606 13177 7658 13183
+rect 8086 13235 8138 13241
+rect 8086 13177 8138 13183
+rect 8386 12974 8414 37426
+rect 8662 29515 8714 29521
+rect 8662 29457 8714 29463
+rect 8674 28929 8702 29457
+rect 8662 28923 8714 28929
+rect 8662 28865 8714 28871
+rect 8615 28775 8667 28781
+rect 8615 28717 8667 28723
+rect 8627 28559 8655 28717
+rect 8615 28553 8667 28559
+rect 8615 28495 8667 28501
+rect 8470 23447 8522 23453
+rect 8470 23389 8522 23395
+rect 8482 23157 8510 23389
+rect 8470 23151 8522 23157
+rect 8470 23093 8522 23099
+rect 8566 22781 8618 22787
+rect 8566 22723 8618 22729
+rect 8578 22565 8606 22723
+rect 8566 22559 8618 22565
+rect 8566 22501 8618 22507
+rect 8758 20783 8810 20789
+rect 8758 20725 8810 20731
+rect 8770 20567 8798 20725
+rect 8758 20561 8810 20567
+rect 8758 20503 8810 20509
+rect 8758 20117 8810 20123
+rect 8758 20059 8810 20065
+rect 8770 19901 8798 20059
+rect 8758 19895 8810 19901
+rect 8758 19837 8810 19843
+rect 9046 19525 9098 19531
+rect 9044 19490 9046 19499
+rect 9098 19490 9100 19499
+rect 9044 19425 9100 19434
+rect 9250 12974 9278 55505
+rect 9334 28109 9386 28115
+rect 9334 28051 9386 28057
+rect 9346 27523 9374 28051
+rect 9334 27517 9386 27523
+rect 9334 27459 9386 27465
+rect 9334 20783 9386 20789
+rect 9334 20725 9386 20731
+rect 9346 20567 9374 20725
+rect 9334 20561 9386 20567
+rect 9334 20503 9386 20509
+rect 9334 17083 9386 17089
+rect 9334 17025 9386 17031
+rect 8194 12946 8414 12974
+rect 9154 12946 9278 12974
+rect 8086 12199 8138 12205
+rect 8086 12141 8138 12147
+rect 8098 11761 8126 12141
+rect 8086 11755 8138 11761
+rect 8086 11697 8138 11703
+rect 7894 10941 7946 10947
+rect 7894 10883 7946 10889
+rect 7606 10793 7658 10799
+rect 7606 10735 7658 10741
+rect 7222 8129 7274 8135
+rect 7222 8071 7274 8077
+rect 7234 7839 7262 8071
+rect 7618 7839 7646 10735
+rect 7798 9683 7850 9689
+rect 7798 9625 7850 9631
+rect 7702 8869 7754 8875
+rect 7700 8834 7702 8843
+rect 7754 8834 7756 8843
+rect 7700 8769 7756 8778
+rect 7702 8277 7754 8283
+rect 7702 8219 7754 8225
+rect 7222 7833 7274 7839
+rect 7222 7775 7274 7781
+rect 7606 7833 7658 7839
+rect 7606 7775 7658 7781
+rect 7126 7759 7178 7765
+rect 7126 7701 7178 7707
+rect 7318 7019 7370 7025
+rect 7318 6961 7370 6967
+rect 7126 6871 7178 6877
+rect 7126 6813 7178 6819
+rect 7138 6433 7166 6813
+rect 7126 6427 7178 6433
+rect 7126 6369 7178 6375
+rect 7030 5761 7082 5767
+rect 7030 5703 7082 5709
+rect 7222 5687 7274 5693
+rect 7222 5629 7274 5635
+rect 7030 3689 7082 3695
+rect 7030 3631 7082 3637
+rect 7042 800 7070 3631
+rect 7234 800 7262 5629
+rect 7330 800 7358 6961
+rect 7606 5465 7658 5471
+rect 7606 5407 7658 5413
+rect 7414 4355 7466 4361
+rect 7414 4297 7466 4303
+rect 7426 800 7454 4297
+rect 7618 800 7646 5407
+rect 7714 800 7742 8219
+rect 7810 7784 7838 9625
+rect 7906 8431 7934 10883
+rect 8086 10867 8138 10873
+rect 8086 10809 8138 10815
+rect 8098 10207 8126 10809
+rect 8086 10201 8138 10207
+rect 8086 10143 8138 10149
+rect 8086 9609 8138 9615
+rect 8086 9551 8138 9557
+rect 7990 9535 8042 9541
+rect 7990 9477 8042 9483
+rect 7894 8425 7946 8431
+rect 7894 8367 7946 8373
+rect 8002 7932 8030 9477
+rect 8098 9023 8126 9551
+rect 8086 9017 8138 9023
+rect 8086 8959 8138 8965
+rect 8194 8672 8222 12946
+rect 8386 11909 8798 11928
+rect 8374 11903 8810 11909
+rect 8426 11900 8758 11903
+rect 8374 11845 8426 11851
+rect 8578 11835 8606 11900
+rect 8758 11845 8810 11851
+rect 8566 11829 8618 11835
+rect 8566 11771 8618 11777
+rect 8278 10793 8330 10799
+rect 8278 10735 8330 10741
+rect 8290 10577 8318 10735
+rect 8278 10571 8330 10577
+rect 8278 10513 8330 10519
+rect 8530 8949 8990 8968
+rect 8374 8943 8426 8949
+rect 8374 8885 8426 8891
+rect 8518 8943 9002 8949
+rect 8570 8940 8950 8943
+rect 8518 8885 8570 8891
+rect 8950 8885 9002 8891
+rect 8276 8834 8332 8843
+rect 8386 8820 8414 8885
+rect 8386 8801 9086 8820
+rect 8386 8795 9098 8801
+rect 8386 8792 9046 8795
+rect 8276 8769 8278 8778
+rect 8330 8769 8332 8778
+rect 8278 8737 8330 8743
+rect 9046 8737 9098 8743
+rect 8194 8644 8414 8672
+rect 8002 7904 8270 7932
+rect 7810 7765 7982 7784
+rect 7810 7759 7994 7765
+rect 7810 7756 7942 7759
+rect 7942 7701 7994 7707
+rect 8242 7691 8270 7904
+rect 8230 7685 8282 7691
+rect 8230 7627 8282 7633
+rect 8386 6433 8414 8644
+rect 8518 7907 8570 7913
+rect 8518 7849 8570 7855
+rect 8530 7691 8558 7849
+rect 8518 7685 8570 7691
+rect 9154 7636 9182 12946
+rect 9238 9165 9290 9171
+rect 9238 9107 9290 9113
+rect 9250 8843 9278 9107
+rect 9236 8834 9292 8843
+rect 9236 8769 9292 8778
+rect 9346 7636 9374 17025
+rect 9634 12974 9662 56689
+rect 10210 56531 10238 59200
+rect 10690 56531 10718 59200
+rect 11266 57049 11294 59200
+rect 11254 57043 11306 57049
+rect 11254 56985 11306 56991
+rect 11254 56895 11306 56901
+rect 11254 56837 11306 56843
+rect 10870 56821 10922 56827
+rect 10870 56763 10922 56769
+rect 10198 56525 10250 56531
+rect 10198 56467 10250 56473
+rect 10678 56525 10730 56531
+rect 10678 56467 10730 56473
+rect 10390 56229 10442 56235
+rect 10390 56171 10442 56177
+rect 10402 50093 10430 56171
+rect 10582 55563 10634 55569
+rect 10582 55505 10634 55511
+rect 10594 54755 10622 55505
+rect 10582 54749 10634 54755
+rect 10582 54691 10634 54697
+rect 10486 54231 10538 54237
+rect 10486 54173 10538 54179
+rect 10390 50087 10442 50093
+rect 10390 50029 10442 50035
+rect 10006 45425 10058 45431
+rect 10006 45367 10058 45373
+rect 10018 45209 10046 45367
+rect 10006 45203 10058 45209
+rect 10006 45145 10058 45151
+rect 10102 21449 10154 21455
+rect 10102 21391 10154 21397
+rect 9910 13679 9962 13685
+rect 9910 13621 9962 13627
+rect 9922 13463 9950 13621
+rect 9910 13457 9962 13463
+rect 9910 13399 9962 13405
+rect 9538 12946 9662 12974
+rect 9430 11829 9482 11835
+rect 9430 11771 9482 11777
+rect 9442 7765 9470 11771
+rect 9538 9541 9566 12946
+rect 9922 12797 9950 13399
+rect 9910 12791 9962 12797
+rect 9910 12733 9962 12739
+rect 9814 12421 9866 12427
+rect 9814 12363 9866 12369
+rect 9718 12347 9770 12353
+rect 9718 12289 9770 12295
+rect 9622 10793 9674 10799
+rect 9622 10735 9674 10741
+rect 9634 10503 9662 10735
+rect 9622 10497 9674 10503
+rect 9622 10439 9674 10445
+rect 9526 9535 9578 9541
+rect 9526 9477 9578 9483
+rect 9526 8277 9578 8283
+rect 9526 8219 9578 8225
+rect 9430 7759 9482 7765
+rect 9430 7701 9482 7707
+rect 8518 7627 8570 7633
+rect 9058 7608 9182 7636
+rect 9250 7608 9374 7636
+rect 8470 7241 8522 7247
+rect 8470 7183 8522 7189
+rect 8374 6427 8426 6433
+rect 8374 6369 8426 6375
+rect 8086 5909 8138 5915
+rect 8086 5851 8138 5857
+rect 7798 5539 7850 5545
+rect 7798 5481 7850 5487
+rect 7810 4972 7838 5481
+rect 7810 4953 7982 4972
+rect 7810 4947 7994 4953
+rect 7810 4944 7942 4947
+rect 7942 4889 7994 4895
+rect 8098 4879 8126 5851
+rect 8086 4873 8138 4879
+rect 8086 4815 8138 4821
+rect 7894 3911 7946 3917
+rect 7894 3853 7946 3859
+rect 7798 3689 7850 3695
+rect 7798 3631 7850 3637
+rect 7810 800 7838 3631
+rect 7906 800 7934 3853
+rect 8278 3837 8330 3843
+rect 8278 3779 8330 3785
+rect 8086 3763 8138 3769
+rect 8086 3705 8138 3711
+rect 8098 800 8126 3705
+rect 8182 3023 8234 3029
+rect 8182 2965 8234 2971
+rect 8194 800 8222 2965
+rect 8290 800 8318 3779
+rect 8482 800 8510 7183
+rect 8854 7019 8906 7025
+rect 8854 6961 8906 6967
+rect 8758 5687 8810 5693
+rect 8758 5629 8810 5635
+rect 8770 4232 8798 5629
+rect 8674 4204 8798 4232
+rect 8566 3689 8618 3695
+rect 8566 3631 8618 3637
+rect 8578 800 8606 3631
+rect 8674 800 8702 4204
+rect 8866 2894 8894 6961
+rect 9058 6507 9086 7608
+rect 9142 7537 9194 7543
+rect 9142 7479 9194 7485
+rect 9046 6501 9098 6507
+rect 9046 6443 9098 6449
+rect 9046 4133 9098 4139
+rect 9046 4075 9098 4081
+rect 8770 2866 8894 2894
+rect 8950 2949 9002 2955
+rect 8950 2891 9002 2897
+rect 8770 800 8798 2866
+rect 8962 800 8990 2891
+rect 9058 800 9086 4075
+rect 9154 800 9182 7479
+rect 9250 7099 9278 7608
+rect 9334 7463 9386 7469
+rect 9334 7405 9386 7411
+rect 9346 7247 9374 7405
+rect 9334 7241 9386 7247
+rect 9334 7183 9386 7189
+rect 9238 7093 9290 7099
+rect 9238 7035 9290 7041
+rect 9430 6353 9482 6359
+rect 9430 6295 9482 6301
+rect 9238 5021 9290 5027
+rect 9238 4963 9290 4969
+rect 9250 3917 9278 4963
+rect 9238 3911 9290 3917
+rect 9238 3853 9290 3859
+rect 9334 3689 9386 3695
+rect 9334 3631 9386 3637
+rect 9346 2894 9374 3631
+rect 9250 2866 9374 2894
+rect 9250 800 9278 2866
+rect 9442 800 9470 6295
+rect 9538 800 9566 8219
+rect 9730 7099 9758 12289
+rect 9826 8431 9854 12363
+rect 9814 8425 9866 8431
+rect 9814 8367 9866 8373
+rect 9910 7759 9962 7765
+rect 9910 7701 9962 7707
+rect 9718 7093 9770 7099
+rect 9718 7035 9770 7041
+rect 9718 6945 9770 6951
+rect 9718 6887 9770 6893
+rect 9622 4355 9674 4361
+rect 9622 4297 9674 4303
+rect 9634 800 9662 4297
+rect 9730 3769 9758 6887
+rect 9814 4281 9866 4287
+rect 9814 4223 9866 4229
+rect 9718 3763 9770 3769
+rect 9718 3705 9770 3711
+rect 9826 800 9854 4223
+rect 9922 800 9950 7701
+rect 10114 6803 10142 21391
+rect 10198 11607 10250 11613
+rect 10198 11549 10250 11555
+rect 10210 7691 10238 11549
+rect 10294 8277 10346 8283
+rect 10294 8219 10346 8225
+rect 10198 7685 10250 7691
+rect 10198 7627 10250 7633
+rect 10102 6797 10154 6803
+rect 10102 6739 10154 6745
+rect 10102 6353 10154 6359
+rect 10102 6295 10154 6301
+rect 10006 3541 10058 3547
+rect 10006 3483 10058 3489
+rect 10018 800 10046 3483
+rect 10114 800 10142 6295
+rect 10198 5687 10250 5693
+rect 10198 5629 10250 5635
+rect 10210 4287 10238 5629
+rect 10198 4281 10250 4287
+rect 10198 4223 10250 4229
+rect 10306 800 10334 8219
+rect 10498 7099 10526 54173
+rect 10582 23003 10634 23009
+rect 10582 22945 10634 22951
+rect 10594 22195 10622 22945
+rect 10582 22189 10634 22195
+rect 10582 22131 10634 22137
+rect 10774 14789 10826 14795
+rect 10774 14731 10826 14737
+rect 10786 8505 10814 14731
+rect 10882 9689 10910 56763
+rect 11158 56229 11210 56235
+rect 11158 56171 11210 56177
+rect 10966 26777 11018 26783
+rect 10966 26719 11018 26725
+rect 10978 11761 11006 26719
+rect 11062 23595 11114 23601
+rect 11062 23537 11114 23543
+rect 10966 11755 11018 11761
+rect 10966 11697 11018 11703
+rect 11074 10947 11102 23537
+rect 11062 10941 11114 10947
+rect 11062 10883 11114 10889
+rect 10870 9683 10922 9689
+rect 10870 9625 10922 9631
+rect 11170 9541 11198 56171
+rect 11158 9535 11210 9541
+rect 11158 9477 11210 9483
+rect 11158 8943 11210 8949
+rect 11158 8885 11210 8891
+rect 10774 8499 10826 8505
+rect 10774 8441 10826 8447
+rect 10678 8277 10730 8283
+rect 10678 8219 10730 8225
+rect 10486 7093 10538 7099
+rect 10486 7035 10538 7041
+rect 10486 5687 10538 5693
+rect 10486 5629 10538 5635
+rect 10390 4355 10442 4361
+rect 10390 4297 10442 4303
+rect 10402 800 10430 4297
+rect 10498 800 10526 5629
+rect 10582 5021 10634 5027
+rect 10582 4963 10634 4969
+rect 10594 3843 10622 4963
+rect 10582 3837 10634 3843
+rect 10582 3779 10634 3785
+rect 10690 2894 10718 8219
+rect 11170 7765 11198 8885
+rect 11266 7913 11294 56837
+rect 11746 56531 11774 59200
+rect 12322 56531 12350 59200
+rect 12802 56975 12830 59200
+rect 12790 56969 12842 56975
+rect 12790 56911 12842 56917
+rect 13378 56531 13406 59200
+rect 11734 56525 11786 56531
+rect 11734 56467 11786 56473
+rect 12310 56525 12362 56531
+rect 12310 56467 12362 56473
+rect 13366 56525 13418 56531
+rect 13366 56467 13418 56473
+rect 11542 56229 11594 56235
+rect 11542 56171 11594 56177
+rect 12694 56229 12746 56235
+rect 12694 56171 12746 56177
+rect 11554 28263 11582 56171
+rect 12598 44907 12650 44913
+rect 12598 44849 12650 44855
+rect 12610 44765 12638 44849
+rect 12598 44759 12650 44765
+rect 12598 44701 12650 44707
+rect 12022 41503 12074 41509
+rect 12022 41445 12074 41451
+rect 11734 41429 11786 41435
+rect 11734 41371 11786 41377
+rect 11542 28257 11594 28263
+rect 11542 28199 11594 28205
+rect 11350 13827 11402 13833
+rect 11350 13769 11402 13775
+rect 11362 8431 11390 13769
+rect 11746 12974 11774 41371
+rect 11650 12946 11774 12974
+rect 11350 8425 11402 8431
+rect 11350 8367 11402 8373
+rect 11350 8277 11402 8283
+rect 11350 8219 11402 8225
+rect 11254 7907 11306 7913
+rect 11254 7849 11306 7855
+rect 11158 7759 11210 7765
+rect 11158 7701 11210 7707
+rect 10966 7463 11018 7469
+rect 10966 7405 11018 7411
+rect 10870 6353 10922 6359
+rect 10870 6295 10922 6301
+rect 10774 4355 10826 4361
+rect 10774 4297 10826 4303
+rect 10594 2866 10718 2894
+rect 10594 800 10622 2866
+rect 10786 800 10814 4297
+rect 10882 800 10910 6295
+rect 10978 800 11006 7405
+rect 11254 7019 11306 7025
+rect 11254 6961 11306 6967
+rect 11062 5021 11114 5027
+rect 11062 4963 11114 4969
+rect 11074 4139 11102 4963
+rect 11158 4207 11210 4213
+rect 11158 4149 11210 4155
+rect 11062 4133 11114 4139
+rect 11062 4075 11114 4081
+rect 11170 800 11198 4149
+rect 11266 800 11294 6961
+rect 11362 800 11390 8219
+rect 11446 8203 11498 8209
+rect 11446 8145 11498 8151
+rect 11458 4232 11486 8145
+rect 11650 7173 11678 12946
+rect 12034 8431 12062 41445
+rect 12214 38321 12266 38327
+rect 12214 38263 12266 38269
+rect 12118 24113 12170 24119
+rect 12118 24055 12170 24061
+rect 12130 18125 12158 24055
+rect 12118 18119 12170 18125
+rect 12118 18061 12170 18067
+rect 12226 11613 12254 38263
+rect 12310 26111 12362 26117
+rect 12310 26053 12362 26059
+rect 12322 11687 12350 26053
+rect 12406 23225 12458 23231
+rect 12406 23167 12458 23173
+rect 12418 12279 12446 23167
+rect 12502 18119 12554 18125
+rect 12502 18061 12554 18067
+rect 12406 12273 12458 12279
+rect 12406 12215 12458 12221
+rect 12310 11681 12362 11687
+rect 12310 11623 12362 11629
+rect 12214 11607 12266 11613
+rect 12214 11549 12266 11555
+rect 12514 9467 12542 18061
+rect 12406 9461 12458 9467
+rect 12406 9403 12458 9409
+rect 12502 9461 12554 9467
+rect 12502 9403 12554 9409
+rect 12418 9097 12446 9403
+rect 12406 9091 12458 9097
+rect 12406 9033 12458 9039
+rect 12022 8425 12074 8431
+rect 12022 8367 12074 8373
+rect 12214 8277 12266 8283
+rect 12214 8219 12266 8225
+rect 11734 7759 11786 7765
+rect 11734 7701 11786 7707
+rect 11638 7167 11690 7173
+rect 11638 7109 11690 7115
+rect 11638 6353 11690 6359
+rect 11638 6295 11690 6301
+rect 11458 4204 11582 4232
+rect 11446 4133 11498 4139
+rect 11446 4075 11498 4081
+rect 11458 800 11486 4075
+rect 11554 3547 11582 4204
+rect 11542 3541 11594 3547
+rect 11542 3483 11594 3489
+rect 11650 800 11678 6295
+rect 11746 800 11774 7701
+rect 11830 5021 11882 5027
+rect 11830 4963 11882 4969
+rect 11842 800 11870 4963
+rect 12226 3825 12254 8219
+rect 12610 8135 12638 44701
+rect 12706 40917 12734 56171
+rect 13858 55717 13886 59200
+rect 14434 56975 14462 59200
+rect 14422 56969 14474 56975
+rect 14422 56911 14474 56917
+rect 14038 56895 14090 56901
+rect 14038 56837 14090 56843
+rect 13846 55711 13898 55717
+rect 13846 55653 13898 55659
+rect 13750 49791 13802 49797
+rect 13750 49733 13802 49739
+rect 12694 40911 12746 40917
+rect 12694 40853 12746 40859
+rect 13462 31809 13514 31815
+rect 13462 31751 13514 31757
+rect 13078 25223 13130 25229
+rect 13078 25165 13130 25171
+rect 12694 22781 12746 22787
+rect 12694 22723 12746 22729
+rect 12706 22417 12734 22723
+rect 12694 22411 12746 22417
+rect 12694 22353 12746 22359
+rect 13090 18495 13118 25165
+rect 13270 23521 13322 23527
+rect 13270 23463 13322 23469
+rect 13174 23151 13226 23157
+rect 13174 23093 13226 23099
+rect 13078 18489 13130 18495
+rect 13078 18431 13130 18437
+rect 13078 12273 13130 12279
+rect 13078 12215 13130 12221
+rect 12886 11607 12938 11613
+rect 12886 11549 12938 11555
+rect 12790 8573 12842 8579
+rect 12790 8515 12842 8521
+rect 12598 8129 12650 8135
+rect 12598 8071 12650 8077
+rect 12502 7537 12554 7543
+rect 12502 7479 12554 7485
+rect 12130 3797 12254 3825
+rect 12310 3837 12362 3843
+rect 12022 3763 12074 3769
+rect 12022 3705 12074 3711
+rect 12034 800 12062 3705
+rect 12130 800 12158 3797
+rect 12310 3779 12362 3785
+rect 12214 3171 12266 3177
+rect 12214 3113 12266 3119
+rect 12226 800 12254 3113
+rect 12322 800 12350 3779
+rect 12514 800 12542 7479
+rect 12694 7019 12746 7025
+rect 12694 6961 12746 6967
+rect 12598 5687 12650 5693
+rect 12598 5629 12650 5635
+rect 12610 800 12638 5629
+rect 12706 800 12734 6961
+rect 12802 800 12830 8515
+rect 12898 8431 12926 11549
+rect 12886 8425 12938 8431
+rect 12886 8367 12938 8373
+rect 12982 5021 13034 5027
+rect 12982 4963 13034 4969
+rect 12994 3177 13022 4963
+rect 13090 3917 13118 12215
+rect 13186 6507 13214 23093
+rect 13174 6501 13226 6507
+rect 13174 6443 13226 6449
+rect 13174 6353 13226 6359
+rect 13174 6295 13226 6301
+rect 13078 3911 13130 3917
+rect 13078 3853 13130 3859
+rect 13186 3843 13214 6295
+rect 13174 3837 13226 3843
+rect 13174 3779 13226 3785
+rect 13174 3689 13226 3695
+rect 13174 3631 13226 3637
+rect 12982 3171 13034 3177
+rect 12982 3113 13034 3119
+rect 13078 3097 13130 3103
+rect 13078 3039 13130 3045
+rect 12982 3023 13034 3029
+rect 12982 2965 13034 2971
+rect 12994 800 13022 2965
+rect 13090 800 13118 3039
+rect 13186 800 13214 3631
+rect 13282 3251 13310 23463
+rect 13474 8431 13502 31751
+rect 13654 12273 13706 12279
+rect 13654 12215 13706 12221
+rect 13462 8425 13514 8431
+rect 13462 8367 13514 8373
+rect 13666 7099 13694 12215
+rect 13762 11613 13790 49733
+rect 13750 11607 13802 11613
+rect 13750 11549 13802 11555
+rect 14050 10503 14078 56837
+rect 14914 56531 14942 59200
+rect 14902 56525 14954 56531
+rect 14902 56467 14954 56473
+rect 15190 56229 15242 56235
+rect 15190 56171 15242 56177
+rect 15094 51419 15146 51425
+rect 15094 51361 15146 51367
+rect 14902 37433 14954 37439
+rect 14902 37375 14954 37381
+rect 14326 29441 14378 29447
+rect 14326 29383 14378 29389
+rect 14230 28109 14282 28115
+rect 14230 28051 14282 28057
+rect 14134 17527 14186 17533
+rect 14134 17469 14186 17475
+rect 14038 10497 14090 10503
+rect 14038 10439 14090 10445
+rect 13750 9905 13802 9911
+rect 13750 9847 13802 9853
+rect 13942 9905 13994 9911
+rect 13942 9847 13994 9853
+rect 13762 9245 13790 9847
+rect 13750 9239 13802 9245
+rect 13750 9181 13802 9187
+rect 13954 9171 13982 9847
+rect 13942 9165 13994 9171
+rect 13942 9107 13994 9113
+rect 14146 7765 14174 17469
+rect 14134 7759 14186 7765
+rect 14134 7701 14186 7707
+rect 13654 7093 13706 7099
+rect 13654 7035 13706 7041
+rect 13462 6945 13514 6951
+rect 13462 6887 13514 6893
+rect 13366 6501 13418 6507
+rect 13366 6443 13418 6449
+rect 13378 3251 13406 6443
+rect 13270 3245 13322 3251
+rect 13270 3187 13322 3193
+rect 13366 3245 13418 3251
+rect 13366 3187 13418 3193
+rect 13366 3023 13418 3029
+rect 13366 2965 13418 2971
+rect 13378 800 13406 2965
+rect 13474 800 13502 6887
+rect 14134 6205 14186 6211
+rect 14134 6147 14186 6153
+rect 13846 6131 13898 6137
+rect 13846 6073 13898 6079
+rect 13654 5687 13706 5693
+rect 13654 5629 13706 5635
+rect 13558 4355 13610 4361
+rect 13558 4297 13610 4303
+rect 13570 800 13598 4297
+rect 13666 3843 13694 5629
+rect 13654 3837 13706 3843
+rect 13654 3779 13706 3785
+rect 13654 3689 13706 3695
+rect 13654 3631 13706 3637
+rect 13666 800 13694 3631
+rect 13858 3103 13886 6073
+rect 13942 5021 13994 5027
+rect 13942 4963 13994 4969
+rect 13846 3097 13898 3103
+rect 13846 3039 13898 3045
+rect 13846 2949 13898 2955
+rect 13846 2891 13898 2897
+rect 13858 800 13886 2891
+rect 13954 800 13982 4963
+rect 14038 3689 14090 3695
+rect 14038 3631 14090 3637
+rect 14050 800 14078 3631
+rect 14146 800 14174 6147
+rect 14242 4435 14270 28051
+rect 14338 12945 14366 29383
+rect 14422 14937 14474 14943
+rect 14422 14879 14474 14885
+rect 14434 13759 14462 14879
+rect 14518 14567 14570 14573
+rect 14518 14509 14570 14515
+rect 14422 13753 14474 13759
+rect 14422 13695 14474 13701
+rect 14326 12939 14378 12945
+rect 14326 12881 14378 12887
+rect 14530 11835 14558 14509
+rect 14806 13605 14858 13611
+rect 14806 13547 14858 13553
+rect 14518 11829 14570 11835
+rect 14518 11771 14570 11777
+rect 14818 7099 14846 13547
+rect 14806 7093 14858 7099
+rect 14806 7035 14858 7041
+rect 14614 6945 14666 6951
+rect 14614 6887 14666 6893
+rect 14326 6279 14378 6285
+rect 14326 6221 14378 6227
+rect 14230 4429 14282 4435
+rect 14230 4371 14282 4377
+rect 14338 3769 14366 6221
+rect 14422 5021 14474 5027
+rect 14422 4963 14474 4969
+rect 14326 3763 14378 3769
+rect 14326 3705 14378 3711
+rect 14434 2900 14462 4963
+rect 14518 3023 14570 3029
+rect 14518 2965 14570 2971
+rect 14338 2872 14462 2900
+rect 14338 800 14366 2872
+rect 14530 1568 14558 2965
+rect 14434 1540 14558 1568
+rect 14434 800 14462 1540
+rect 14626 1420 14654 6887
+rect 14914 6803 14942 37375
+rect 15106 7617 15134 51361
+rect 15202 25525 15230 56171
+rect 15394 56161 15422 59200
+rect 15970 56975 15998 59200
+rect 16450 57049 16478 59200
+rect 16438 57043 16490 57049
+rect 16438 56985 16490 56991
+rect 15958 56969 16010 56975
+rect 15958 56911 16010 56917
+rect 16150 56895 16202 56901
+rect 16150 56837 16202 56843
+rect 15766 56229 15818 56235
+rect 15766 56171 15818 56177
+rect 15382 56155 15434 56161
+rect 15382 56097 15434 56103
+rect 15382 55193 15434 55199
+rect 15382 55135 15434 55141
+rect 15190 25519 15242 25525
+rect 15190 25461 15242 25467
+rect 15286 24557 15338 24563
+rect 15286 24499 15338 24505
+rect 15190 18489 15242 18495
+rect 15190 18431 15242 18437
+rect 15094 7611 15146 7617
+rect 15094 7553 15146 7559
+rect 14902 6797 14954 6803
+rect 14902 6739 14954 6745
+rect 14710 6131 14762 6137
+rect 14710 6073 14762 6079
+rect 14722 2955 14750 6073
+rect 14998 5687 15050 5693
+rect 14998 5629 15050 5635
+rect 14902 5021 14954 5027
+rect 14902 4963 14954 4969
+rect 14806 3689 14858 3695
+rect 14806 3631 14858 3637
+rect 14710 2949 14762 2955
+rect 14710 2891 14762 2897
+rect 14710 2801 14762 2807
+rect 14710 2743 14762 2749
+rect 14530 1392 14654 1420
+rect 14530 800 14558 1392
+rect 14722 800 14750 2743
+rect 14818 800 14846 3631
+rect 14914 2955 14942 4963
+rect 14902 2949 14954 2955
+rect 14902 2891 14954 2897
+rect 14902 2801 14954 2807
+rect 14902 2743 14954 2749
+rect 14914 800 14942 2743
+rect 15010 800 15038 5629
+rect 15094 4133 15146 4139
+rect 15094 4075 15146 4081
+rect 15106 1697 15134 4075
+rect 15202 3917 15230 18431
+rect 15190 3911 15242 3917
+rect 15190 3853 15242 3859
+rect 15188 3802 15244 3811
+rect 15188 3737 15244 3746
+rect 15202 2955 15230 3737
+rect 15298 3251 15326 24499
+rect 15394 17237 15422 55135
+rect 15670 26555 15722 26561
+rect 15670 26497 15722 26503
+rect 15478 23817 15530 23823
+rect 15478 23759 15530 23765
+rect 15382 17231 15434 17237
+rect 15382 17173 15434 17179
+rect 15490 6433 15518 23759
+rect 15574 6945 15626 6951
+rect 15574 6887 15626 6893
+rect 15478 6427 15530 6433
+rect 15478 6369 15530 6375
+rect 15478 6131 15530 6137
+rect 15478 6073 15530 6079
+rect 15490 4528 15518 6073
+rect 15394 4500 15518 4528
+rect 15394 3811 15422 4500
+rect 15478 4355 15530 4361
+rect 15478 4297 15530 4303
+rect 15380 3802 15436 3811
+rect 15380 3737 15436 3746
+rect 15382 3689 15434 3695
+rect 15382 3631 15434 3637
+rect 15286 3245 15338 3251
+rect 15286 3187 15338 3193
+rect 15190 2949 15242 2955
+rect 15190 2891 15242 2897
+rect 15394 1864 15422 3631
+rect 15202 1836 15422 1864
+rect 15094 1691 15146 1697
+rect 15094 1633 15146 1639
+rect 15202 800 15230 1836
+rect 15286 1765 15338 1771
+rect 15286 1707 15338 1713
+rect 15298 800 15326 1707
+rect 15382 1691 15434 1697
+rect 15382 1633 15434 1639
+rect 15394 800 15422 1633
+rect 15490 800 15518 4297
+rect 15586 1771 15614 6887
+rect 15682 4583 15710 26497
+rect 15778 10577 15806 56171
+rect 15958 55563 16010 55569
+rect 15958 55505 16010 55511
+rect 15970 55125 15998 55505
+rect 15958 55119 16010 55125
+rect 15958 55061 16010 55067
+rect 15862 28849 15914 28855
+rect 15862 28791 15914 28797
+rect 15766 10571 15818 10577
+rect 15766 10513 15818 10519
+rect 15874 7765 15902 28791
+rect 15958 24779 16010 24785
+rect 15958 24721 16010 24727
+rect 15862 7759 15914 7765
+rect 15862 7701 15914 7707
+rect 15766 7463 15818 7469
+rect 15766 7405 15818 7411
+rect 15670 4577 15722 4583
+rect 15670 4519 15722 4525
+rect 15778 3640 15806 7405
+rect 15970 7099 15998 24721
+rect 16054 16935 16106 16941
+rect 16054 16877 16106 16883
+rect 16066 16497 16094 16877
+rect 16054 16491 16106 16497
+rect 16054 16433 16106 16439
+rect 16162 9116 16190 56837
+rect 17026 56531 17054 59200
+rect 17506 56975 17534 59200
+rect 17494 56969 17546 56975
+rect 17494 56911 17546 56917
+rect 17974 56895 18026 56901
+rect 17974 56837 18026 56843
+rect 17014 56525 17066 56531
+rect 17014 56467 17066 56473
+rect 17206 56229 17258 56235
+rect 17206 56171 17258 56177
+rect 17878 56229 17930 56235
+rect 17878 56171 17930 56177
+rect 17218 41583 17246 56171
+rect 17206 41577 17258 41583
+rect 17206 41519 17258 41525
+rect 16630 28183 16682 28189
+rect 16630 28125 16682 28131
+rect 16534 26481 16586 26487
+rect 16534 26423 16586 26429
+rect 16246 20561 16298 20567
+rect 16246 20503 16298 20509
+rect 16066 9088 16190 9116
+rect 16066 8801 16094 9088
+rect 16150 8943 16202 8949
+rect 16150 8885 16202 8891
+rect 16054 8795 16106 8801
+rect 16054 8737 16106 8743
+rect 16054 8277 16106 8283
+rect 16054 8219 16106 8225
+rect 15958 7093 16010 7099
+rect 15958 7035 16010 7041
+rect 15862 5687 15914 5693
+rect 15862 5629 15914 5635
+rect 15682 3612 15806 3640
+rect 15574 1765 15626 1771
+rect 15574 1707 15626 1713
+rect 15682 800 15710 3612
+rect 15874 2900 15902 5629
+rect 15958 4355 16010 4361
+rect 15958 4297 16010 4303
+rect 15778 2872 15902 2900
+rect 15778 800 15806 2872
+rect 15970 2160 15998 4297
+rect 15874 2132 15998 2160
+rect 15874 800 15902 2132
+rect 16066 800 16094 8219
+rect 16162 7691 16190 8885
+rect 16258 8431 16286 20503
+rect 16342 12865 16394 12871
+rect 16342 12807 16394 12813
+rect 16246 8425 16298 8431
+rect 16246 8367 16298 8373
+rect 16150 7685 16202 7691
+rect 16150 7627 16202 7633
+rect 16354 6433 16382 12807
+rect 16438 8277 16490 8283
+rect 16438 8219 16490 8225
+rect 16342 6427 16394 6433
+rect 16342 6369 16394 6375
+rect 16150 5687 16202 5693
+rect 16150 5629 16202 5635
+rect 16162 800 16190 5629
+rect 16246 5021 16298 5027
+rect 16246 4963 16298 4969
+rect 16258 4139 16286 4963
+rect 16342 4355 16394 4361
+rect 16342 4297 16394 4303
+rect 16246 4133 16298 4139
+rect 16246 4075 16298 4081
+rect 16354 3788 16382 4297
+rect 16258 3760 16382 3788
+rect 16258 800 16286 3760
+rect 16450 3640 16478 8219
+rect 16546 4583 16574 26423
+rect 16534 4577 16586 4583
+rect 16534 4519 16586 4525
+rect 16642 4509 16670 28125
+rect 17890 17294 17918 56171
+rect 17794 17266 17918 17294
+rect 17794 14869 17822 17266
+rect 17986 15332 18014 56837
+rect 18082 56531 18110 59200
+rect 18562 56531 18590 59200
+rect 19138 56975 19166 59200
+rect 19618 57614 19646 59200
+rect 19618 57586 20030 57614
+rect 19126 56969 19178 56975
+rect 19126 56911 19178 56917
+rect 19318 56895 19370 56901
+rect 19318 56837 19370 56843
+rect 18070 56525 18122 56531
+rect 18070 56467 18122 56473
+rect 18550 56525 18602 56531
+rect 18550 56467 18602 56473
+rect 18838 54157 18890 54163
+rect 18838 54099 18890 54105
+rect 18070 53417 18122 53423
+rect 18070 53359 18122 53365
+rect 17890 15304 18014 15332
+rect 17782 14863 17834 14869
+rect 17782 14805 17834 14811
+rect 17782 12939 17834 12945
+rect 17782 12881 17834 12887
+rect 17302 12125 17354 12131
+rect 17302 12067 17354 12073
+rect 17014 11681 17066 11687
+rect 17014 11623 17066 11629
+rect 17026 8431 17054 11623
+rect 17014 8425 17066 8431
+rect 17014 8367 17066 8373
+rect 17314 7099 17342 12067
+rect 17686 11533 17738 11539
+rect 17686 11475 17738 11481
+rect 17302 7093 17354 7099
+rect 17302 7035 17354 7041
+rect 17110 6945 17162 6951
+rect 17110 6887 17162 6893
+rect 16726 6131 16778 6137
+rect 16726 6073 16778 6079
+rect 16630 4503 16682 4509
+rect 16630 4445 16682 4451
+rect 16534 3837 16586 3843
+rect 16534 3779 16586 3785
+rect 16354 3612 16478 3640
+rect 16354 800 16382 3612
+rect 16546 800 16574 3779
+rect 16630 3023 16682 3029
+rect 16630 2965 16682 2971
+rect 16642 800 16670 2965
+rect 16738 800 16766 6073
+rect 16822 4429 16874 4435
+rect 16822 4371 16874 4377
+rect 16834 3251 16862 4371
+rect 16918 4281 16970 4287
+rect 16918 4223 16970 4229
+rect 16822 3245 16874 3251
+rect 16822 3187 16874 3193
+rect 16930 800 16958 4223
+rect 17014 3023 17066 3029
+rect 17014 2965 17066 2971
+rect 17026 800 17054 2965
+rect 17122 800 17150 6887
+rect 17698 6433 17726 11475
+rect 17686 6427 17738 6433
+rect 17686 6369 17738 6375
+rect 17302 5687 17354 5693
+rect 17302 5629 17354 5635
+rect 17314 3843 17342 5629
+rect 17494 5021 17546 5027
+rect 17494 4963 17546 4969
+rect 17302 3837 17354 3843
+rect 17302 3779 17354 3785
+rect 17398 3689 17450 3695
+rect 17398 3631 17450 3637
+rect 17302 3541 17354 3547
+rect 17302 3483 17354 3489
+rect 17314 2900 17342 3483
+rect 17218 2872 17342 2900
+rect 17218 800 17246 2872
+rect 17410 800 17438 3631
+rect 17506 3547 17534 4963
+rect 17686 4503 17738 4509
+rect 17686 4445 17738 4451
+rect 17590 4281 17642 4287
+rect 17590 4223 17642 4229
+rect 17494 3541 17546 3547
+rect 17494 3483 17546 3489
+rect 17494 3097 17546 3103
+rect 17494 3039 17546 3045
+rect 17506 800 17534 3039
+rect 17602 800 17630 4223
+rect 17698 3251 17726 4445
+rect 17794 3843 17822 12881
+rect 17890 9615 17918 15304
+rect 17974 15233 18026 15239
+rect 17974 15175 18026 15181
+rect 17878 9609 17930 9615
+rect 17878 9551 17930 9557
+rect 17986 7913 18014 15175
+rect 17974 7907 18026 7913
+rect 17974 7849 18026 7855
+rect 18082 7099 18110 53359
+rect 18166 51419 18218 51425
+rect 18166 51361 18218 51367
+rect 18178 37454 18206 51361
+rect 18178 37426 18302 37454
+rect 18166 19821 18218 19827
+rect 18166 19763 18218 19769
+rect 18178 12871 18206 19763
+rect 18166 12865 18218 12871
+rect 18166 12807 18218 12813
+rect 18274 12427 18302 37426
+rect 18358 27887 18410 27893
+rect 18358 27829 18410 27835
+rect 18262 12421 18314 12427
+rect 18262 12363 18314 12369
+rect 18070 7093 18122 7099
+rect 18070 7035 18122 7041
+rect 17878 6945 17930 6951
+rect 17878 6887 17930 6893
+rect 17782 3837 17834 3843
+rect 17782 3779 17834 3785
+rect 17686 3245 17738 3251
+rect 17686 3187 17738 3193
+rect 17686 2949 17738 2955
+rect 17686 2891 17738 2897
+rect 17698 800 17726 2891
+rect 17890 800 17918 6887
+rect 18370 6433 18398 27829
+rect 18550 6945 18602 6951
+rect 18550 6887 18602 6893
+rect 18358 6427 18410 6433
+rect 18358 6369 18410 6375
+rect 18166 6131 18218 6137
+rect 18166 6073 18218 6079
+rect 18454 6131 18506 6137
+rect 18454 6073 18506 6079
+rect 17974 5021 18026 5027
+rect 17974 4963 18026 4969
+rect 17986 800 18014 4963
+rect 18070 3689 18122 3695
+rect 18070 3631 18122 3637
+rect 18082 800 18110 3631
+rect 18178 3103 18206 6073
+rect 18466 3788 18494 6073
+rect 18274 3760 18494 3788
+rect 18166 3097 18218 3103
+rect 18166 3039 18218 3045
+rect 18274 800 18302 3760
+rect 18454 3689 18506 3695
+rect 18454 3631 18506 3637
+rect 18358 3097 18410 3103
+rect 18358 3039 18410 3045
+rect 18370 800 18398 3039
+rect 18466 800 18494 3631
+rect 18562 800 18590 6887
+rect 18850 6581 18878 54099
+rect 19222 29515 19274 29521
+rect 19222 29457 19274 29463
+rect 18934 28553 18986 28559
+rect 18934 28495 18986 28501
+rect 18946 7099 18974 28495
+rect 19234 7214 19262 29457
+rect 19330 8875 19358 56837
+rect 19628 56638 19924 56658
+rect 19684 56636 19708 56638
+rect 19764 56636 19788 56638
+rect 19844 56636 19868 56638
+rect 19706 56584 19708 56636
+rect 19770 56584 19782 56636
+rect 19844 56584 19846 56636
+rect 19684 56582 19708 56584
+rect 19764 56582 19788 56584
+rect 19844 56582 19868 56584
+rect 19628 56562 19924 56582
+rect 20002 56531 20030 57586
+rect 19990 56525 20042 56531
+rect 19990 56467 20042 56473
+rect 20194 55717 20222 59200
+rect 20674 56975 20702 59200
+rect 20662 56969 20714 56975
+rect 20662 56911 20714 56917
+rect 20854 56895 20906 56901
+rect 20854 56837 20906 56843
+rect 20374 56229 20426 56235
+rect 20374 56171 20426 56177
+rect 20182 55711 20234 55717
+rect 20182 55653 20234 55659
+rect 19990 55415 20042 55421
+rect 19990 55357 20042 55363
+rect 19628 55306 19924 55326
+rect 19684 55304 19708 55306
+rect 19764 55304 19788 55306
+rect 19844 55304 19868 55306
+rect 19706 55252 19708 55304
+rect 19770 55252 19782 55304
+rect 19844 55252 19846 55304
+rect 19684 55250 19708 55252
+rect 19764 55250 19788 55252
+rect 19844 55250 19868 55252
+rect 19628 55230 19924 55250
+rect 19628 53974 19924 53994
+rect 19684 53972 19708 53974
+rect 19764 53972 19788 53974
+rect 19844 53972 19868 53974
+rect 19706 53920 19708 53972
+rect 19770 53920 19782 53972
+rect 19844 53920 19846 53972
+rect 19684 53918 19708 53920
+rect 19764 53918 19788 53920
+rect 19844 53918 19868 53920
+rect 19628 53898 19924 53918
+rect 19628 52642 19924 52662
+rect 19684 52640 19708 52642
+rect 19764 52640 19788 52642
+rect 19844 52640 19868 52642
+rect 19706 52588 19708 52640
+rect 19770 52588 19782 52640
+rect 19844 52588 19846 52640
+rect 19684 52586 19708 52588
+rect 19764 52586 19788 52588
+rect 19844 52586 19868 52588
+rect 19628 52566 19924 52586
+rect 19628 51310 19924 51330
+rect 19684 51308 19708 51310
+rect 19764 51308 19788 51310
+rect 19844 51308 19868 51310
+rect 19706 51256 19708 51308
+rect 19770 51256 19782 51308
+rect 19844 51256 19846 51308
+rect 19684 51254 19708 51256
+rect 19764 51254 19788 51256
+rect 19844 51254 19868 51256
+rect 19628 51234 19924 51254
+rect 19414 50161 19466 50167
+rect 19414 50103 19466 50109
+rect 19426 11539 19454 50103
+rect 19628 49978 19924 49998
+rect 19684 49976 19708 49978
+rect 19764 49976 19788 49978
+rect 19844 49976 19868 49978
+rect 19706 49924 19708 49976
+rect 19770 49924 19782 49976
+rect 19844 49924 19846 49976
+rect 19684 49922 19708 49924
+rect 19764 49922 19788 49924
+rect 19844 49922 19868 49924
+rect 19628 49902 19924 49922
+rect 19628 48646 19924 48666
+rect 19684 48644 19708 48646
+rect 19764 48644 19788 48646
+rect 19844 48644 19868 48646
+rect 19706 48592 19708 48644
+rect 19770 48592 19782 48644
+rect 19844 48592 19846 48644
+rect 19684 48590 19708 48592
+rect 19764 48590 19788 48592
+rect 19844 48590 19868 48592
+rect 19628 48570 19924 48590
+rect 19628 47314 19924 47334
+rect 19684 47312 19708 47314
+rect 19764 47312 19788 47314
+rect 19844 47312 19868 47314
+rect 19706 47260 19708 47312
+rect 19770 47260 19782 47312
+rect 19844 47260 19846 47312
+rect 19684 47258 19708 47260
+rect 19764 47258 19788 47260
+rect 19844 47258 19868 47260
+rect 19628 47238 19924 47258
+rect 19628 45982 19924 46002
+rect 19684 45980 19708 45982
+rect 19764 45980 19788 45982
+rect 19844 45980 19868 45982
+rect 19706 45928 19708 45980
+rect 19770 45928 19782 45980
+rect 19844 45928 19846 45980
+rect 19684 45926 19708 45928
+rect 19764 45926 19788 45928
+rect 19844 45926 19868 45928
+rect 19628 45906 19924 45926
+rect 19628 44650 19924 44670
+rect 19684 44648 19708 44650
+rect 19764 44648 19788 44650
+rect 19844 44648 19868 44650
+rect 19706 44596 19708 44648
+rect 19770 44596 19782 44648
+rect 19844 44596 19846 44648
+rect 19684 44594 19708 44596
+rect 19764 44594 19788 44596
+rect 19844 44594 19868 44596
+rect 19628 44574 19924 44594
+rect 19628 43318 19924 43338
+rect 19684 43316 19708 43318
+rect 19764 43316 19788 43318
+rect 19844 43316 19868 43318
+rect 19706 43264 19708 43316
+rect 19770 43264 19782 43316
+rect 19844 43264 19846 43316
+rect 19684 43262 19708 43264
+rect 19764 43262 19788 43264
+rect 19844 43262 19868 43264
+rect 19628 43242 19924 43262
+rect 19628 41986 19924 42006
+rect 19684 41984 19708 41986
+rect 19764 41984 19788 41986
+rect 19844 41984 19868 41986
+rect 19706 41932 19708 41984
+rect 19770 41932 19782 41984
+rect 19844 41932 19846 41984
+rect 19684 41930 19708 41932
+rect 19764 41930 19788 41932
+rect 19844 41930 19868 41932
+rect 19628 41910 19924 41930
+rect 19628 40654 19924 40674
+rect 19684 40652 19708 40654
+rect 19764 40652 19788 40654
+rect 19844 40652 19868 40654
+rect 19706 40600 19708 40652
+rect 19770 40600 19782 40652
+rect 19844 40600 19846 40652
+rect 19684 40598 19708 40600
+rect 19764 40598 19788 40600
+rect 19844 40598 19868 40600
+rect 19628 40578 19924 40598
+rect 19628 39322 19924 39342
+rect 19684 39320 19708 39322
+rect 19764 39320 19788 39322
+rect 19844 39320 19868 39322
+rect 19706 39268 19708 39320
+rect 19770 39268 19782 39320
+rect 19844 39268 19846 39320
+rect 19684 39266 19708 39268
+rect 19764 39266 19788 39268
+rect 19844 39266 19868 39268
+rect 19628 39246 19924 39266
+rect 19628 37990 19924 38010
+rect 19684 37988 19708 37990
+rect 19764 37988 19788 37990
+rect 19844 37988 19868 37990
+rect 19706 37936 19708 37988
+rect 19770 37936 19782 37988
+rect 19844 37936 19846 37988
+rect 19684 37934 19708 37936
+rect 19764 37934 19788 37936
+rect 19844 37934 19868 37936
+rect 19628 37914 19924 37934
+rect 19628 36658 19924 36678
+rect 19684 36656 19708 36658
+rect 19764 36656 19788 36658
+rect 19844 36656 19868 36658
+rect 19706 36604 19708 36656
+rect 19770 36604 19782 36656
+rect 19844 36604 19846 36656
+rect 19684 36602 19708 36604
+rect 19764 36602 19788 36604
+rect 19844 36602 19868 36604
+rect 19628 36582 19924 36602
+rect 19628 35326 19924 35346
+rect 19684 35324 19708 35326
+rect 19764 35324 19788 35326
+rect 19844 35324 19868 35326
+rect 19706 35272 19708 35324
+rect 19770 35272 19782 35324
+rect 19844 35272 19846 35324
+rect 19684 35270 19708 35272
+rect 19764 35270 19788 35272
+rect 19844 35270 19868 35272
+rect 19628 35250 19924 35270
+rect 19628 33994 19924 34014
+rect 19684 33992 19708 33994
+rect 19764 33992 19788 33994
+rect 19844 33992 19868 33994
+rect 19706 33940 19708 33992
+rect 19770 33940 19782 33992
+rect 19844 33940 19846 33992
+rect 19684 33938 19708 33940
+rect 19764 33938 19788 33940
+rect 19844 33938 19868 33940
+rect 19628 33918 19924 33938
+rect 19628 32662 19924 32682
+rect 19684 32660 19708 32662
+rect 19764 32660 19788 32662
+rect 19844 32660 19868 32662
+rect 19706 32608 19708 32660
+rect 19770 32608 19782 32660
+rect 19844 32608 19846 32660
+rect 19684 32606 19708 32608
+rect 19764 32606 19788 32608
+rect 19844 32606 19868 32608
+rect 19628 32586 19924 32606
+rect 19510 31735 19562 31741
+rect 19510 31677 19562 31683
+rect 19414 11533 19466 11539
+rect 19414 11475 19466 11481
+rect 19318 8869 19370 8875
+rect 19318 8811 19370 8817
+rect 19138 7186 19262 7214
+rect 18934 7093 18986 7099
+rect 18934 7035 18986 7041
+rect 18838 6575 18890 6581
+rect 18838 6517 18890 6523
+rect 18838 6205 18890 6211
+rect 18838 6147 18890 6153
+rect 18934 6205 18986 6211
+rect 18934 6147 18986 6153
+rect 18850 5915 18878 6147
+rect 18838 5909 18890 5915
+rect 18838 5851 18890 5857
+rect 18742 5687 18794 5693
+rect 18742 5629 18794 5635
+rect 18754 800 18782 5629
+rect 18838 5021 18890 5027
+rect 18838 4963 18890 4969
+rect 18850 3103 18878 4963
+rect 18838 3097 18890 3103
+rect 18838 3039 18890 3045
+rect 18838 2949 18890 2955
+rect 18838 2891 18890 2897
+rect 18850 800 18878 2891
+rect 18946 800 18974 6147
+rect 19030 4873 19082 4879
+rect 19030 4815 19082 4821
+rect 19042 800 19070 4815
+rect 19138 3251 19166 7186
+rect 19522 6433 19550 31677
+rect 19628 31330 19924 31350
+rect 19684 31328 19708 31330
+rect 19764 31328 19788 31330
+rect 19844 31328 19868 31330
+rect 19706 31276 19708 31328
+rect 19770 31276 19782 31328
+rect 19844 31276 19846 31328
+rect 19684 31274 19708 31276
+rect 19764 31274 19788 31276
+rect 19844 31274 19868 31276
+rect 19628 31254 19924 31274
+rect 19628 29998 19924 30018
+rect 19684 29996 19708 29998
+rect 19764 29996 19788 29998
+rect 19844 29996 19868 29998
+rect 19706 29944 19708 29996
+rect 19770 29944 19782 29996
+rect 19844 29944 19846 29996
+rect 19684 29942 19708 29944
+rect 19764 29942 19788 29944
+rect 19844 29942 19868 29944
+rect 19628 29922 19924 29942
+rect 19628 28666 19924 28686
+rect 19684 28664 19708 28666
+rect 19764 28664 19788 28666
+rect 19844 28664 19868 28666
+rect 19706 28612 19708 28664
+rect 19770 28612 19782 28664
+rect 19844 28612 19846 28664
+rect 19684 28610 19708 28612
+rect 19764 28610 19788 28612
+rect 19844 28610 19868 28612
+rect 19628 28590 19924 28610
+rect 19628 27334 19924 27354
+rect 19684 27332 19708 27334
+rect 19764 27332 19788 27334
+rect 19844 27332 19868 27334
+rect 19706 27280 19708 27332
+rect 19770 27280 19782 27332
+rect 19844 27280 19846 27332
+rect 19684 27278 19708 27280
+rect 19764 27278 19788 27280
+rect 19844 27278 19868 27280
+rect 19628 27258 19924 27278
+rect 20002 27079 20030 55357
+rect 20182 38247 20234 38253
+rect 20182 38189 20234 38195
+rect 19990 27073 20042 27079
+rect 19990 27015 20042 27021
+rect 19628 26002 19924 26022
+rect 19684 26000 19708 26002
+rect 19764 26000 19788 26002
+rect 19844 26000 19868 26002
+rect 19706 25948 19708 26000
+rect 19770 25948 19782 26000
+rect 19844 25948 19846 26000
+rect 19684 25946 19708 25948
+rect 19764 25946 19788 25948
+rect 19844 25946 19868 25948
+rect 19628 25926 19924 25946
+rect 19628 24670 19924 24690
+rect 19684 24668 19708 24670
+rect 19764 24668 19788 24670
+rect 19844 24668 19868 24670
+rect 19706 24616 19708 24668
+rect 19770 24616 19782 24668
+rect 19844 24616 19846 24668
+rect 19684 24614 19708 24616
+rect 19764 24614 19788 24616
+rect 19844 24614 19868 24616
+rect 19628 24594 19924 24614
+rect 19628 23338 19924 23358
+rect 19684 23336 19708 23338
+rect 19764 23336 19788 23338
+rect 19844 23336 19868 23338
+rect 19706 23284 19708 23336
+rect 19770 23284 19782 23336
+rect 19844 23284 19846 23336
+rect 19684 23282 19708 23284
+rect 19764 23282 19788 23284
+rect 19844 23282 19868 23284
+rect 19628 23262 19924 23282
+rect 19628 22006 19924 22026
+rect 19684 22004 19708 22006
+rect 19764 22004 19788 22006
+rect 19844 22004 19868 22006
+rect 19706 21952 19708 22004
+rect 19770 21952 19782 22004
+rect 19844 21952 19846 22004
+rect 19684 21950 19708 21952
+rect 19764 21950 19788 21952
+rect 19844 21950 19868 21952
+rect 19628 21930 19924 21950
+rect 19628 20674 19924 20694
+rect 19684 20672 19708 20674
+rect 19764 20672 19788 20674
+rect 19844 20672 19868 20674
+rect 19706 20620 19708 20672
+rect 19770 20620 19782 20672
+rect 19844 20620 19846 20672
+rect 19684 20618 19708 20620
+rect 19764 20618 19788 20620
+rect 19844 20618 19868 20620
+rect 19628 20598 19924 20618
+rect 19628 19342 19924 19362
+rect 19684 19340 19708 19342
+rect 19764 19340 19788 19342
+rect 19844 19340 19868 19342
+rect 19706 19288 19708 19340
+rect 19770 19288 19782 19340
+rect 19844 19288 19846 19340
+rect 19684 19286 19708 19288
+rect 19764 19286 19788 19288
+rect 19844 19286 19868 19288
+rect 19628 19266 19924 19286
+rect 19628 18010 19924 18030
+rect 19684 18008 19708 18010
+rect 19764 18008 19788 18010
+rect 19844 18008 19868 18010
+rect 19706 17956 19708 18008
+rect 19770 17956 19782 18008
+rect 19844 17956 19846 18008
+rect 19684 17954 19708 17956
+rect 19764 17954 19788 17956
+rect 19844 17954 19868 17956
+rect 19628 17934 19924 17954
+rect 20194 17294 20222 38189
+rect 20386 18939 20414 56171
+rect 20662 41429 20714 41435
+rect 20662 41371 20714 41377
+rect 20674 41213 20702 41371
+rect 20662 41207 20714 41213
+rect 20662 41149 20714 41155
+rect 20374 18933 20426 18939
+rect 20374 18875 20426 18881
+rect 20194 17266 20318 17294
+rect 20182 16935 20234 16941
+rect 20182 16877 20234 16883
+rect 19628 16678 19924 16698
+rect 19684 16676 19708 16678
+rect 19764 16676 19788 16678
+rect 19844 16676 19868 16678
+rect 19706 16624 19708 16676
+rect 19770 16624 19782 16676
+rect 19844 16624 19846 16676
+rect 19684 16622 19708 16624
+rect 19764 16622 19788 16624
+rect 19844 16622 19868 16624
+rect 19628 16602 19924 16622
+rect 20194 16571 20222 16877
+rect 20182 16565 20234 16571
+rect 20182 16507 20234 16513
+rect 19628 15346 19924 15366
+rect 19684 15344 19708 15346
+rect 19764 15344 19788 15346
+rect 19844 15344 19868 15346
+rect 19706 15292 19708 15344
+rect 19770 15292 19782 15344
+rect 19844 15292 19846 15344
+rect 19684 15290 19708 15292
+rect 19764 15290 19788 15292
+rect 19844 15290 19868 15292
+rect 19628 15270 19924 15290
+rect 19628 14014 19924 14034
+rect 19684 14012 19708 14014
+rect 19764 14012 19788 14014
+rect 19844 14012 19868 14014
+rect 19706 13960 19708 14012
+rect 19770 13960 19782 14012
+rect 19844 13960 19846 14012
+rect 19684 13958 19708 13960
+rect 19764 13958 19788 13960
+rect 19844 13958 19868 13960
+rect 19628 13938 19924 13958
+rect 19628 12682 19924 12702
+rect 19684 12680 19708 12682
+rect 19764 12680 19788 12682
+rect 19844 12680 19868 12682
+rect 19706 12628 19708 12680
+rect 19770 12628 19782 12680
+rect 19844 12628 19846 12680
+rect 19684 12626 19708 12628
+rect 19764 12626 19788 12628
+rect 19844 12626 19868 12628
+rect 19628 12606 19924 12626
+rect 20290 11687 20318 17266
+rect 20758 13901 20810 13907
+rect 20758 13843 20810 13849
+rect 20278 11681 20330 11687
+rect 20278 11623 20330 11629
+rect 19628 11350 19924 11370
+rect 19684 11348 19708 11350
+rect 19764 11348 19788 11350
+rect 19844 11348 19868 11350
+rect 19706 11296 19708 11348
+rect 19770 11296 19782 11348
+rect 19844 11296 19846 11348
+rect 19684 11294 19708 11296
+rect 19764 11294 19788 11296
+rect 19844 11294 19868 11296
+rect 19628 11274 19924 11294
+rect 19628 10018 19924 10038
+rect 19684 10016 19708 10018
+rect 19764 10016 19788 10018
+rect 19844 10016 19868 10018
+rect 19706 9964 19708 10016
+rect 19770 9964 19782 10016
+rect 19844 9964 19846 10016
+rect 19684 9962 19708 9964
+rect 19764 9962 19788 9964
+rect 19844 9962 19868 9964
+rect 19628 9942 19924 9962
+rect 20374 9239 20426 9245
+rect 20374 9181 20426 9187
+rect 19628 8686 19924 8706
+rect 19684 8684 19708 8686
+rect 19764 8684 19788 8686
+rect 19844 8684 19868 8686
+rect 19706 8632 19708 8684
+rect 19770 8632 19782 8684
+rect 19844 8632 19846 8684
+rect 19684 8630 19708 8632
+rect 19764 8630 19788 8632
+rect 19844 8630 19868 8632
+rect 19628 8610 19924 8630
+rect 19628 7354 19924 7374
+rect 19684 7352 19708 7354
+rect 19764 7352 19788 7354
+rect 19844 7352 19868 7354
+rect 19706 7300 19708 7352
+rect 19770 7300 19782 7352
+rect 19844 7300 19846 7352
+rect 19684 7298 19708 7300
+rect 19764 7298 19788 7300
+rect 19844 7298 19868 7300
+rect 19628 7278 19924 7298
+rect 20386 7099 20414 9181
+rect 20374 7093 20426 7099
+rect 20374 7035 20426 7041
+rect 20086 6945 20138 6951
+rect 20086 6887 20138 6893
+rect 20470 6945 20522 6951
+rect 20470 6887 20522 6893
+rect 19510 6427 19562 6433
+rect 19510 6369 19562 6375
+rect 19606 6353 19658 6359
+rect 19606 6295 19658 6301
+rect 19318 6279 19370 6285
+rect 19318 6221 19370 6227
+rect 19222 3689 19274 3695
+rect 19222 3631 19274 3637
+rect 19126 3245 19178 3251
+rect 19126 3187 19178 3193
+rect 19234 800 19262 3631
+rect 19330 800 19358 6221
+rect 19618 6156 19646 6295
+rect 19522 6128 19646 6156
+rect 19414 3171 19466 3177
+rect 19414 3113 19466 3119
+rect 19426 800 19454 3113
+rect 19522 2585 19550 6128
+rect 19628 6022 19924 6042
+rect 19684 6020 19708 6022
+rect 19764 6020 19788 6022
+rect 19844 6020 19868 6022
+rect 19706 5968 19708 6020
+rect 19770 5968 19782 6020
+rect 19844 5968 19846 6020
+rect 19684 5966 19708 5968
+rect 19764 5966 19788 5968
+rect 19844 5966 19868 5968
+rect 19628 5946 19924 5966
+rect 19628 4690 19924 4710
+rect 19684 4688 19708 4690
+rect 19764 4688 19788 4690
+rect 19844 4688 19868 4690
+rect 19706 4636 19708 4688
+rect 19770 4636 19782 4688
+rect 19844 4636 19846 4688
+rect 19684 4634 19708 4636
+rect 19764 4634 19788 4636
+rect 19844 4634 19868 4636
+rect 19628 4614 19924 4634
+rect 19990 3689 20042 3695
+rect 19990 3631 20042 3637
+rect 19628 3358 19924 3378
+rect 19684 3356 19708 3358
+rect 19764 3356 19788 3358
+rect 19844 3356 19868 3358
+rect 19706 3304 19708 3356
+rect 19770 3304 19782 3356
+rect 19844 3304 19846 3356
+rect 19684 3302 19708 3304
+rect 19764 3302 19788 3304
+rect 19844 3302 19868 3304
+rect 19628 3282 19924 3302
+rect 19702 3245 19754 3251
+rect 19702 3187 19754 3193
+rect 19606 3023 19658 3029
+rect 19606 2965 19658 2971
+rect 19510 2579 19562 2585
+rect 19510 2521 19562 2527
+rect 19618 800 19646 2965
+rect 19714 800 19742 3187
+rect 20002 3085 20030 3631
+rect 20098 3251 20126 6887
+rect 20182 5687 20234 5693
+rect 20182 5629 20234 5635
+rect 20086 3245 20138 3251
+rect 20086 3187 20138 3193
+rect 19906 3057 20030 3085
+rect 19798 2949 19850 2955
+rect 19798 2891 19850 2897
+rect 19810 800 19838 2891
+rect 19906 800 19934 3057
+rect 19990 2949 20042 2955
+rect 20194 2937 20222 5629
+rect 20374 5021 20426 5027
+rect 20374 4963 20426 4969
+rect 20278 4355 20330 4361
+rect 20278 4297 20330 4303
+rect 20042 2909 20222 2937
+rect 19990 2891 20042 2897
+rect 20182 2801 20234 2807
+rect 20182 2743 20234 2749
+rect 20086 2579 20138 2585
+rect 20086 2521 20138 2527
+rect 20098 800 20126 2521
+rect 20194 800 20222 2743
+rect 20290 800 20318 4297
+rect 20386 3177 20414 4963
+rect 20374 3171 20426 3177
+rect 20374 3113 20426 3119
+rect 20482 800 20510 6887
+rect 20770 6433 20798 13843
+rect 20866 9023 20894 56837
+rect 21250 56531 21278 59200
+rect 21730 56531 21758 59200
+rect 22306 56975 22334 59200
+rect 22294 56969 22346 56975
+rect 22294 56911 22346 56917
+rect 22294 56821 22346 56827
+rect 22294 56763 22346 56769
+rect 21238 56525 21290 56531
+rect 21238 56467 21290 56473
+rect 21718 56525 21770 56531
+rect 21718 56467 21770 56473
+rect 21430 56229 21482 56235
+rect 21430 56171 21482 56177
+rect 22102 56229 22154 56235
+rect 22102 56171 22154 56177
+rect 20950 44093 21002 44099
+rect 20950 44035 21002 44041
+rect 20962 13833 20990 44035
+rect 21442 40473 21470 56171
+rect 21718 45425 21770 45431
+rect 21718 45367 21770 45373
+rect 21430 40467 21482 40473
+rect 21430 40409 21482 40415
+rect 20950 13827 21002 13833
+rect 20950 13769 21002 13775
+rect 21430 13753 21482 13759
+rect 21430 13695 21482 13701
+rect 20950 9683 21002 9689
+rect 20950 9625 21002 9631
+rect 20854 9017 20906 9023
+rect 20854 8959 20906 8965
+rect 20962 7765 20990 9625
+rect 20950 7759 21002 7765
+rect 20950 7701 21002 7707
+rect 20854 7463 20906 7469
+rect 20854 7405 20906 7411
+rect 20758 6427 20810 6433
+rect 20758 6369 20810 6375
+rect 20566 5687 20618 5693
+rect 20566 5629 20618 5635
+rect 20578 800 20606 5629
+rect 20662 3689 20714 3695
+rect 20866 3640 20894 7405
+rect 21334 7019 21386 7025
+rect 21334 6961 21386 6967
+rect 21238 6945 21290 6951
+rect 21154 6905 21238 6933
+rect 20950 5021 21002 5027
+rect 20950 4963 21002 4969
+rect 20662 3631 20714 3637
+rect 20674 800 20702 3631
+rect 20770 3612 20894 3640
+rect 20770 800 20798 3612
+rect 20962 3011 20990 4963
+rect 21046 4355 21098 4361
+rect 21046 4297 21098 4303
+rect 20866 2983 20990 3011
+rect 20866 2955 20894 2983
+rect 20854 2949 20906 2955
+rect 20854 2891 20906 2897
+rect 20950 2949 21002 2955
+rect 20950 2891 21002 2897
+rect 20962 800 20990 2891
+rect 21058 800 21086 4297
+rect 21154 800 21182 6905
+rect 21238 6887 21290 6893
+rect 21346 5545 21374 6961
+rect 21442 6433 21470 13695
+rect 21730 7173 21758 45367
+rect 21814 17453 21866 17459
+rect 21814 17395 21866 17401
+rect 21826 17163 21854 17395
+rect 21814 17157 21866 17163
+rect 21814 17099 21866 17105
+rect 22114 16423 22142 56171
+rect 22102 16417 22154 16423
+rect 22102 16359 22154 16365
+rect 22306 10873 22334 56763
+rect 22786 56531 22814 59200
+rect 22774 56525 22826 56531
+rect 22774 56467 22826 56473
+rect 22870 56303 22922 56309
+rect 22870 56245 22922 56251
+rect 22882 52535 22910 56245
+rect 22966 56229 23018 56235
+rect 22966 56171 23018 56177
+rect 22870 52529 22922 52535
+rect 22870 52471 22922 52477
+rect 22774 47571 22826 47577
+rect 22774 47513 22826 47519
+rect 22390 36175 22442 36181
+rect 22390 36117 22442 36123
+rect 22402 12279 22430 36117
+rect 22678 26777 22730 26783
+rect 22678 26719 22730 26725
+rect 22486 16491 22538 16497
+rect 22486 16433 22538 16439
+rect 22390 12273 22442 12279
+rect 22390 12215 22442 12221
+rect 22294 10867 22346 10873
+rect 22294 10809 22346 10815
+rect 21718 7167 21770 7173
+rect 21718 7109 21770 7115
+rect 22006 6945 22058 6951
+rect 21922 6905 22006 6933
+rect 21430 6427 21482 6433
+rect 21430 6369 21482 6375
+rect 21526 6131 21578 6137
+rect 21526 6073 21578 6079
+rect 21334 5539 21386 5545
+rect 21334 5481 21386 5487
+rect 21238 4281 21290 4287
+rect 21238 4223 21290 4229
+rect 21250 800 21278 4223
+rect 21430 3023 21482 3029
+rect 21430 2965 21482 2971
+rect 21442 800 21470 2965
+rect 21538 800 21566 6073
+rect 21718 5687 21770 5693
+rect 21718 5629 21770 5635
+rect 21622 5613 21674 5619
+rect 21622 5555 21674 5561
+rect 21634 800 21662 5555
+rect 21730 2955 21758 5629
+rect 21814 4355 21866 4361
+rect 21814 4297 21866 4303
+rect 21718 2949 21770 2955
+rect 21718 2891 21770 2897
+rect 21826 800 21854 4297
+rect 21922 800 21950 6905
+rect 22006 6887 22058 6893
+rect 22498 6359 22526 16433
+rect 22690 7099 22718 26719
+rect 22678 7093 22730 7099
+rect 22678 7035 22730 7041
+rect 22678 6945 22730 6951
+rect 22594 6905 22678 6933
+rect 22486 6353 22538 6359
+rect 22486 6295 22538 6301
+rect 22390 6205 22442 6211
+rect 22390 6147 22442 6153
+rect 22294 4207 22346 4213
+rect 22294 4149 22346 4155
+rect 22306 3917 22334 4149
+rect 22294 3911 22346 3917
+rect 22294 3853 22346 3859
+rect 22102 3689 22154 3695
+rect 22102 3631 22154 3637
+rect 22006 3171 22058 3177
+rect 22006 3113 22058 3119
+rect 22018 800 22046 3113
+rect 22114 800 22142 3631
+rect 22402 3196 22430 6147
+rect 22486 4133 22538 4139
+rect 22486 4075 22538 4081
+rect 22498 3769 22526 4075
+rect 22486 3763 22538 3769
+rect 22486 3705 22538 3711
+rect 22306 3168 22430 3196
+rect 22306 800 22334 3168
+rect 22390 3097 22442 3103
+rect 22390 3039 22442 3045
+rect 22402 800 22430 3039
+rect 22486 2949 22538 2955
+rect 22486 2891 22538 2897
+rect 22498 800 22526 2891
+rect 22594 800 22622 6905
+rect 22678 6887 22730 6893
+rect 22786 6581 22814 47513
+rect 22978 46837 23006 56171
+rect 23362 55717 23390 59200
+rect 23842 56975 23870 59200
+rect 23830 56969 23882 56975
+rect 23830 56911 23882 56917
+rect 24418 56531 24446 59200
+rect 24406 56525 24458 56531
+rect 24406 56467 24458 56473
+rect 24406 56229 24458 56235
+rect 24406 56171 24458 56177
+rect 23350 55711 23402 55717
+rect 23350 55653 23402 55659
+rect 23158 55415 23210 55421
+rect 23158 55357 23210 55363
+rect 22966 46831 23018 46837
+rect 22966 46773 23018 46779
+rect 23170 9911 23198 55357
+rect 23734 48089 23786 48095
+rect 23734 48031 23786 48037
+rect 23746 47873 23774 48031
+rect 23734 47867 23786 47873
+rect 23734 47809 23786 47815
+rect 23830 46757 23882 46763
+rect 23830 46699 23882 46705
+rect 23842 46467 23870 46699
+rect 23830 46461 23882 46467
+rect 23830 46403 23882 46409
+rect 24418 40399 24446 56171
+rect 24898 55717 24926 59200
+rect 25474 56975 25502 59200
+rect 25462 56969 25514 56975
+rect 25462 56911 25514 56917
+rect 25954 56531 25982 59200
+rect 26530 56531 26558 59200
+rect 27010 56975 27038 59200
+rect 26998 56969 27050 56975
+rect 26998 56911 27050 56917
+rect 27094 56895 27146 56901
+rect 27094 56837 27146 56843
+rect 25942 56525 25994 56531
+rect 25942 56467 25994 56473
+rect 26518 56525 26570 56531
+rect 26518 56467 26570 56473
+rect 25174 56377 25226 56383
+rect 25174 56319 25226 56325
+rect 24886 55711 24938 55717
+rect 24886 55653 24938 55659
+rect 24982 55563 25034 55569
+rect 24982 55505 25034 55511
+rect 24406 40393 24458 40399
+rect 24406 40335 24458 40341
+rect 24994 30853 25022 55505
+rect 25078 47793 25130 47799
+rect 25078 47735 25130 47741
+rect 24982 30847 25034 30853
+rect 24982 30789 25034 30795
+rect 23734 23891 23786 23897
+rect 23734 23833 23786 23839
+rect 23158 9905 23210 9911
+rect 23158 9847 23210 9853
+rect 23746 9245 23774 23833
+rect 23926 22781 23978 22787
+rect 23926 22723 23978 22729
+rect 23734 9239 23786 9245
+rect 23734 9181 23786 9187
+rect 23938 7765 23966 22723
+rect 24694 12939 24746 12945
+rect 24694 12881 24746 12887
+rect 24214 11533 24266 11539
+rect 24214 11475 24266 11481
+rect 23926 7759 23978 7765
+rect 23926 7701 23978 7707
+rect 24118 7759 24170 7765
+rect 24118 7701 24170 7707
+rect 22870 7537 22922 7543
+rect 22870 7479 22922 7485
+rect 22774 6575 22826 6581
+rect 22774 6517 22826 6523
+rect 22882 5471 22910 7479
+rect 23734 7463 23786 7469
+rect 23734 7405 23786 7411
+rect 23350 6797 23402 6803
+rect 23350 6739 23402 6745
+rect 22966 6279 23018 6285
+rect 22966 6221 23018 6227
+rect 22870 5465 22922 5471
+rect 22870 5407 22922 5413
+rect 22774 5021 22826 5027
+rect 22774 4963 22826 4969
+rect 22786 4287 22814 4963
+rect 22774 4281 22826 4287
+rect 22774 4223 22826 4229
+rect 22870 3689 22922 3695
+rect 22870 3631 22922 3637
+rect 22774 3245 22826 3251
+rect 22774 3187 22826 3193
+rect 22786 800 22814 3187
+rect 22882 800 22910 3631
+rect 22978 800 23006 6221
+rect 23062 5687 23114 5693
+rect 23062 5629 23114 5635
+rect 23074 3251 23102 5629
+rect 23158 4947 23210 4953
+rect 23158 4889 23210 4895
+rect 23062 3245 23114 3251
+rect 23062 3187 23114 3193
+rect 23170 800 23198 4889
+rect 23254 4355 23306 4361
+rect 23254 4297 23306 4303
+rect 23266 800 23294 4297
+rect 23362 800 23390 6739
+rect 23446 5687 23498 5693
+rect 23446 5629 23498 5635
+rect 23458 800 23486 5629
+rect 23542 5021 23594 5027
+rect 23542 4963 23594 4969
+rect 23554 3103 23582 4963
+rect 23638 3689 23690 3695
+rect 23638 3631 23690 3637
+rect 23542 3097 23594 3103
+rect 23542 3039 23594 3045
+rect 23650 800 23678 3631
+rect 23746 800 23774 7405
+rect 24022 4355 24074 4361
+rect 24022 4297 24074 4303
+rect 24034 3177 24062 4297
+rect 24022 3171 24074 3177
+rect 24022 3113 24074 3119
+rect 23830 3097 23882 3103
+rect 23830 3039 23882 3045
+rect 23842 800 23870 3039
+rect 24022 3023 24074 3029
+rect 24022 2965 24074 2971
+rect 24034 800 24062 2965
+rect 24130 800 24158 7701
+rect 24226 7099 24254 11475
+rect 24598 9757 24650 9763
+rect 24598 9699 24650 9705
+rect 24214 7093 24266 7099
+rect 24214 7035 24266 7041
+rect 24502 6945 24554 6951
+rect 24502 6887 24554 6893
+rect 24214 4281 24266 4287
+rect 24214 4223 24266 4229
+rect 24226 800 24254 4223
+rect 24406 3689 24458 3695
+rect 24406 3631 24458 3637
+rect 24418 1568 24446 3631
+rect 24322 1540 24446 1568
+rect 24322 800 24350 1540
+rect 24514 800 24542 6887
+rect 24610 6433 24638 9699
+rect 24706 7765 24734 12881
+rect 25090 7913 25118 47735
+rect 25186 37217 25214 56319
+rect 26134 56229 26186 56235
+rect 26134 56171 26186 56177
+rect 26518 56229 26570 56235
+rect 26518 56171 26570 56177
+rect 26038 54897 26090 54903
+rect 26038 54839 26090 54845
+rect 25174 37211 25226 37217
+rect 25174 37153 25226 37159
+rect 25654 34843 25706 34849
+rect 25654 34785 25706 34791
+rect 25078 7907 25130 7913
+rect 25078 7849 25130 7855
+rect 24694 7759 24746 7765
+rect 24694 7701 24746 7707
+rect 25090 7691 25118 7849
+rect 25078 7685 25130 7691
+rect 25078 7627 25130 7633
+rect 24790 7463 24842 7469
+rect 24790 7405 24842 7411
+rect 25558 7463 25610 7469
+rect 25558 7405 25610 7411
+rect 24598 6427 24650 6433
+rect 24598 6369 24650 6375
+rect 24598 5687 24650 5693
+rect 24598 5629 24650 5635
+rect 24610 800 24638 5629
+rect 24694 3763 24746 3769
+rect 24694 3705 24746 3711
+rect 24706 800 24734 3705
+rect 24802 800 24830 7405
+rect 25174 6871 25226 6877
+rect 25174 6813 25226 6819
+rect 25078 5021 25130 5027
+rect 25078 4963 25130 4969
+rect 24982 3171 25034 3177
+rect 24982 3113 25034 3119
+rect 24994 800 25022 3113
+rect 25090 3103 25118 4963
+rect 25078 3097 25130 3103
+rect 25078 3039 25130 3045
+rect 25078 2949 25130 2955
+rect 25078 2891 25130 2897
+rect 25090 800 25118 2891
+rect 25186 800 25214 6813
+rect 25462 4355 25514 4361
+rect 25462 4297 25514 4303
+rect 25366 3097 25418 3103
+rect 25366 3039 25418 3045
+rect 25378 800 25406 3039
+rect 25474 800 25502 4297
+rect 25570 800 25598 7405
+rect 25666 7099 25694 34785
+rect 26050 34553 26078 54839
+rect 26146 51573 26174 56171
+rect 26134 51567 26186 51573
+rect 26134 51509 26186 51515
+rect 26038 34547 26090 34553
+rect 26038 34489 26090 34495
+rect 26422 30773 26474 30779
+rect 26422 30715 26474 30721
+rect 26230 17897 26282 17903
+rect 26230 17839 26282 17845
+rect 26242 7765 26270 17839
+rect 26230 7759 26282 7765
+rect 26230 7701 26282 7707
+rect 26434 7099 26462 30715
+rect 26530 10799 26558 56171
+rect 27106 12205 27134 56837
+rect 27586 56531 27614 59200
+rect 28066 56531 28094 59200
+rect 28642 56975 28670 59200
+rect 29122 57049 29150 59200
+rect 29110 57043 29162 57049
+rect 29110 56985 29162 56991
+rect 28630 56969 28682 56975
+rect 28630 56911 28682 56917
+rect 29698 56531 29726 59200
+rect 30178 56957 30206 59200
+rect 30262 56969 30314 56975
+rect 30178 56929 30262 56957
+rect 30262 56911 30314 56917
+rect 30070 56895 30122 56901
+rect 30070 56837 30122 56843
+rect 27574 56525 27626 56531
+rect 27574 56467 27626 56473
+rect 28054 56525 28106 56531
+rect 28054 56467 28106 56473
+rect 29686 56525 29738 56531
+rect 29686 56467 29738 56473
+rect 28342 56451 28394 56457
+rect 28342 56393 28394 56399
+rect 27478 56229 27530 56235
+rect 27478 56171 27530 56177
+rect 28150 56229 28202 56235
+rect 28150 56171 28202 56177
+rect 27190 50753 27242 50759
+rect 27190 50695 27242 50701
+rect 27094 12199 27146 12205
+rect 27094 12141 27146 12147
+rect 26518 10793 26570 10799
+rect 26518 10735 26570 10741
+rect 26614 10275 26666 10281
+rect 26614 10217 26666 10223
+rect 25654 7093 25706 7099
+rect 25654 7035 25706 7041
+rect 26422 7093 26474 7099
+rect 26422 7035 26474 7041
+rect 25942 6797 25994 6803
+rect 25942 6739 25994 6745
+rect 25654 6353 25706 6359
+rect 25654 6295 25706 6301
+rect 25666 800 25694 6295
+rect 25846 5021 25898 5027
+rect 25846 4963 25898 4969
+rect 25858 4287 25886 4963
+rect 25846 4281 25898 4287
+rect 25846 4223 25898 4229
+rect 25846 3837 25898 3843
+rect 25846 3779 25898 3785
+rect 25858 800 25886 3779
+rect 25954 800 25982 6739
+rect 26626 6507 26654 10217
+rect 26710 7463 26762 7469
+rect 26710 7405 26762 7411
+rect 26614 6501 26666 6507
+rect 26614 6443 26666 6449
+rect 26326 6131 26378 6137
+rect 26326 6073 26378 6079
+rect 26230 5687 26282 5693
+rect 26230 5629 26282 5635
+rect 26038 5613 26090 5619
+rect 26038 5555 26090 5561
+rect 26050 800 26078 5555
+rect 26134 4355 26186 4361
+rect 26134 4297 26186 4303
+rect 26146 800 26174 4297
+rect 26242 3103 26270 5629
+rect 26230 3097 26282 3103
+rect 26230 3039 26282 3045
+rect 26338 800 26366 6073
+rect 26614 5021 26666 5027
+rect 26614 4963 26666 4969
+rect 26518 4355 26570 4361
+rect 26518 4297 26570 4303
+rect 26422 4281 26474 4287
+rect 26422 4223 26474 4229
+rect 26434 800 26462 4223
+rect 26530 800 26558 4297
+rect 26626 3177 26654 4963
+rect 26614 3171 26666 3177
+rect 26614 3113 26666 3119
+rect 26722 800 26750 7405
+rect 27202 7099 27230 50695
+rect 27382 9757 27434 9763
+rect 27382 9699 27434 9705
+rect 27394 9245 27422 9699
+rect 27382 9239 27434 9245
+rect 27382 9181 27434 9187
+rect 27190 7093 27242 7099
+rect 27190 7035 27242 7041
+rect 26998 6871 27050 6877
+rect 26998 6813 27050 6819
+rect 26806 6353 26858 6359
+rect 26806 6295 26858 6301
+rect 26818 800 26846 6295
+rect 26902 3023 26954 3029
+rect 26902 2965 26954 2971
+rect 26914 800 26942 2965
+rect 27010 800 27038 6813
+rect 27382 5687 27434 5693
+rect 27382 5629 27434 5635
+rect 27394 3788 27422 5629
+rect 27490 4583 27518 56171
+rect 28162 26117 28190 56171
+rect 28246 52085 28298 52091
+rect 28246 52027 28298 52033
+rect 28150 26111 28202 26117
+rect 28150 26053 28202 26059
+rect 28054 21449 28106 21455
+rect 28054 21391 28106 21397
+rect 28066 19457 28094 21391
+rect 28054 19451 28106 19457
+rect 28054 19393 28106 19399
+rect 28258 13019 28286 52027
+rect 28354 18569 28382 56393
+rect 29302 56229 29354 56235
+rect 29302 56171 29354 56177
+rect 28438 41133 28490 41139
+rect 28438 41075 28490 41081
+rect 28342 18563 28394 18569
+rect 28342 18505 28394 18511
+rect 28246 13013 28298 13019
+rect 28246 12955 28298 12961
+rect 28450 12945 28478 41075
+rect 28630 36915 28682 36921
+rect 28630 36857 28682 36863
+rect 28438 12939 28490 12945
+rect 28438 12881 28490 12887
+rect 27958 12273 28010 12279
+rect 27958 12215 28010 12221
+rect 27970 7099 27998 12215
+rect 28246 9905 28298 9911
+rect 28246 9847 28298 9853
+rect 28150 7463 28202 7469
+rect 28150 7405 28202 7411
+rect 27958 7093 28010 7099
+rect 27958 7035 28010 7041
+rect 27766 6871 27818 6877
+rect 27766 6813 27818 6819
+rect 27574 6205 27626 6211
+rect 27574 6147 27626 6153
+rect 27478 4577 27530 4583
+rect 27478 4519 27530 4525
+rect 27202 3760 27422 3788
+rect 27202 800 27230 3760
+rect 27286 3689 27338 3695
+rect 27286 3631 27338 3637
+rect 27298 800 27326 3631
+rect 27586 3196 27614 6147
+rect 27394 3168 27614 3196
+rect 27394 800 27422 3168
+rect 27478 3097 27530 3103
+rect 27478 3039 27530 3045
+rect 27490 800 27518 3039
+rect 27670 2949 27722 2955
+rect 27670 2891 27722 2897
+rect 27682 800 27710 2891
+rect 27778 800 27806 6813
+rect 27862 5687 27914 5693
+rect 27862 5629 27914 5635
+rect 27874 800 27902 5629
+rect 28054 5021 28106 5027
+rect 28054 4963 28106 4969
+rect 28066 4287 28094 4963
+rect 28054 4281 28106 4287
+rect 28054 4223 28106 4229
+rect 28054 3541 28106 3547
+rect 28054 3483 28106 3489
+rect 28066 800 28094 3483
+rect 28162 800 28190 7405
+rect 28258 6433 28286 9847
+rect 28342 9831 28394 9837
+rect 28342 9773 28394 9779
+rect 28354 7765 28382 9773
+rect 28342 7759 28394 7765
+rect 28342 7701 28394 7707
+rect 28642 7099 28670 36857
+rect 28918 19155 28970 19161
+rect 28918 19097 28970 19103
+rect 28930 11539 28958 19097
+rect 29014 12199 29066 12205
+rect 29014 12141 29066 12147
+rect 28918 11533 28970 11539
+rect 28918 11475 28970 11481
+rect 28630 7093 28682 7099
+rect 28630 7035 28682 7041
+rect 28534 6871 28586 6877
+rect 28534 6813 28586 6819
+rect 28246 6427 28298 6433
+rect 28246 6369 28298 6375
+rect 28342 4355 28394 4361
+rect 28342 4297 28394 4303
+rect 28246 3171 28298 3177
+rect 28246 3113 28298 3119
+rect 28258 800 28286 3113
+rect 28354 800 28382 4297
+rect 28546 800 28574 6813
+rect 29026 6433 29054 12141
+rect 29314 11909 29342 56171
+rect 29494 36915 29546 36921
+rect 29494 36857 29546 36863
+rect 29398 14419 29450 14425
+rect 29398 14361 29450 14367
+rect 29302 11903 29354 11909
+rect 29302 11845 29354 11851
+rect 29410 7765 29438 14361
+rect 29506 10355 29534 36857
+rect 29782 20117 29834 20123
+rect 29782 20059 29834 20065
+rect 29794 19827 29822 20059
+rect 29782 19821 29834 19827
+rect 29782 19763 29834 19769
+rect 30082 17294 30110 56837
+rect 30658 56531 30686 59200
+rect 31234 56531 31262 59200
+rect 31714 56975 31742 59200
+rect 31702 56969 31754 56975
+rect 31702 56911 31754 56917
+rect 32290 56531 32318 59200
+rect 32662 56895 32714 56901
+rect 32662 56837 32714 56843
+rect 30646 56525 30698 56531
+rect 30646 56467 30698 56473
+rect 31222 56525 31274 56531
+rect 31222 56467 31274 56473
+rect 32278 56525 32330 56531
+rect 32278 56467 32330 56473
+rect 30838 56229 30890 56235
+rect 30838 56171 30890 56177
+rect 30262 35583 30314 35589
+rect 30262 35525 30314 35531
+rect 30166 22263 30218 22269
+rect 30166 22205 30218 22211
+rect 29986 17266 30110 17294
+rect 29986 13611 30014 17266
+rect 29974 13605 30026 13611
+rect 30178 13556 30206 22205
+rect 29974 13547 30026 13553
+rect 30082 13528 30206 13556
+rect 30082 10429 30110 13528
+rect 30166 13457 30218 13463
+rect 30166 13399 30218 13405
+rect 30070 10423 30122 10429
+rect 30070 10365 30122 10371
+rect 29494 10349 29546 10355
+rect 29494 10291 29546 10297
+rect 30178 9615 30206 13399
+rect 30166 9609 30218 9615
+rect 30166 9551 30218 9557
+rect 30274 9023 30302 35525
+rect 30646 24113 30698 24119
+rect 30646 24055 30698 24061
+rect 30262 9017 30314 9023
+rect 30262 8959 30314 8965
+rect 30166 8795 30218 8801
+rect 30166 8737 30218 8743
+rect 30178 7765 30206 8737
+rect 29398 7759 29450 7765
+rect 29398 7701 29450 7707
+rect 30166 7759 30218 7765
+rect 30166 7701 30218 7707
+rect 29206 7463 29258 7469
+rect 29206 7405 29258 7411
+rect 29590 7463 29642 7469
+rect 29590 7405 29642 7411
+rect 29014 6427 29066 6433
+rect 29014 6369 29066 6375
+rect 28822 5687 28874 5693
+rect 28822 5629 28874 5635
+rect 28834 3936 28862 5629
+rect 28918 5021 28970 5027
+rect 28918 4963 28970 4969
+rect 28642 3908 28862 3936
+rect 28642 800 28670 3908
+rect 28726 3763 28778 3769
+rect 28726 3705 28778 3711
+rect 28738 800 28766 3705
+rect 28822 3245 28874 3251
+rect 28822 3187 28874 3193
+rect 28834 2937 28862 3187
+rect 28930 3103 28958 4963
+rect 29110 4355 29162 4361
+rect 29110 4297 29162 4303
+rect 29014 3911 29066 3917
+rect 29014 3853 29066 3859
+rect 28918 3097 28970 3103
+rect 28918 3039 28970 3045
+rect 28834 2909 28958 2937
+rect 28930 800 28958 2909
+rect 29026 800 29054 3853
+rect 29122 800 29150 4297
+rect 29218 800 29246 7405
+rect 29494 6945 29546 6951
+rect 29494 6887 29546 6893
+rect 29506 6581 29534 6887
+rect 29494 6575 29546 6581
+rect 29494 6517 29546 6523
+rect 29302 5021 29354 5027
+rect 29302 4963 29354 4969
+rect 29314 3177 29342 4963
+rect 29494 3615 29546 3621
+rect 29494 3557 29546 3563
+rect 29302 3171 29354 3177
+rect 29302 3113 29354 3119
+rect 29398 3097 29450 3103
+rect 29398 3039 29450 3045
+rect 29410 800 29438 3039
+rect 29506 800 29534 3557
+rect 29602 800 29630 7405
+rect 29974 6945 30026 6951
+rect 29974 6887 30026 6893
+rect 29686 6353 29738 6359
+rect 29686 6295 29738 6301
+rect 29698 800 29726 6295
+rect 29878 6131 29930 6137
+rect 29878 6073 29930 6079
+rect 29782 5909 29834 5915
+rect 29782 5851 29834 5857
+rect 29794 2881 29822 5851
+rect 29890 3251 29918 6073
+rect 29878 3245 29930 3251
+rect 29878 3187 29930 3193
+rect 29878 3023 29930 3029
+rect 29878 2965 29930 2971
+rect 29782 2875 29834 2881
+rect 29782 2817 29834 2823
+rect 29890 800 29918 2965
+rect 29986 800 30014 6887
+rect 30658 6433 30686 24055
+rect 30850 18125 30878 56171
+rect 32182 55489 32234 55495
+rect 32182 55431 32234 55437
+rect 31030 46757 31082 46763
+rect 31030 46699 31082 46705
+rect 31702 46757 31754 46763
+rect 31702 46699 31754 46705
+rect 31042 44913 31070 46699
+rect 31714 46541 31742 46699
+rect 31702 46535 31754 46541
+rect 31702 46477 31754 46483
+rect 32086 46165 32138 46171
+rect 32086 46107 32138 46113
+rect 31030 44907 31082 44913
+rect 31030 44849 31082 44855
+rect 31126 35583 31178 35589
+rect 31126 35525 31178 35531
+rect 30934 30773 30986 30779
+rect 30934 30715 30986 30721
+rect 30946 30483 30974 30715
+rect 30934 30477 30986 30483
+rect 30934 30419 30986 30425
+rect 31138 27374 31166 35525
+rect 31222 33215 31274 33221
+rect 31222 33157 31274 33163
+rect 30946 27346 31166 27374
+rect 30838 18119 30890 18125
+rect 30838 18061 30890 18067
+rect 30946 9763 30974 27346
+rect 31126 21227 31178 21233
+rect 31126 21169 31178 21175
+rect 31138 9911 31166 21169
+rect 31126 9905 31178 9911
+rect 31126 9847 31178 9853
+rect 30934 9757 30986 9763
+rect 30934 9699 30986 9705
+rect 30934 9461 30986 9467
+rect 30934 9403 30986 9409
+rect 30946 8431 30974 9403
+rect 30934 8425 30986 8431
+rect 30934 8367 30986 8373
+rect 31234 7765 31262 33157
+rect 31990 16935 32042 16941
+rect 31990 16877 32042 16883
+rect 32002 16497 32030 16877
+rect 31990 16491 32042 16497
+rect 31990 16433 32042 16439
+rect 31318 16343 31370 16349
+rect 31318 16285 31370 16291
+rect 31222 7759 31274 7765
+rect 31222 7701 31274 7707
+rect 31030 7463 31082 7469
+rect 31030 7405 31082 7411
+rect 30646 6427 30698 6433
+rect 30646 6369 30698 6375
+rect 30646 6131 30698 6137
+rect 30646 6073 30698 6079
+rect 30262 5687 30314 5693
+rect 30262 5629 30314 5635
+rect 30274 2900 30302 5629
+rect 30358 5021 30410 5027
+rect 30358 4963 30410 4969
+rect 30370 3917 30398 4963
+rect 30358 3911 30410 3917
+rect 30358 3853 30410 3859
+rect 30454 3689 30506 3695
+rect 30082 2872 30302 2900
+rect 30370 3649 30454 3677
+rect 30082 800 30110 2872
+rect 30370 1864 30398 3649
+rect 30454 3631 30506 3637
+rect 30454 3245 30506 3251
+rect 30454 3187 30506 3193
+rect 30274 1836 30398 1864
+rect 30274 800 30302 1836
+rect 30358 1765 30410 1771
+rect 30358 1707 30410 1713
+rect 30370 800 30398 1707
+rect 30466 800 30494 3187
+rect 30550 2949 30602 2955
+rect 30550 2891 30602 2897
+rect 30562 800 30590 2891
+rect 30658 1771 30686 6073
+rect 30838 5687 30890 5693
+rect 30838 5629 30890 5635
+rect 30742 3467 30794 3473
+rect 30742 3409 30794 3415
+rect 30646 1765 30698 1771
+rect 30646 1707 30698 1713
+rect 30754 800 30782 3409
+rect 30850 800 30878 5629
+rect 30934 4355 30986 4361
+rect 30934 4297 30986 4303
+rect 30946 800 30974 4297
+rect 31042 800 31070 7405
+rect 31330 7099 31358 16285
+rect 31606 13013 31658 13019
+rect 31606 12955 31658 12961
+rect 31618 7099 31646 12955
+rect 32098 7173 32126 46107
+rect 32194 31889 32222 55431
+rect 32374 37433 32426 37439
+rect 32374 37375 32426 37381
+rect 32182 31883 32234 31889
+rect 32182 31825 32234 31831
+rect 32182 27591 32234 27597
+rect 32182 27533 32234 27539
+rect 32086 7167 32138 7173
+rect 32086 7109 32138 7115
+rect 31318 7093 31370 7099
+rect 31318 7035 31370 7041
+rect 31606 7093 31658 7099
+rect 31606 7035 31658 7041
+rect 31798 6945 31850 6951
+rect 31798 6887 31850 6893
+rect 31222 6353 31274 6359
+rect 31222 6295 31274 6301
+rect 31126 5021 31178 5027
+rect 31126 4963 31178 4969
+rect 31138 3103 31166 4963
+rect 31126 3097 31178 3103
+rect 31126 3039 31178 3045
+rect 31234 800 31262 6295
+rect 31702 5687 31754 5693
+rect 31702 5629 31754 5635
+rect 31714 4528 31742 5629
+rect 31618 4500 31742 4528
+rect 31318 3689 31370 3695
+rect 31318 3631 31370 3637
+rect 31330 800 31358 3631
+rect 31414 3541 31466 3547
+rect 31414 3483 31466 3489
+rect 31426 800 31454 3483
+rect 31618 800 31646 4500
+rect 31702 4355 31754 4361
+rect 31702 4297 31754 4303
+rect 31714 800 31742 4297
+rect 31810 3473 31838 6887
+rect 32194 6433 32222 27533
+rect 32386 9245 32414 37375
+rect 32674 36181 32702 56837
+rect 32770 56161 32798 59200
+rect 33346 56975 33374 59200
+rect 33334 56969 33386 56975
+rect 33334 56911 33386 56917
+rect 33826 56531 33854 59200
+rect 34102 56895 34154 56901
+rect 34102 56837 34154 56843
+rect 33814 56525 33866 56531
+rect 33814 56467 33866 56473
+rect 33046 56229 33098 56235
+rect 33046 56171 33098 56177
+rect 32758 56155 32810 56161
+rect 32758 56097 32810 56103
+rect 32662 36175 32714 36181
+rect 32662 36117 32714 36123
+rect 32950 34251 33002 34257
+rect 32950 34193 33002 34199
+rect 32566 30773 32618 30779
+rect 32566 30715 32618 30721
+rect 32578 30557 32606 30715
+rect 32566 30551 32618 30557
+rect 32566 30493 32618 30499
+rect 32470 24927 32522 24933
+rect 32470 24869 32522 24875
+rect 32374 9239 32426 9245
+rect 32374 9181 32426 9187
+rect 32482 8801 32510 24869
+rect 32566 22781 32618 22787
+rect 32566 22723 32618 22729
+rect 32578 16423 32606 22723
+rect 32566 16417 32618 16423
+rect 32566 16359 32618 16365
+rect 32470 8795 32522 8801
+rect 32470 8737 32522 8743
+rect 32962 7173 32990 34193
+rect 33058 13685 33086 56171
+rect 33526 44093 33578 44099
+rect 33526 44035 33578 44041
+rect 33142 14937 33194 14943
+rect 33142 14879 33194 14885
+rect 33154 13907 33182 14879
+rect 33142 13901 33194 13907
+rect 33142 13843 33194 13849
+rect 33046 13679 33098 13685
+rect 33046 13621 33098 13627
+rect 32950 7167 33002 7173
+rect 32950 7109 33002 7115
+rect 32374 6945 32426 6951
+rect 32374 6887 32426 6893
+rect 33430 6945 33482 6951
+rect 33430 6887 33482 6893
+rect 32182 6427 32234 6433
+rect 32182 6369 32234 6375
+rect 31894 5021 31946 5027
+rect 31894 4963 31946 4969
+rect 31798 3467 31850 3473
+rect 31798 3409 31850 3415
+rect 31906 3251 31934 4963
+rect 31990 4207 32042 4213
+rect 31990 4149 32042 4155
+rect 31894 3245 31946 3251
+rect 31894 3187 31946 3193
+rect 32002 3177 32030 4149
+rect 32182 3911 32234 3917
+rect 32182 3853 32234 3859
+rect 31798 3171 31850 3177
+rect 31798 3113 31850 3119
+rect 31990 3171 32042 3177
+rect 31990 3113 32042 3119
+rect 31810 800 31838 3113
+rect 31894 3097 31946 3103
+rect 31894 3039 31946 3045
+rect 31906 800 31934 3039
+rect 32086 3023 32138 3029
+rect 32086 2965 32138 2971
+rect 32098 800 32126 2965
+rect 32194 800 32222 3853
+rect 32386 3547 32414 6887
+rect 32566 6205 32618 6211
+rect 32566 6147 32618 6153
+rect 32470 3689 32522 3695
+rect 32470 3631 32522 3637
+rect 32374 3541 32426 3547
+rect 32374 3483 32426 3489
+rect 32278 2949 32330 2955
+rect 32278 2891 32330 2897
+rect 32290 800 32318 2891
+rect 32482 800 32510 3631
+rect 32578 800 32606 6147
+rect 33142 5687 33194 5693
+rect 33142 5629 33194 5635
+rect 33238 5687 33290 5693
+rect 33238 5629 33290 5635
+rect 32758 4355 32810 4361
+rect 32758 4297 32810 4303
+rect 32662 3171 32714 3177
+rect 32662 3113 32714 3119
+rect 32674 800 32702 3113
+rect 32770 800 32798 4297
+rect 32950 3615 33002 3621
+rect 32950 3557 33002 3563
+rect 32962 800 32990 3557
+rect 33154 2955 33182 5629
+rect 33142 2949 33194 2955
+rect 33142 2891 33194 2897
+rect 33250 2752 33278 5629
+rect 33442 5120 33470 6887
+rect 33538 6433 33566 44035
+rect 33718 41207 33770 41213
+rect 33718 41149 33770 41155
+rect 33622 14271 33674 14277
+rect 33622 14213 33674 14219
+rect 33634 13833 33662 14213
+rect 33622 13827 33674 13833
+rect 33622 13769 33674 13775
+rect 33730 7765 33758 41149
+rect 33814 37877 33866 37883
+rect 33814 37819 33866 37825
+rect 33826 12205 33854 37819
+rect 33910 15899 33962 15905
+rect 33910 15841 33962 15847
+rect 33814 12199 33866 12205
+rect 33814 12141 33866 12147
+rect 33718 7759 33770 7765
+rect 33718 7701 33770 7707
+rect 33622 7463 33674 7469
+rect 33622 7405 33674 7411
+rect 33526 6427 33578 6433
+rect 33526 6369 33578 6375
+rect 33442 5092 33566 5120
+rect 33334 5021 33386 5027
+rect 33334 4963 33386 4969
+rect 33430 5021 33482 5027
+rect 33430 4963 33482 4969
+rect 33346 3103 33374 4963
+rect 33442 3177 33470 4963
+rect 33538 3917 33566 5092
+rect 33526 3911 33578 3917
+rect 33526 3853 33578 3859
+rect 33526 3689 33578 3695
+rect 33526 3631 33578 3637
+rect 33430 3171 33482 3177
+rect 33430 3113 33482 3119
+rect 33334 3097 33386 3103
+rect 33334 3039 33386 3045
+rect 33334 2949 33386 2955
+rect 33334 2891 33386 2897
+rect 33058 2724 33278 2752
+rect 33058 800 33086 2724
+rect 33346 1568 33374 2891
+rect 33430 2579 33482 2585
+rect 33430 2521 33482 2527
+rect 33154 1540 33374 1568
+rect 33154 800 33182 1540
+rect 33238 1469 33290 1475
+rect 33238 1411 33290 1417
+rect 33250 800 33278 1411
+rect 33442 800 33470 2521
+rect 33538 800 33566 3631
+rect 33634 800 33662 7405
+rect 33922 7099 33950 15841
+rect 34114 14351 34142 56837
+rect 34402 56531 34430 59200
+rect 34882 56975 34910 59200
+rect 34988 57304 35284 57324
+rect 35044 57302 35068 57304
+rect 35124 57302 35148 57304
+rect 35204 57302 35228 57304
+rect 35066 57250 35068 57302
+rect 35130 57250 35142 57302
+rect 35204 57250 35206 57302
+rect 35044 57248 35068 57250
+rect 35124 57248 35148 57250
+rect 35204 57248 35228 57250
+rect 34988 57228 35284 57248
+rect 34870 56969 34922 56975
+rect 34870 56911 34922 56917
+rect 35350 56747 35402 56753
+rect 35350 56689 35402 56695
+rect 34390 56525 34442 56531
+rect 34390 56467 34442 56473
+rect 34198 56229 34250 56235
+rect 34198 56171 34250 56177
+rect 34774 56229 34826 56235
+rect 34774 56171 34826 56177
+rect 34210 15165 34238 56171
+rect 34486 44759 34538 44765
+rect 34486 44701 34538 44707
+rect 34390 19599 34442 19605
+rect 34390 19541 34442 19547
+rect 34198 15159 34250 15165
+rect 34198 15101 34250 15107
+rect 34294 14789 34346 14795
+rect 34294 14731 34346 14737
+rect 34102 14345 34154 14351
+rect 34102 14287 34154 14293
+rect 33910 7093 33962 7099
+rect 33910 7035 33962 7041
+rect 34006 6945 34058 6951
+rect 34006 6887 34058 6893
+rect 34102 6945 34154 6951
+rect 34102 6887 34154 6893
+rect 33718 6131 33770 6137
+rect 33718 6073 33770 6079
+rect 33730 4213 33758 6073
+rect 33910 4355 33962 4361
+rect 33910 4297 33962 4303
+rect 33718 4207 33770 4213
+rect 33718 4149 33770 4155
+rect 33718 3911 33770 3917
+rect 33718 3853 33770 3859
+rect 33730 2585 33758 3853
+rect 33814 3171 33866 3177
+rect 33814 3113 33866 3119
+rect 33718 2579 33770 2585
+rect 33718 2521 33770 2527
+rect 33826 800 33854 3113
+rect 33922 800 33950 4297
+rect 34018 3621 34046 6887
+rect 34006 3615 34058 3621
+rect 34006 3557 34058 3563
+rect 34114 3492 34142 6887
+rect 34306 6877 34334 14731
+rect 34402 13685 34430 19541
+rect 34390 13679 34442 13685
+rect 34390 13621 34442 13627
+rect 34498 7765 34526 44701
+rect 34786 12427 34814 56171
+rect 34988 55972 35284 55992
+rect 35044 55970 35068 55972
+rect 35124 55970 35148 55972
+rect 35204 55970 35228 55972
+rect 35066 55918 35068 55970
+rect 35130 55918 35142 55970
+rect 35204 55918 35206 55970
+rect 35044 55916 35068 55918
+rect 35124 55916 35148 55918
+rect 35204 55916 35228 55918
+rect 34988 55896 35284 55916
+rect 34988 54640 35284 54660
+rect 35044 54638 35068 54640
+rect 35124 54638 35148 54640
+rect 35204 54638 35228 54640
+rect 35066 54586 35068 54638
+rect 35130 54586 35142 54638
+rect 35204 54586 35206 54638
+rect 35044 54584 35068 54586
+rect 35124 54584 35148 54586
+rect 35204 54584 35228 54586
+rect 34988 54564 35284 54584
+rect 34988 53308 35284 53328
+rect 35044 53306 35068 53308
+rect 35124 53306 35148 53308
+rect 35204 53306 35228 53308
+rect 35066 53254 35068 53306
+rect 35130 53254 35142 53306
+rect 35204 53254 35206 53306
+rect 35044 53252 35068 53254
+rect 35124 53252 35148 53254
+rect 35204 53252 35228 53254
+rect 34988 53232 35284 53252
+rect 34988 51976 35284 51996
+rect 35044 51974 35068 51976
+rect 35124 51974 35148 51976
+rect 35204 51974 35228 51976
+rect 35066 51922 35068 51974
+rect 35130 51922 35142 51974
+rect 35204 51922 35206 51974
+rect 35044 51920 35068 51922
+rect 35124 51920 35148 51922
+rect 35204 51920 35228 51922
+rect 34988 51900 35284 51920
+rect 34988 50644 35284 50664
+rect 35044 50642 35068 50644
+rect 35124 50642 35148 50644
+rect 35204 50642 35228 50644
+rect 35066 50590 35068 50642
+rect 35130 50590 35142 50642
+rect 35204 50590 35206 50642
+rect 35044 50588 35068 50590
+rect 35124 50588 35148 50590
+rect 35204 50588 35228 50590
+rect 34988 50568 35284 50588
+rect 34988 49312 35284 49332
+rect 35044 49310 35068 49312
+rect 35124 49310 35148 49312
+rect 35204 49310 35228 49312
+rect 35066 49258 35068 49310
+rect 35130 49258 35142 49310
+rect 35204 49258 35206 49310
+rect 35044 49256 35068 49258
+rect 35124 49256 35148 49258
+rect 35204 49256 35228 49258
+rect 34988 49236 35284 49256
+rect 34988 47980 35284 48000
+rect 35044 47978 35068 47980
+rect 35124 47978 35148 47980
+rect 35204 47978 35228 47980
+rect 35066 47926 35068 47978
+rect 35130 47926 35142 47978
+rect 35204 47926 35206 47978
+rect 35044 47924 35068 47926
+rect 35124 47924 35148 47926
+rect 35204 47924 35228 47926
+rect 34988 47904 35284 47924
+rect 34988 46648 35284 46668
+rect 35044 46646 35068 46648
+rect 35124 46646 35148 46648
+rect 35204 46646 35228 46648
+rect 35066 46594 35068 46646
+rect 35130 46594 35142 46646
+rect 35204 46594 35206 46646
+rect 35044 46592 35068 46594
+rect 35124 46592 35148 46594
+rect 35204 46592 35228 46594
+rect 34988 46572 35284 46592
+rect 34988 45316 35284 45336
+rect 35044 45314 35068 45316
+rect 35124 45314 35148 45316
+rect 35204 45314 35228 45316
+rect 35066 45262 35068 45314
+rect 35130 45262 35142 45314
+rect 35204 45262 35206 45314
+rect 35044 45260 35068 45262
+rect 35124 45260 35148 45262
+rect 35204 45260 35228 45262
+rect 34988 45240 35284 45260
+rect 34988 43984 35284 44004
+rect 35044 43982 35068 43984
+rect 35124 43982 35148 43984
+rect 35204 43982 35228 43984
+rect 35066 43930 35068 43982
+rect 35130 43930 35142 43982
+rect 35204 43930 35206 43982
+rect 35044 43928 35068 43930
+rect 35124 43928 35148 43930
+rect 35204 43928 35228 43930
+rect 34988 43908 35284 43928
+rect 34988 42652 35284 42672
+rect 35044 42650 35068 42652
+rect 35124 42650 35148 42652
+rect 35204 42650 35228 42652
+rect 35066 42598 35068 42650
+rect 35130 42598 35142 42650
+rect 35204 42598 35206 42650
+rect 35044 42596 35068 42598
+rect 35124 42596 35148 42598
+rect 35204 42596 35228 42598
+rect 34988 42576 35284 42596
+rect 34988 41320 35284 41340
+rect 35044 41318 35068 41320
+rect 35124 41318 35148 41320
+rect 35204 41318 35228 41320
+rect 35066 41266 35068 41318
+rect 35130 41266 35142 41318
+rect 35204 41266 35206 41318
+rect 35044 41264 35068 41266
+rect 35124 41264 35148 41266
+rect 35204 41264 35228 41266
+rect 34988 41244 35284 41264
+rect 34988 39988 35284 40008
+rect 35044 39986 35068 39988
+rect 35124 39986 35148 39988
+rect 35204 39986 35228 39988
+rect 35066 39934 35068 39986
+rect 35130 39934 35142 39986
+rect 35204 39934 35206 39986
+rect 35044 39932 35068 39934
+rect 35124 39932 35148 39934
+rect 35204 39932 35228 39934
+rect 34988 39912 35284 39932
+rect 34988 38656 35284 38676
+rect 35044 38654 35068 38656
+rect 35124 38654 35148 38656
+rect 35204 38654 35228 38656
+rect 35066 38602 35068 38654
+rect 35130 38602 35142 38654
+rect 35204 38602 35206 38654
+rect 35044 38600 35068 38602
+rect 35124 38600 35148 38602
+rect 35204 38600 35228 38602
+rect 34988 38580 35284 38600
+rect 34988 37324 35284 37344
+rect 35044 37322 35068 37324
+rect 35124 37322 35148 37324
+rect 35204 37322 35228 37324
+rect 35066 37270 35068 37322
+rect 35130 37270 35142 37322
+rect 35204 37270 35206 37322
+rect 35044 37268 35068 37270
+rect 35124 37268 35148 37270
+rect 35204 37268 35228 37270
+rect 34988 37248 35284 37268
+rect 34988 35992 35284 36012
+rect 35044 35990 35068 35992
+rect 35124 35990 35148 35992
+rect 35204 35990 35228 35992
+rect 35066 35938 35068 35990
+rect 35130 35938 35142 35990
+rect 35204 35938 35206 35990
+rect 35044 35936 35068 35938
+rect 35124 35936 35148 35938
+rect 35204 35936 35228 35938
+rect 34988 35916 35284 35936
+rect 34988 34660 35284 34680
+rect 35044 34658 35068 34660
+rect 35124 34658 35148 34660
+rect 35204 34658 35228 34660
+rect 35066 34606 35068 34658
+rect 35130 34606 35142 34658
+rect 35204 34606 35206 34658
+rect 35044 34604 35068 34606
+rect 35124 34604 35148 34606
+rect 35204 34604 35228 34606
+rect 34988 34584 35284 34604
+rect 34988 33328 35284 33348
+rect 35044 33326 35068 33328
+rect 35124 33326 35148 33328
+rect 35204 33326 35228 33328
+rect 35066 33274 35068 33326
+rect 35130 33274 35142 33326
+rect 35204 33274 35206 33326
+rect 35044 33272 35068 33274
+rect 35124 33272 35148 33274
+rect 35204 33272 35228 33274
+rect 34988 33252 35284 33272
+rect 34988 31996 35284 32016
+rect 35044 31994 35068 31996
+rect 35124 31994 35148 31996
+rect 35204 31994 35228 31996
+rect 35066 31942 35068 31994
+rect 35130 31942 35142 31994
+rect 35204 31942 35206 31994
+rect 35044 31940 35068 31942
+rect 35124 31940 35148 31942
+rect 35204 31940 35228 31942
+rect 34988 31920 35284 31940
+rect 34988 30664 35284 30684
+rect 35044 30662 35068 30664
+rect 35124 30662 35148 30664
+rect 35204 30662 35228 30664
+rect 35066 30610 35068 30662
+rect 35130 30610 35142 30662
+rect 35204 30610 35206 30662
+rect 35044 30608 35068 30610
+rect 35124 30608 35148 30610
+rect 35204 30608 35228 30610
+rect 34988 30588 35284 30608
+rect 34988 29332 35284 29352
+rect 35044 29330 35068 29332
+rect 35124 29330 35148 29332
+rect 35204 29330 35228 29332
+rect 35066 29278 35068 29330
+rect 35130 29278 35142 29330
+rect 35204 29278 35206 29330
+rect 35044 29276 35068 29278
+rect 35124 29276 35148 29278
+rect 35204 29276 35228 29278
+rect 34988 29256 35284 29276
+rect 34988 28000 35284 28020
+rect 35044 27998 35068 28000
+rect 35124 27998 35148 28000
+rect 35204 27998 35228 28000
+rect 35066 27946 35068 27998
+rect 35130 27946 35142 27998
+rect 35204 27946 35206 27998
+rect 35044 27944 35068 27946
+rect 35124 27944 35148 27946
+rect 35204 27944 35228 27946
+rect 34988 27924 35284 27944
+rect 34988 26668 35284 26688
+rect 35044 26666 35068 26668
+rect 35124 26666 35148 26668
+rect 35204 26666 35228 26668
+rect 35066 26614 35068 26666
+rect 35130 26614 35142 26666
+rect 35204 26614 35206 26666
+rect 35044 26612 35068 26614
+rect 35124 26612 35148 26614
+rect 35204 26612 35228 26614
+rect 34988 26592 35284 26612
+rect 34988 25336 35284 25356
+rect 35044 25334 35068 25336
+rect 35124 25334 35148 25336
+rect 35204 25334 35228 25336
+rect 35066 25282 35068 25334
+rect 35130 25282 35142 25334
+rect 35204 25282 35206 25334
+rect 35044 25280 35068 25282
+rect 35124 25280 35148 25282
+rect 35204 25280 35228 25282
+rect 34988 25260 35284 25280
+rect 34988 24004 35284 24024
+rect 35044 24002 35068 24004
+rect 35124 24002 35148 24004
+rect 35204 24002 35228 24004
+rect 35066 23950 35068 24002
+rect 35130 23950 35142 24002
+rect 35204 23950 35206 24002
+rect 35044 23948 35068 23950
+rect 35124 23948 35148 23950
+rect 35204 23948 35228 23950
+rect 34988 23928 35284 23948
+rect 34988 22672 35284 22692
+rect 35044 22670 35068 22672
+rect 35124 22670 35148 22672
+rect 35204 22670 35228 22672
+rect 35066 22618 35068 22670
+rect 35130 22618 35142 22670
+rect 35204 22618 35206 22670
+rect 35044 22616 35068 22618
+rect 35124 22616 35148 22618
+rect 35204 22616 35228 22618
+rect 34988 22596 35284 22616
+rect 34988 21340 35284 21360
+rect 35044 21338 35068 21340
+rect 35124 21338 35148 21340
+rect 35204 21338 35228 21340
+rect 35066 21286 35068 21338
+rect 35130 21286 35142 21338
+rect 35204 21286 35206 21338
+rect 35044 21284 35068 21286
+rect 35124 21284 35148 21286
+rect 35204 21284 35228 21286
+rect 34988 21264 35284 21284
+rect 34988 20008 35284 20028
+rect 35044 20006 35068 20008
+rect 35124 20006 35148 20008
+rect 35204 20006 35228 20008
+rect 35066 19954 35068 20006
+rect 35130 19954 35142 20006
+rect 35204 19954 35206 20006
+rect 35044 19952 35068 19954
+rect 35124 19952 35148 19954
+rect 35204 19952 35228 19954
+rect 34988 19932 35284 19952
+rect 34988 18676 35284 18696
+rect 35044 18674 35068 18676
+rect 35124 18674 35148 18676
+rect 35204 18674 35228 18676
+rect 35066 18622 35068 18674
+rect 35130 18622 35142 18674
+rect 35204 18622 35206 18674
+rect 35044 18620 35068 18622
+rect 35124 18620 35148 18622
+rect 35204 18620 35228 18622
+rect 34988 18600 35284 18620
+rect 34870 18193 34922 18199
+rect 34870 18135 34922 18141
+rect 34774 12421 34826 12427
+rect 34774 12363 34826 12369
+rect 34486 7759 34538 7765
+rect 34486 7701 34538 7707
+rect 34774 7759 34826 7765
+rect 34774 7701 34826 7707
+rect 34582 7463 34634 7469
+rect 34582 7405 34634 7411
+rect 34294 6871 34346 6877
+rect 34294 6813 34346 6819
+rect 34198 6353 34250 6359
+rect 34198 6295 34250 6301
+rect 34018 3464 34142 3492
+rect 34018 800 34046 3464
+rect 34102 3245 34154 3251
+rect 34102 3187 34154 3193
+rect 34114 800 34142 3187
+rect 34210 1475 34238 6295
+rect 34594 4528 34622 7405
+rect 34678 6131 34730 6137
+rect 34678 6073 34730 6079
+rect 34690 5841 34718 6073
+rect 34678 5835 34730 5841
+rect 34678 5777 34730 5783
+rect 34678 5687 34730 5693
+rect 34678 5629 34730 5635
+rect 34498 4500 34622 4528
+rect 34294 3689 34346 3695
+rect 34498 3640 34526 4500
+rect 34582 4355 34634 4361
+rect 34582 4297 34634 4303
+rect 34294 3631 34346 3637
+rect 34198 1469 34250 1475
+rect 34198 1411 34250 1417
+rect 34306 800 34334 3631
+rect 34402 3612 34526 3640
+rect 34402 800 34430 3612
+rect 34486 3097 34538 3103
+rect 34486 3039 34538 3045
+rect 34498 800 34526 3039
+rect 34594 800 34622 4297
+rect 34690 3177 34718 5629
+rect 34678 3171 34730 3177
+rect 34678 3113 34730 3119
+rect 34786 800 34814 7701
+rect 34882 7099 34910 18135
+rect 34988 17344 35284 17364
+rect 35044 17342 35068 17344
+rect 35124 17342 35148 17344
+rect 35204 17342 35228 17344
+rect 35066 17290 35068 17342
+rect 35130 17290 35142 17342
+rect 35204 17290 35206 17342
+rect 35044 17288 35068 17290
+rect 35124 17288 35148 17290
+rect 35204 17288 35228 17290
+rect 34988 17268 35284 17288
+rect 34988 16012 35284 16032
+rect 35044 16010 35068 16012
+rect 35124 16010 35148 16012
+rect 35204 16010 35228 16012
+rect 35066 15958 35068 16010
+rect 35130 15958 35142 16010
+rect 35204 15958 35206 16010
+rect 35044 15956 35068 15958
+rect 35124 15956 35148 15958
+rect 35204 15956 35228 15958
+rect 34988 15936 35284 15956
+rect 35362 15535 35390 56689
+rect 35458 56531 35486 59200
+rect 35938 57614 35966 59200
+rect 35938 57586 36254 57614
+rect 36226 56531 36254 57586
+rect 36514 56901 36542 59200
+rect 36502 56895 36554 56901
+rect 36502 56837 36554 56843
+rect 35446 56525 35498 56531
+rect 35446 56467 35498 56473
+rect 36214 56525 36266 56531
+rect 36214 56467 36266 56473
+rect 35446 56303 35498 56309
+rect 35446 56245 35498 56251
+rect 35458 43877 35486 56245
+rect 36886 56229 36938 56235
+rect 36886 56171 36938 56177
+rect 35446 43871 35498 43877
+rect 35446 43813 35498 43819
+rect 36790 42243 36842 42249
+rect 36790 42185 36842 42191
+rect 36118 27665 36170 27671
+rect 36118 27607 36170 27613
+rect 35926 22559 35978 22565
+rect 35926 22501 35978 22507
+rect 35446 22485 35498 22491
+rect 35446 22427 35498 22433
+rect 35350 15529 35402 15535
+rect 35350 15471 35402 15477
+rect 34988 14680 35284 14700
+rect 35044 14678 35068 14680
+rect 35124 14678 35148 14680
+rect 35204 14678 35228 14680
+rect 35066 14626 35068 14678
+rect 35130 14626 35142 14678
+rect 35204 14626 35206 14678
+rect 35044 14624 35068 14626
+rect 35124 14624 35148 14626
+rect 35204 14624 35228 14626
+rect 34988 14604 35284 14624
+rect 34988 13348 35284 13368
+rect 35044 13346 35068 13348
+rect 35124 13346 35148 13348
+rect 35204 13346 35228 13348
+rect 35066 13294 35068 13346
+rect 35130 13294 35142 13346
+rect 35204 13294 35206 13346
+rect 35044 13292 35068 13294
+rect 35124 13292 35148 13294
+rect 35204 13292 35228 13294
+rect 34988 13272 35284 13292
+rect 35458 12279 35486 22427
+rect 35734 20931 35786 20937
+rect 35734 20873 35786 20879
+rect 35746 20567 35774 20873
+rect 35734 20561 35786 20567
+rect 35734 20503 35786 20509
+rect 35542 20117 35594 20123
+rect 35542 20059 35594 20065
+rect 35554 15165 35582 20059
+rect 35542 15159 35594 15165
+rect 35542 15101 35594 15107
+rect 35446 12273 35498 12279
+rect 35446 12215 35498 12221
+rect 34988 12016 35284 12036
+rect 35044 12014 35068 12016
+rect 35124 12014 35148 12016
+rect 35204 12014 35228 12016
+rect 35066 11962 35068 12014
+rect 35130 11962 35142 12014
+rect 35204 11962 35206 12014
+rect 35044 11960 35068 11962
+rect 35124 11960 35148 11962
+rect 35204 11960 35228 11962
+rect 34988 11940 35284 11960
+rect 34988 10684 35284 10704
+rect 35044 10682 35068 10684
+rect 35124 10682 35148 10684
+rect 35204 10682 35228 10684
+rect 35066 10630 35068 10682
+rect 35130 10630 35142 10682
+rect 35204 10630 35206 10682
+rect 35044 10628 35068 10630
+rect 35124 10628 35148 10630
+rect 35204 10628 35228 10630
+rect 34988 10608 35284 10628
+rect 34988 9352 35284 9372
+rect 35044 9350 35068 9352
+rect 35124 9350 35148 9352
+rect 35204 9350 35228 9352
+rect 35066 9298 35068 9350
+rect 35130 9298 35142 9350
+rect 35204 9298 35206 9350
+rect 35044 9296 35068 9298
+rect 35124 9296 35148 9298
+rect 35204 9296 35228 9298
+rect 34988 9276 35284 9296
+rect 34988 8020 35284 8040
+rect 35044 8018 35068 8020
+rect 35124 8018 35148 8020
+rect 35204 8018 35228 8020
+rect 35066 7966 35068 8018
+rect 35130 7966 35142 8018
+rect 35204 7966 35206 8018
+rect 35044 7964 35068 7966
+rect 35124 7964 35148 7966
+rect 35204 7964 35228 7966
+rect 34988 7944 35284 7964
+rect 35350 7611 35402 7617
+rect 35350 7553 35402 7559
+rect 34870 7093 34922 7099
+rect 34870 7035 34922 7041
+rect 34988 6688 35284 6708
+rect 35044 6686 35068 6688
+rect 35124 6686 35148 6688
+rect 35204 6686 35228 6688
+rect 35066 6634 35068 6686
+rect 35130 6634 35142 6686
+rect 35204 6634 35206 6686
+rect 35044 6632 35068 6634
+rect 35124 6632 35148 6634
+rect 35204 6632 35228 6634
+rect 34988 6612 35284 6632
+rect 34988 5356 35284 5376
+rect 35044 5354 35068 5356
+rect 35124 5354 35148 5356
+rect 35204 5354 35228 5356
+rect 35066 5302 35068 5354
+rect 35130 5302 35142 5354
+rect 35204 5302 35206 5354
+rect 35044 5300 35068 5302
+rect 35124 5300 35148 5302
+rect 35204 5300 35228 5302
+rect 34988 5280 35284 5300
+rect 34870 5021 34922 5027
+rect 34870 4963 34922 4969
+rect 34882 3917 34910 4963
+rect 35362 4953 35390 7553
+rect 35830 7463 35882 7469
+rect 35830 7405 35882 7411
+rect 35542 6945 35594 6951
+rect 35542 6887 35594 6893
+rect 35446 6131 35498 6137
+rect 35446 6073 35498 6079
+rect 35350 4947 35402 4953
+rect 35350 4889 35402 4895
+rect 35350 4355 35402 4361
+rect 35350 4297 35402 4303
+rect 34988 4024 35284 4044
+rect 35044 4022 35068 4024
+rect 35124 4022 35148 4024
+rect 35204 4022 35228 4024
+rect 35066 3970 35068 4022
+rect 35130 3970 35142 4022
+rect 35204 3970 35206 4022
+rect 35044 3968 35068 3970
+rect 35124 3968 35148 3970
+rect 35204 3968 35228 3970
+rect 34988 3948 35284 3968
+rect 34870 3911 34922 3917
+rect 34870 3853 34922 3859
+rect 34966 3689 35018 3695
+rect 34882 3649 34966 3677
+rect 34882 1864 34910 3649
+rect 34966 3631 35018 3637
+rect 35362 3251 35390 4297
+rect 35350 3245 35402 3251
+rect 35350 3187 35402 3193
+rect 35458 3085 35486 6073
+rect 35362 3071 35486 3085
+rect 35348 3062 35486 3071
+rect 35404 3057 35486 3062
+rect 35348 2997 35404 3006
+rect 35446 3023 35498 3029
+rect 35446 2965 35498 2971
+rect 35350 2949 35402 2955
+rect 35350 2891 35402 2897
+rect 34988 2692 35284 2712
+rect 35044 2690 35068 2692
+rect 35124 2690 35148 2692
+rect 35204 2690 35228 2692
+rect 35066 2638 35068 2690
+rect 35130 2638 35142 2690
+rect 35204 2638 35206 2690
+rect 35044 2636 35068 2638
+rect 35124 2636 35148 2638
+rect 35204 2636 35228 2638
+rect 34988 2616 35284 2636
+rect 35158 2579 35210 2585
+rect 35158 2521 35210 2527
+rect 34882 1836 35006 1864
+rect 34870 1765 34922 1771
+rect 34870 1707 34922 1713
+rect 34882 800 34910 1707
+rect 34978 800 35006 1836
+rect 35170 800 35198 2521
+rect 35362 2456 35390 2891
+rect 35266 2428 35390 2456
+rect 35266 800 35294 2428
+rect 35458 1568 35486 2965
+rect 35554 2585 35582 6887
+rect 35638 5021 35690 5027
+rect 35638 4963 35690 4969
+rect 35650 3103 35678 4963
+rect 35734 3689 35786 3695
+rect 35734 3631 35786 3637
+rect 35638 3097 35690 3103
+rect 35638 3039 35690 3045
+rect 35638 2875 35690 2881
+rect 35638 2817 35690 2823
+rect 35542 2579 35594 2585
+rect 35542 2521 35594 2527
+rect 35540 2470 35596 2479
+rect 35540 2405 35596 2414
+rect 35362 1540 35486 1568
+rect 35362 800 35390 1540
+rect 35554 1420 35582 2405
+rect 35458 1392 35582 1420
+rect 35458 800 35486 1392
+rect 35650 800 35678 2817
+rect 35746 800 35774 3631
+rect 35842 800 35870 7405
+rect 35938 7173 35966 22501
+rect 36130 7765 36158 27607
+rect 36802 7765 36830 42185
+rect 36898 14203 36926 56171
+rect 36994 56087 37022 59200
+rect 37570 56531 37598 59200
+rect 38050 56975 38078 59200
+rect 38038 56969 38090 56975
+rect 38038 56911 38090 56917
+rect 38626 56531 38654 59200
+rect 37558 56525 37610 56531
+rect 37558 56467 37610 56473
+rect 38614 56525 38666 56531
+rect 38614 56467 38666 56473
+rect 38806 56377 38858 56383
+rect 38806 56319 38858 56325
+rect 37750 56229 37802 56235
+rect 37750 56171 37802 56177
+rect 38710 56229 38762 56235
+rect 38710 56171 38762 56177
+rect 36982 56081 37034 56087
+rect 36982 56023 37034 56029
+rect 37462 55119 37514 55125
+rect 37462 55061 37514 55067
+rect 37174 40097 37226 40103
+rect 37174 40039 37226 40045
+rect 36886 14197 36938 14203
+rect 36886 14139 36938 14145
+rect 36118 7759 36170 7765
+rect 36118 7701 36170 7707
+rect 36790 7759 36842 7765
+rect 36790 7701 36842 7707
+rect 36598 7463 36650 7469
+rect 36598 7405 36650 7411
+rect 35926 7167 35978 7173
+rect 35926 7109 35978 7115
+rect 36406 6945 36458 6951
+rect 36406 6887 36458 6893
+rect 36310 6353 36362 6359
+rect 36310 6295 36362 6301
+rect 36022 5687 36074 5693
+rect 36022 5629 36074 5635
+rect 36214 5687 36266 5693
+rect 36214 5629 36266 5635
+rect 35926 3097 35978 3103
+rect 35926 3039 35978 3045
+rect 35938 1771 35966 3039
+rect 36034 2955 36062 5629
+rect 36118 5021 36170 5027
+rect 36118 4963 36170 4969
+rect 36130 3103 36158 4963
+rect 36118 3097 36170 3103
+rect 36118 3039 36170 3045
+rect 36022 2949 36074 2955
+rect 36022 2891 36074 2897
+rect 36118 2949 36170 2955
+rect 36118 2891 36170 2897
+rect 36022 2801 36074 2807
+rect 36022 2743 36074 2749
+rect 35926 1765 35978 1771
+rect 35926 1707 35978 1713
+rect 36034 800 36062 2743
+rect 36130 800 36158 2891
+rect 36226 2807 36254 5629
+rect 36214 2801 36266 2807
+rect 36214 2743 36266 2749
+rect 36322 2585 36350 6295
+rect 36310 2579 36362 2585
+rect 36310 2521 36362 2527
+rect 36418 2456 36446 6887
+rect 36502 3689 36554 3695
+rect 36502 3631 36554 3637
+rect 36226 2428 36446 2456
+rect 36226 800 36254 2428
+rect 36310 2357 36362 2363
+rect 36310 2299 36362 2305
+rect 36322 800 36350 2299
+rect 36514 800 36542 3631
+rect 36610 800 36638 7405
+rect 37078 6945 37130 6951
+rect 37078 6887 37130 6893
+rect 36886 5021 36938 5027
+rect 36886 4963 36938 4969
+rect 36790 4355 36842 4361
+rect 36790 4297 36842 4303
+rect 36694 3097 36746 3103
+rect 36694 3039 36746 3045
+rect 36706 800 36734 3039
+rect 36802 800 36830 4297
+rect 36898 3177 36926 4963
+rect 37090 3492 37118 6887
+rect 37186 6433 37214 40039
+rect 37474 7173 37502 55061
+rect 37762 38327 37790 56171
+rect 38422 49865 38474 49871
+rect 38422 49807 38474 49813
+rect 37750 38321 37802 38327
+rect 37750 38263 37802 38269
+rect 38434 7913 38462 49807
+rect 38722 28263 38750 56171
+rect 38818 49427 38846 56319
+rect 39106 55717 39134 59200
+rect 39682 56901 39710 59200
+rect 39670 56895 39722 56901
+rect 39670 56837 39722 56843
+rect 39766 56747 39818 56753
+rect 39766 56689 39818 56695
+rect 39094 55711 39146 55717
+rect 39094 55653 39146 55659
+rect 39190 55563 39242 55569
+rect 39190 55505 39242 55511
+rect 38806 49421 38858 49427
+rect 38806 49363 38858 49369
+rect 38710 28257 38762 28263
+rect 38710 28199 38762 28205
+rect 39094 19229 39146 19235
+rect 39094 19171 39146 19177
+rect 38710 12125 38762 12131
+rect 38710 12067 38762 12073
+rect 38614 10275 38666 10281
+rect 38614 10217 38666 10223
+rect 38422 7907 38474 7913
+rect 38422 7849 38474 7855
+rect 38038 7759 38090 7765
+rect 38038 7701 38090 7707
+rect 37462 7167 37514 7173
+rect 37462 7109 37514 7115
+rect 37366 6871 37418 6877
+rect 37366 6813 37418 6819
+rect 37174 6427 37226 6433
+rect 37174 6369 37226 6375
+rect 37174 4355 37226 4361
+rect 37174 4297 37226 4303
+rect 36994 3464 37118 3492
+rect 36886 3171 36938 3177
+rect 36886 3113 36938 3119
+rect 36994 800 37022 3464
+rect 37078 3171 37130 3177
+rect 37078 3113 37130 3119
+rect 37090 800 37118 3113
+rect 37186 800 37214 4297
+rect 37378 800 37406 6813
+rect 37558 5687 37610 5693
+rect 37558 5629 37610 5635
+rect 37462 5539 37514 5545
+rect 37462 5481 37514 5487
+rect 37474 800 37502 5481
+rect 37570 3103 37598 5629
+rect 37846 3837 37898 3843
+rect 37846 3779 37898 3785
+rect 37654 3541 37706 3547
+rect 37654 3483 37706 3489
+rect 37558 3097 37610 3103
+rect 37558 3039 37610 3045
+rect 37558 2949 37610 2955
+rect 37558 2891 37610 2897
+rect 37570 800 37598 2891
+rect 37666 800 37694 3483
+rect 37858 800 37886 3779
+rect 37942 3689 37994 3695
+rect 37942 3631 37994 3637
+rect 37954 800 37982 3631
+rect 38050 800 38078 7701
+rect 38434 7617 38462 7849
+rect 38626 7691 38654 10217
+rect 38614 7685 38666 7691
+rect 38614 7627 38666 7633
+rect 38422 7611 38474 7617
+rect 38422 7553 38474 7559
+rect 38434 7016 38654 7044
+rect 38722 7025 38750 12067
+rect 39106 7913 39134 19171
+rect 39202 15461 39230 55505
+rect 39286 24113 39338 24119
+rect 39286 24055 39338 24061
+rect 39298 23897 39326 24055
+rect 39286 23891 39338 23897
+rect 39286 23833 39338 23839
+rect 39574 20561 39626 20567
+rect 39574 20503 39626 20509
+rect 39190 15455 39242 15461
+rect 39190 15397 39242 15403
+rect 39586 14425 39614 20503
+rect 39778 17237 39806 56689
+rect 40162 56531 40190 59200
+rect 40438 56747 40490 56753
+rect 40438 56689 40490 56695
+rect 40150 56525 40202 56531
+rect 40150 56467 40202 56473
+rect 40450 53571 40478 56689
+rect 40738 55717 40766 59200
+rect 41218 56975 41246 59200
+rect 41206 56969 41258 56975
+rect 41206 56911 41258 56917
+rect 41014 56821 41066 56827
+rect 41014 56763 41066 56769
+rect 40822 56747 40874 56753
+rect 40822 56689 40874 56695
+rect 40834 56087 40862 56689
+rect 40822 56081 40874 56087
+rect 40822 56023 40874 56029
+rect 40726 55711 40778 55717
+rect 40726 55653 40778 55659
+rect 40534 55563 40586 55569
+rect 40534 55505 40586 55511
+rect 40546 55421 40574 55505
+rect 40534 55415 40586 55421
+rect 40534 55357 40586 55363
+rect 40546 55199 40574 55357
+rect 40534 55193 40586 55199
+rect 40534 55135 40586 55141
+rect 40630 54971 40682 54977
+rect 40630 54913 40682 54919
+rect 40438 53565 40490 53571
+rect 40438 53507 40490 53513
+rect 40246 46461 40298 46467
+rect 40246 46403 40298 46409
+rect 40150 19599 40202 19605
+rect 40150 19541 40202 19547
+rect 40054 19451 40106 19457
+rect 40054 19393 40106 19399
+rect 39766 17231 39818 17237
+rect 39766 17173 39818 17179
+rect 39574 14419 39626 14425
+rect 39574 14361 39626 14367
+rect 39670 13457 39722 13463
+rect 39670 13399 39722 13405
+rect 39682 13167 39710 13399
+rect 39670 13161 39722 13167
+rect 39670 13103 39722 13109
+rect 39094 7907 39146 7913
+rect 39094 7849 39146 7855
+rect 38806 7759 38858 7765
+rect 38806 7701 38858 7707
+rect 38326 3097 38378 3103
+rect 38326 3039 38378 3045
+rect 38134 2949 38186 2955
+rect 38134 2891 38186 2897
+rect 38146 800 38174 2891
+rect 38338 800 38366 3039
+rect 38434 800 38462 7016
+rect 38518 6945 38570 6951
+rect 38518 6887 38570 6893
+rect 38530 3547 38558 6887
+rect 38626 6877 38654 7016
+rect 38710 7019 38762 7025
+rect 38710 6961 38762 6967
+rect 38614 6871 38666 6877
+rect 38614 6813 38666 6819
+rect 38614 5021 38666 5027
+rect 38614 4963 38666 4969
+rect 38518 3541 38570 3547
+rect 38518 3483 38570 3489
+rect 38518 3245 38570 3251
+rect 38518 3187 38570 3193
+rect 38530 800 38558 3187
+rect 38626 3177 38654 4963
+rect 38710 3689 38762 3695
+rect 38710 3631 38762 3637
+rect 38614 3171 38666 3177
+rect 38614 3113 38666 3119
+rect 38722 800 38750 3631
+rect 38818 800 38846 7701
+rect 39106 7691 39134 7849
+rect 39094 7685 39146 7691
+rect 39094 7627 39146 7633
+rect 39958 7537 40010 7543
+rect 39958 7479 40010 7485
+rect 39478 7463 39530 7469
+rect 39478 7405 39530 7411
+rect 38902 6353 38954 6359
+rect 38902 6295 38954 6301
+rect 38914 800 38942 6295
+rect 39190 6131 39242 6137
+rect 39190 6073 39242 6079
+rect 39094 5687 39146 5693
+rect 39094 5629 39146 5635
+rect 38998 4355 39050 4361
+rect 38998 4297 39050 4303
+rect 39010 800 39038 4297
+rect 39106 2955 39134 5629
+rect 39094 2949 39146 2955
+rect 39094 2891 39146 2897
+rect 39202 800 39230 6073
+rect 39286 5687 39338 5693
+rect 39286 5629 39338 5635
+rect 39298 800 39326 5629
+rect 39382 5021 39434 5027
+rect 39382 4963 39434 4969
+rect 39394 3843 39422 4963
+rect 39382 3837 39434 3843
+rect 39382 3779 39434 3785
+rect 39382 3541 39434 3547
+rect 39382 3483 39434 3489
+rect 39394 800 39422 3483
+rect 39490 800 39518 7405
+rect 39862 6871 39914 6877
+rect 39862 6813 39914 6819
+rect 39766 4355 39818 4361
+rect 39766 4297 39818 4303
+rect 39670 2949 39722 2955
+rect 39670 2891 39722 2897
+rect 39682 800 39710 2891
+rect 39778 800 39806 4297
+rect 39874 800 39902 6813
+rect 39970 1771 39998 7479
+rect 40066 7099 40094 19393
+rect 40162 19161 40190 19541
+rect 40150 19155 40202 19161
+rect 40150 19097 40202 19103
+rect 40258 7765 40286 46403
+rect 40642 7913 40670 54913
+rect 40918 28923 40970 28929
+rect 40918 28865 40970 28871
+rect 40630 7907 40682 7913
+rect 40630 7849 40682 7855
+rect 40246 7759 40298 7765
+rect 40246 7701 40298 7707
+rect 40054 7093 40106 7099
+rect 40054 7035 40106 7041
+rect 40438 6797 40490 6803
+rect 40438 6739 40490 6745
+rect 40342 6353 40394 6359
+rect 40342 6295 40394 6301
+rect 40150 5021 40202 5027
+rect 40150 4963 40202 4969
+rect 40054 3911 40106 3917
+rect 40054 3853 40106 3859
+rect 39958 1765 40010 1771
+rect 39958 1707 40010 1713
+rect 40066 800 40094 3853
+rect 40162 3251 40190 4963
+rect 40246 3689 40298 3695
+rect 40246 3631 40298 3637
+rect 40150 3245 40202 3251
+rect 40150 3187 40202 3193
+rect 40258 1864 40286 3631
+rect 40162 1836 40286 1864
+rect 40162 800 40190 1836
+rect 40246 1765 40298 1771
+rect 40246 1707 40298 1713
+rect 40258 800 40286 1707
+rect 40354 800 40382 6295
+rect 40450 3769 40478 6739
+rect 40930 6581 40958 28865
+rect 41026 22861 41054 56763
+rect 41794 56531 41822 59200
+rect 42274 56531 42302 59200
+rect 42850 56901 42878 59200
+rect 42838 56895 42890 56901
+rect 42838 56837 42890 56843
+rect 42934 56747 42986 56753
+rect 42934 56689 42986 56695
+rect 41782 56525 41834 56531
+rect 41782 56467 41834 56473
+rect 42262 56525 42314 56531
+rect 42262 56467 42314 56473
+rect 42454 56451 42506 56457
+rect 42454 56393 42506 56399
+rect 42358 56229 42410 56235
+rect 42358 56171 42410 56177
+rect 41110 54749 41162 54755
+rect 41110 54691 41162 54697
+rect 41122 24193 41150 54691
+rect 42262 50531 42314 50537
+rect 42262 50473 42314 50479
+rect 41110 24187 41162 24193
+rect 41110 24129 41162 24135
+rect 41014 22855 41066 22861
+rect 41014 22797 41066 22803
+rect 41782 17453 41834 17459
+rect 41782 17395 41834 17401
+rect 41794 13759 41822 17395
+rect 41782 13753 41834 13759
+rect 41782 13695 41834 13701
+rect 41494 8129 41546 8135
+rect 41494 8071 41546 8077
+rect 41398 7463 41450 7469
+rect 41398 7405 41450 7411
+rect 41206 7093 41258 7099
+rect 41206 7035 41258 7041
+rect 40918 6575 40970 6581
+rect 40918 6517 40970 6523
+rect 40630 6205 40682 6211
+rect 40630 6147 40682 6153
+rect 40438 3763 40490 3769
+rect 40438 3705 40490 3711
+rect 40534 3023 40586 3029
+rect 40534 2965 40586 2971
+rect 40546 800 40574 2965
+rect 40642 800 40670 6147
+rect 40726 5687 40778 5693
+rect 40726 5629 40778 5635
+rect 40738 800 40766 5629
+rect 40918 5021 40970 5027
+rect 40918 4963 40970 4969
+rect 40930 2955 40958 4963
+rect 41110 3837 41162 3843
+rect 41110 3779 41162 3785
+rect 41014 3689 41066 3695
+rect 41014 3631 41066 3637
+rect 40918 2949 40970 2955
+rect 40918 2891 40970 2897
+rect 41026 1864 41054 3631
+rect 40930 1836 41054 1864
+rect 40930 800 40958 1836
+rect 41014 1765 41066 1771
+rect 41014 1707 41066 1713
+rect 41026 800 41054 1707
+rect 41122 800 41150 3779
+rect 41218 3547 41246 7035
+rect 41302 4133 41354 4139
+rect 41302 4075 41354 4081
+rect 41206 3541 41258 3547
+rect 41206 3483 41258 3489
+rect 41206 2949 41258 2955
+rect 41206 2891 41258 2897
+rect 41218 800 41246 2891
+rect 41314 1771 41342 4075
+rect 41302 1765 41354 1771
+rect 41302 1707 41354 1713
+rect 41410 800 41438 7405
+rect 41506 5767 41534 8071
+rect 42274 7913 42302 50473
+rect 42370 16793 42398 56171
+rect 42466 45727 42494 56393
+rect 42454 45721 42506 45727
+rect 42454 45663 42506 45669
+rect 42454 35435 42506 35441
+rect 42454 35377 42506 35383
+rect 42358 16787 42410 16793
+rect 42358 16729 42410 16735
+rect 42262 7907 42314 7913
+rect 42262 7849 42314 7855
+rect 41590 6945 41642 6951
+rect 41590 6887 41642 6893
+rect 41494 5761 41546 5767
+rect 41494 5703 41546 5709
+rect 41602 4139 41630 6887
+rect 42466 6581 42494 35377
+rect 42946 17829 42974 56689
+rect 43330 56531 43358 59200
+rect 43906 56531 43934 59200
+rect 44386 56975 44414 59200
+rect 44374 56969 44426 56975
+rect 44374 56911 44426 56917
+rect 44962 56531 44990 59200
+rect 43318 56525 43370 56531
+rect 43318 56467 43370 56473
+rect 43894 56525 43946 56531
+rect 43894 56467 43946 56473
+rect 44950 56525 45002 56531
+rect 44950 56467 45002 56473
+rect 43990 56451 44042 56457
+rect 43990 56393 44042 56399
+rect 43798 56303 43850 56309
+rect 43798 56245 43850 56251
+rect 43894 56303 43946 56309
+rect 43894 56245 43946 56251
+rect 43222 56229 43274 56235
+rect 43222 56171 43274 56177
+rect 43234 47429 43262 56171
+rect 43810 54385 43838 56245
+rect 43798 54379 43850 54385
+rect 43798 54321 43850 54327
+rect 43222 47423 43274 47429
+rect 43222 47365 43274 47371
+rect 43030 41429 43082 41435
+rect 43030 41371 43082 41377
+rect 43042 41139 43070 41371
+rect 43030 41133 43082 41139
+rect 43030 41075 43082 41081
+rect 43414 38247 43466 38253
+rect 43414 38189 43466 38195
+rect 42934 17823 42986 17829
+rect 42934 17765 42986 17771
+rect 42550 17231 42602 17237
+rect 42550 17173 42602 17179
+rect 42562 16349 42590 17173
+rect 43030 16565 43082 16571
+rect 43030 16507 43082 16513
+rect 42550 16343 42602 16349
+rect 42550 16285 42602 16291
+rect 42934 8129 42986 8135
+rect 42934 8071 42986 8077
+rect 42550 7463 42602 7469
+rect 42550 7405 42602 7411
+rect 42454 6575 42506 6581
+rect 42454 6517 42506 6523
+rect 41878 6353 41930 6359
+rect 41878 6295 41930 6301
+rect 41782 5687 41834 5693
+rect 41782 5629 41834 5635
+rect 41686 5021 41738 5027
+rect 41686 4963 41738 4969
+rect 41590 4133 41642 4139
+rect 41590 4075 41642 4081
+rect 41698 3917 41726 4963
+rect 41686 3911 41738 3917
+rect 41686 3853 41738 3859
+rect 41794 3788 41822 5629
+rect 41506 3760 41822 3788
+rect 41506 800 41534 3760
+rect 41590 3615 41642 3621
+rect 41590 3557 41642 3563
+rect 41602 800 41630 3557
+rect 41686 3541 41738 3547
+rect 41686 3483 41738 3489
+rect 41698 800 41726 3483
+rect 41890 800 41918 6295
+rect 42070 6205 42122 6211
+rect 42070 6147 42122 6153
+rect 41974 4355 42026 4361
+rect 41974 4297 42026 4303
+rect 41986 800 42014 4297
+rect 42082 800 42110 6147
+rect 42262 5687 42314 5693
+rect 42262 5629 42314 5635
+rect 42274 800 42302 5629
+rect 42454 5021 42506 5027
+rect 42454 4963 42506 4969
+rect 42358 4355 42410 4361
+rect 42358 4297 42410 4303
+rect 42370 800 42398 4297
+rect 42466 3843 42494 4963
+rect 42454 3837 42506 3843
+rect 42454 3779 42506 3785
+rect 42562 3640 42590 7405
+rect 42946 7173 42974 8071
+rect 42934 7167 42986 7173
+rect 42934 7109 42986 7115
+rect 43042 7099 43070 16507
+rect 43426 7173 43454 38189
+rect 43798 16491 43850 16497
+rect 43798 16433 43850 16439
+rect 43414 7167 43466 7173
+rect 43414 7109 43466 7115
+rect 43030 7093 43082 7099
+rect 43030 7035 43082 7041
+rect 42838 6871 42890 6877
+rect 42838 6813 42890 6819
+rect 43606 6871 43658 6877
+rect 43606 6813 43658 6819
+rect 42466 3612 42590 3640
+rect 42742 3689 42794 3695
+rect 42742 3631 42794 3637
+rect 42466 800 42494 3612
+rect 42550 3097 42602 3103
+rect 42550 3039 42602 3045
+rect 42562 800 42590 3039
+rect 42754 800 42782 3631
+rect 42850 800 42878 6813
+rect 43222 5687 43274 5693
+rect 43222 5629 43274 5635
+rect 43234 3085 43262 5629
+rect 43318 5021 43370 5027
+rect 43318 4963 43370 4969
+rect 43330 3103 43358 4963
+rect 43414 4355 43466 4361
+rect 43414 4297 43466 4303
+rect 42946 3057 43262 3085
+rect 43318 3097 43370 3103
+rect 42946 800 42974 3057
+rect 43318 3039 43370 3045
+rect 43030 3023 43082 3029
+rect 43030 2965 43082 2971
+rect 43042 800 43070 2965
+rect 43318 2949 43370 2955
+rect 43318 2891 43370 2897
+rect 43222 2579 43274 2585
+rect 43222 2521 43274 2527
+rect 43234 800 43262 2521
+rect 43330 800 43358 2891
+rect 43426 800 43454 4297
+rect 43510 3467 43562 3473
+rect 43510 3409 43562 3415
+rect 43522 2955 43550 3409
+rect 43510 2949 43562 2955
+rect 43510 2891 43562 2897
+rect 43618 800 43646 6813
+rect 43810 6433 43838 16433
+rect 43906 12279 43934 56245
+rect 44002 17015 44030 56393
+rect 44182 56229 44234 56235
+rect 44182 56171 44234 56177
+rect 44374 56229 44426 56235
+rect 44374 56171 44426 56177
+rect 44086 54083 44138 54089
+rect 44086 54025 44138 54031
+rect 44098 24489 44126 54025
+rect 44086 24483 44138 24489
+rect 44086 24425 44138 24431
+rect 44194 21011 44222 56171
+rect 44386 32259 44414 56171
+rect 45442 55717 45470 59200
+rect 45922 56901 45950 59200
+rect 45910 56895 45962 56901
+rect 45910 56837 45962 56843
+rect 46102 56747 46154 56753
+rect 46102 56689 46154 56695
+rect 45334 55711 45386 55717
+rect 45334 55653 45386 55659
+rect 45430 55711 45482 55717
+rect 45430 55653 45482 55659
+rect 45238 55563 45290 55569
+rect 45238 55505 45290 55511
+rect 45250 55421 45278 55505
+rect 45238 55415 45290 55421
+rect 45238 55357 45290 55363
+rect 44374 32253 44426 32259
+rect 44374 32195 44426 32201
+rect 44950 30773 45002 30779
+rect 44950 30715 45002 30721
+rect 44854 22781 44906 22787
+rect 44854 22723 44906 22729
+rect 44866 22491 44894 22723
+rect 44854 22485 44906 22491
+rect 44854 22427 44906 22433
+rect 44182 21005 44234 21011
+rect 44182 20947 44234 20953
+rect 43990 17009 44042 17015
+rect 43990 16951 44042 16957
+rect 44086 15159 44138 15165
+rect 44086 15101 44138 15107
+rect 43894 12273 43946 12279
+rect 43894 12215 43946 12221
+rect 44098 7765 44126 15101
+rect 44374 13457 44426 13463
+rect 44374 13399 44426 13405
+rect 44086 7759 44138 7765
+rect 44086 7701 44138 7707
+rect 44386 7691 44414 13399
+rect 44566 13235 44618 13241
+rect 44566 13177 44618 13183
+rect 44374 7685 44426 7691
+rect 44374 7627 44426 7633
+rect 43894 7463 43946 7469
+rect 43894 7405 43946 7411
+rect 43798 6427 43850 6433
+rect 43798 6369 43850 6375
+rect 43702 5687 43754 5693
+rect 43702 5629 43754 5635
+rect 43714 800 43742 5629
+rect 43798 3615 43850 3621
+rect 43798 3557 43850 3563
+rect 43810 800 43838 3557
+rect 43906 800 43934 7405
+rect 44578 7099 44606 13177
+rect 44962 7765 44990 30715
+rect 45250 18495 45278 55357
+rect 45346 47534 45374 55653
+rect 45346 47506 45470 47534
+rect 45238 18489 45290 18495
+rect 45238 18431 45290 18437
+rect 45334 14493 45386 14499
+rect 45334 14435 45386 14441
+rect 44950 7759 45002 7765
+rect 44950 7701 45002 7707
+rect 44662 7463 44714 7469
+rect 44662 7405 44714 7411
+rect 45046 7463 45098 7469
+rect 45046 7405 45098 7411
+rect 44566 7093 44618 7099
+rect 44566 7035 44618 7041
+rect 44566 6945 44618 6951
+rect 44566 6887 44618 6893
+rect 44470 6279 44522 6285
+rect 44470 6221 44522 6227
+rect 44086 6131 44138 6137
+rect 44086 6073 44138 6079
+rect 44098 3344 44126 6073
+rect 44482 4287 44510 6221
+rect 44470 4281 44522 4287
+rect 44470 4223 44522 4229
+rect 44578 3936 44606 6887
+rect 44002 3316 44126 3344
+rect 44290 3908 44606 3936
+rect 44002 2585 44030 3316
+rect 44086 3245 44138 3251
+rect 44086 3187 44138 3193
+rect 43990 2579 44042 2585
+rect 43990 2521 44042 2527
+rect 44098 800 44126 3187
+rect 44182 2949 44234 2955
+rect 44182 2891 44234 2897
+rect 44194 800 44222 2891
+rect 44290 800 44318 3908
+rect 44566 3763 44618 3769
+rect 44566 3705 44618 3711
+rect 44470 3097 44522 3103
+rect 44470 3039 44522 3045
+rect 44482 800 44510 3039
+rect 44578 800 44606 3705
+rect 44674 800 44702 7405
+rect 44758 5021 44810 5027
+rect 44758 4963 44810 4969
+rect 44770 3473 44798 4963
+rect 44950 4355 45002 4361
+rect 44950 4297 45002 4303
+rect 44758 3467 44810 3473
+rect 44758 3409 44810 3415
+rect 44758 3171 44810 3177
+rect 44758 3113 44810 3119
+rect 44770 800 44798 3113
+rect 44962 800 44990 4297
+rect 45058 800 45086 7405
+rect 45346 7099 45374 14435
+rect 45334 7093 45386 7099
+rect 45334 7035 45386 7041
+rect 45334 6945 45386 6951
+rect 45334 6887 45386 6893
+rect 45142 5687 45194 5693
+rect 45142 5629 45194 5635
+rect 45154 3103 45182 5629
+rect 45238 3615 45290 3621
+rect 45238 3557 45290 3563
+rect 45142 3097 45194 3103
+rect 45142 3039 45194 3045
+rect 45142 2875 45194 2881
+rect 45142 2817 45194 2823
+rect 45154 800 45182 2817
+rect 45250 800 45278 3557
+rect 45346 3159 45374 6887
+rect 45442 6285 45470 47506
+rect 46114 19531 46142 56689
+rect 46498 56531 46526 59200
+rect 46486 56525 46538 56531
+rect 46486 56467 46538 56473
+rect 46870 56377 46922 56383
+rect 46870 56319 46922 56325
+rect 46294 51493 46346 51499
+rect 46294 51435 46346 51441
+rect 46198 30403 46250 30409
+rect 46198 30345 46250 30351
+rect 46102 19525 46154 19531
+rect 46102 19467 46154 19473
+rect 46210 18569 46238 30345
+rect 46198 18563 46250 18569
+rect 46198 18505 46250 18511
+rect 46306 7765 46334 51435
+rect 46774 50235 46826 50241
+rect 46774 50177 46826 50183
+rect 46678 38099 46730 38105
+rect 46678 38041 46730 38047
+rect 46690 37883 46718 38041
+rect 46678 37877 46730 37883
+rect 46678 37819 46730 37825
+rect 46390 22411 46442 22417
+rect 46390 22353 46442 22359
+rect 46294 7759 46346 7765
+rect 46294 7701 46346 7707
+rect 45814 7463 45866 7469
+rect 45814 7405 45866 7411
+rect 45526 6353 45578 6359
+rect 45526 6295 45578 6301
+rect 45430 6279 45482 6285
+rect 45430 6221 45482 6227
+rect 45430 5021 45482 5027
+rect 45430 4963 45482 4969
+rect 45442 3251 45470 4963
+rect 45430 3245 45482 3251
+rect 45430 3187 45482 3193
+rect 45346 3131 45470 3159
+rect 45442 800 45470 3131
+rect 45538 800 45566 6295
+rect 45718 3245 45770 3251
+rect 45718 3187 45770 3193
+rect 45622 3023 45674 3029
+rect 45622 2965 45674 2971
+rect 45634 800 45662 2965
+rect 45730 2955 45758 3187
+rect 45718 2949 45770 2955
+rect 45718 2891 45770 2897
+rect 45826 800 45854 7405
+rect 46402 7173 46430 22353
+rect 46486 7759 46538 7765
+rect 46486 7701 46538 7707
+rect 46390 7167 46442 7173
+rect 46390 7109 46442 7115
+rect 46102 5687 46154 5693
+rect 46102 5629 46154 5635
+rect 46114 3640 46142 5629
+rect 46198 5021 46250 5027
+rect 46198 4963 46250 4969
+rect 46294 5021 46346 5027
+rect 46294 4963 46346 4969
+rect 45922 3612 46142 3640
+rect 45922 800 45950 3612
+rect 46006 3541 46058 3547
+rect 46006 3483 46058 3489
+rect 46018 800 46046 3483
+rect 46210 3177 46238 4963
+rect 46306 3251 46334 4963
+rect 46294 3245 46346 3251
+rect 46294 3187 46346 3193
+rect 46198 3171 46250 3177
+rect 46198 3113 46250 3119
+rect 46294 3097 46346 3103
+rect 46294 3039 46346 3045
+rect 46102 2579 46154 2585
+rect 46102 2521 46154 2527
+rect 46114 800 46142 2521
+rect 46306 800 46334 3039
+rect 46390 2949 46442 2955
+rect 46390 2891 46442 2897
+rect 46402 800 46430 2891
+rect 46498 800 46526 7701
+rect 46786 7173 46814 50177
+rect 46882 12575 46910 56319
+rect 46978 55717 47006 59200
+rect 47554 56975 47582 59200
+rect 47542 56969 47594 56975
+rect 47542 56911 47594 56917
+rect 48034 56531 48062 59200
+rect 48022 56525 48074 56531
+rect 48022 56467 48074 56473
+rect 47062 56303 47114 56309
+rect 47062 56245 47114 56251
+rect 46966 55711 47018 55717
+rect 46966 55653 47018 55659
+rect 47074 47534 47102 56245
+rect 48610 56161 48638 59200
+rect 49090 56901 49118 59200
+rect 49078 56895 49130 56901
+rect 49078 56837 49130 56843
+rect 48694 56747 48746 56753
+rect 48694 56689 48746 56695
+rect 48598 56155 48650 56161
+rect 48598 56097 48650 56103
+rect 48022 52085 48074 52091
+rect 48022 52027 48074 52033
+rect 46978 47506 47102 47534
+rect 46978 37587 47006 47506
+rect 46966 37581 47018 37587
+rect 46966 37523 47018 37529
+rect 47062 25445 47114 25451
+rect 47062 25387 47114 25393
+rect 47074 17089 47102 25387
+rect 47062 17083 47114 17089
+rect 47062 17025 47114 17031
+rect 47158 12939 47210 12945
+rect 47158 12881 47210 12887
+rect 46870 12569 46922 12575
+rect 46870 12511 46922 12517
+rect 47170 7765 47198 12881
+rect 48034 12353 48062 52027
+rect 48214 45425 48266 45431
+rect 48214 45367 48266 45373
+rect 48118 30921 48170 30927
+rect 48118 30863 48170 30869
+rect 48022 12347 48074 12353
+rect 48022 12289 48074 12295
+rect 47542 9091 47594 9097
+rect 47542 9033 47594 9039
+rect 47554 7913 47582 9033
+rect 48130 8431 48158 30863
+rect 48226 12945 48254 45367
+rect 48310 33437 48362 33443
+rect 48310 33379 48362 33385
+rect 48214 12939 48266 12945
+rect 48214 12881 48266 12887
+rect 48118 8425 48170 8431
+rect 48118 8367 48170 8373
+rect 48022 8203 48074 8209
+rect 48022 8145 48074 8151
+rect 47542 7907 47594 7913
+rect 47542 7849 47594 7855
+rect 47158 7759 47210 7765
+rect 47158 7701 47210 7707
+rect 47554 7691 47582 7849
+rect 47254 7685 47306 7691
+rect 47254 7627 47306 7633
+rect 47542 7685 47594 7691
+rect 47542 7627 47594 7633
+rect 46774 7167 46826 7173
+rect 46774 7109 46826 7115
+rect 47062 6945 47114 6951
+rect 47062 6887 47114 6893
+rect 46870 6871 46922 6877
+rect 46870 6813 46922 6819
+rect 46678 5687 46730 5693
+rect 46594 5647 46678 5675
+rect 46594 800 46622 5647
+rect 46678 5629 46730 5635
+rect 46774 4355 46826 4361
+rect 46774 4297 46826 4303
+rect 46786 800 46814 4297
+rect 46882 800 46910 6813
+rect 46966 6353 47018 6359
+rect 46966 6295 47018 6301
+rect 46978 800 47006 6295
+rect 47074 2585 47102 6887
+rect 47158 3689 47210 3695
+rect 47158 3631 47210 3637
+rect 47062 2579 47114 2585
+rect 47062 2521 47114 2527
+rect 47170 800 47198 3631
+rect 47266 800 47294 7627
+rect 47734 6353 47786 6359
+rect 47734 6295 47786 6301
+rect 47542 5687 47594 5693
+rect 47542 5629 47594 5635
+rect 47554 4380 47582 5629
+rect 47638 5021 47690 5027
+rect 47638 4963 47690 4969
+rect 47362 4352 47582 4380
+rect 47362 800 47390 4352
+rect 47446 4281 47498 4287
+rect 47446 4223 47498 4229
+rect 47458 800 47486 4223
+rect 47650 3103 47678 4963
+rect 47638 3097 47690 3103
+rect 47638 3039 47690 3045
+rect 47638 2949 47690 2955
+rect 47638 2891 47690 2897
+rect 47650 800 47678 2891
+rect 47746 800 47774 6295
+rect 47830 4355 47882 4361
+rect 47830 4297 47882 4303
+rect 47842 800 47870 4297
+rect 48034 800 48062 8145
+rect 48322 7099 48350 33379
+rect 48706 21603 48734 56689
+rect 49666 56531 49694 59200
+rect 50146 56531 50174 59200
+rect 50722 56901 50750 59200
+rect 50710 56895 50762 56901
+rect 50710 56837 50762 56843
+rect 50806 56747 50858 56753
+rect 50806 56689 50858 56695
+rect 50348 56638 50644 56658
+rect 50404 56636 50428 56638
+rect 50484 56636 50508 56638
+rect 50564 56636 50588 56638
+rect 50426 56584 50428 56636
+rect 50490 56584 50502 56636
+rect 50564 56584 50566 56636
+rect 50404 56582 50428 56584
+rect 50484 56582 50508 56584
+rect 50564 56582 50588 56584
+rect 50348 56562 50644 56582
+rect 49654 56525 49706 56531
+rect 49654 56467 49706 56473
+rect 50134 56525 50186 56531
+rect 50134 56467 50186 56473
+rect 48790 56229 48842 56235
+rect 48790 56171 48842 56177
+rect 49078 56229 49130 56235
+rect 49078 56171 49130 56177
+rect 48694 21597 48746 21603
+rect 48694 21539 48746 21545
+rect 48802 19901 48830 56171
+rect 49090 54533 49118 56171
+rect 49270 55785 49322 55791
+rect 49270 55727 49322 55733
+rect 49078 54527 49130 54533
+rect 49078 54469 49130 54475
+rect 48886 45203 48938 45209
+rect 48886 45145 48938 45151
+rect 48790 19895 48842 19901
+rect 48790 19837 48842 19843
+rect 48694 8277 48746 8283
+rect 48694 8219 48746 8225
+rect 48406 7759 48458 7765
+rect 48406 7701 48458 7707
+rect 48310 7093 48362 7099
+rect 48310 7035 48362 7041
+rect 48310 6945 48362 6951
+rect 48310 6887 48362 6893
+rect 48214 3689 48266 3695
+rect 48214 3631 48266 3637
+rect 48118 3171 48170 3177
+rect 48118 3113 48170 3119
+rect 48130 800 48158 3113
+rect 48226 800 48254 3631
+rect 48322 2955 48350 6887
+rect 48310 2949 48362 2955
+rect 48310 2891 48362 2897
+rect 48418 1864 48446 7701
+rect 48598 4281 48650 4287
+rect 48598 4223 48650 4229
+rect 48502 3245 48554 3251
+rect 48502 3187 48554 3193
+rect 48322 1836 48446 1864
+rect 48322 800 48350 1836
+rect 48514 800 48542 3187
+rect 48610 800 48638 4223
+rect 48706 800 48734 8219
+rect 48898 7173 48926 45145
+rect 48982 17157 49034 17163
+rect 48982 17099 49034 17105
+rect 48994 8431 49022 17099
+rect 48982 8425 49034 8431
+rect 48982 8367 49034 8373
+rect 49282 7691 49310 55727
+rect 49654 55563 49706 55569
+rect 49654 55505 49706 55511
+rect 49558 24483 49610 24489
+rect 49558 24425 49610 24431
+rect 49570 8431 49598 24425
+rect 49666 15239 49694 55505
+rect 50348 55306 50644 55326
+rect 50404 55304 50428 55306
+rect 50484 55304 50508 55306
+rect 50564 55304 50588 55306
+rect 50426 55252 50428 55304
+rect 50490 55252 50502 55304
+rect 50564 55252 50566 55304
+rect 50404 55250 50428 55252
+rect 50484 55250 50508 55252
+rect 50564 55250 50588 55252
+rect 50348 55230 50644 55250
+rect 50348 53974 50644 53994
+rect 50404 53972 50428 53974
+rect 50484 53972 50508 53974
+rect 50564 53972 50588 53974
+rect 50426 53920 50428 53972
+rect 50490 53920 50502 53972
+rect 50564 53920 50566 53972
+rect 50404 53918 50428 53920
+rect 50484 53918 50508 53920
+rect 50564 53918 50588 53920
+rect 50348 53898 50644 53918
+rect 50348 52642 50644 52662
+rect 50404 52640 50428 52642
+rect 50484 52640 50508 52642
+rect 50564 52640 50588 52642
+rect 50426 52588 50428 52640
+rect 50490 52588 50502 52640
+rect 50564 52588 50566 52640
+rect 50404 52586 50428 52588
+rect 50484 52586 50508 52588
+rect 50564 52586 50588 52588
+rect 50348 52566 50644 52586
+rect 50348 51310 50644 51330
+rect 50404 51308 50428 51310
+rect 50484 51308 50508 51310
+rect 50564 51308 50588 51310
+rect 50426 51256 50428 51308
+rect 50490 51256 50502 51308
+rect 50564 51256 50566 51308
+rect 50404 51254 50428 51256
+rect 50484 51254 50508 51256
+rect 50564 51254 50588 51256
+rect 50348 51234 50644 51254
+rect 50348 49978 50644 49998
+rect 50404 49976 50428 49978
+rect 50484 49976 50508 49978
+rect 50564 49976 50588 49978
+rect 50426 49924 50428 49976
+rect 50490 49924 50502 49976
+rect 50564 49924 50566 49976
+rect 50404 49922 50428 49924
+rect 50484 49922 50508 49924
+rect 50564 49922 50588 49924
+rect 50348 49902 50644 49922
+rect 50348 48646 50644 48666
+rect 50404 48644 50428 48646
+rect 50484 48644 50508 48646
+rect 50564 48644 50588 48646
+rect 50426 48592 50428 48644
+rect 50490 48592 50502 48644
+rect 50564 48592 50566 48644
+rect 50404 48590 50428 48592
+rect 50484 48590 50508 48592
+rect 50564 48590 50588 48592
+rect 50348 48570 50644 48590
+rect 50348 47314 50644 47334
+rect 50404 47312 50428 47314
+rect 50484 47312 50508 47314
+rect 50564 47312 50588 47314
+rect 50426 47260 50428 47312
+rect 50490 47260 50502 47312
+rect 50564 47260 50566 47312
+rect 50404 47258 50428 47260
+rect 50484 47258 50508 47260
+rect 50564 47258 50588 47260
+rect 50348 47238 50644 47258
+rect 50348 45982 50644 46002
+rect 50404 45980 50428 45982
+rect 50484 45980 50508 45982
+rect 50564 45980 50588 45982
+rect 50426 45928 50428 45980
+rect 50490 45928 50502 45980
+rect 50564 45928 50566 45980
+rect 50404 45926 50428 45928
+rect 50484 45926 50508 45928
+rect 50564 45926 50588 45928
+rect 50348 45906 50644 45926
+rect 50348 44650 50644 44670
+rect 50404 44648 50428 44650
+rect 50484 44648 50508 44650
+rect 50564 44648 50588 44650
+rect 50426 44596 50428 44648
+rect 50490 44596 50502 44648
+rect 50564 44596 50566 44648
+rect 50404 44594 50428 44596
+rect 50484 44594 50508 44596
+rect 50564 44594 50588 44596
+rect 50348 44574 50644 44594
+rect 50348 43318 50644 43338
+rect 50404 43316 50428 43318
+rect 50484 43316 50508 43318
+rect 50564 43316 50588 43318
+rect 50426 43264 50428 43316
+rect 50490 43264 50502 43316
+rect 50564 43264 50566 43316
+rect 50404 43262 50428 43264
+rect 50484 43262 50508 43264
+rect 50564 43262 50588 43264
+rect 50348 43242 50644 43262
+rect 50348 41986 50644 42006
+rect 50404 41984 50428 41986
+rect 50484 41984 50508 41986
+rect 50564 41984 50588 41986
+rect 50426 41932 50428 41984
+rect 50490 41932 50502 41984
+rect 50564 41932 50566 41984
+rect 50404 41930 50428 41932
+rect 50484 41930 50508 41932
+rect 50564 41930 50588 41932
+rect 50348 41910 50644 41930
+rect 50348 40654 50644 40674
+rect 50404 40652 50428 40654
+rect 50484 40652 50508 40654
+rect 50564 40652 50588 40654
+rect 50426 40600 50428 40652
+rect 50490 40600 50502 40652
+rect 50564 40600 50566 40652
+rect 50404 40598 50428 40600
+rect 50484 40598 50508 40600
+rect 50564 40598 50588 40600
+rect 50348 40578 50644 40598
+rect 50348 39322 50644 39342
+rect 50404 39320 50428 39322
+rect 50484 39320 50508 39322
+rect 50564 39320 50588 39322
+rect 50426 39268 50428 39320
+rect 50490 39268 50502 39320
+rect 50564 39268 50566 39320
+rect 50404 39266 50428 39268
+rect 50484 39266 50508 39268
+rect 50564 39266 50588 39268
+rect 50348 39246 50644 39266
+rect 50348 37990 50644 38010
+rect 50404 37988 50428 37990
+rect 50484 37988 50508 37990
+rect 50564 37988 50588 37990
+rect 50426 37936 50428 37988
+rect 50490 37936 50502 37988
+rect 50564 37936 50566 37988
+rect 50404 37934 50428 37936
+rect 50484 37934 50508 37936
+rect 50564 37934 50588 37936
+rect 50348 37914 50644 37934
+rect 50348 36658 50644 36678
+rect 50404 36656 50428 36658
+rect 50484 36656 50508 36658
+rect 50564 36656 50588 36658
+rect 50426 36604 50428 36656
+rect 50490 36604 50502 36656
+rect 50564 36604 50566 36656
+rect 50404 36602 50428 36604
+rect 50484 36602 50508 36604
+rect 50564 36602 50588 36604
+rect 50348 36582 50644 36602
+rect 50348 35326 50644 35346
+rect 50404 35324 50428 35326
+rect 50484 35324 50508 35326
+rect 50564 35324 50588 35326
+rect 50426 35272 50428 35324
+rect 50490 35272 50502 35324
+rect 50564 35272 50566 35324
+rect 50404 35270 50428 35272
+rect 50484 35270 50508 35272
+rect 50564 35270 50588 35272
+rect 50348 35250 50644 35270
+rect 50038 34769 50090 34775
+rect 50038 34711 50090 34717
+rect 49750 30329 49802 30335
+rect 49750 30271 49802 30277
+rect 49654 15233 49706 15239
+rect 49654 15175 49706 15181
+rect 49762 12353 49790 30271
+rect 49942 20931 49994 20937
+rect 49942 20873 49994 20879
+rect 49954 20567 49982 20873
+rect 49942 20561 49994 20567
+rect 49942 20503 49994 20509
+rect 49942 13013 49994 13019
+rect 49942 12955 49994 12961
+rect 49750 12347 49802 12353
+rect 49750 12289 49802 12295
+rect 49558 8425 49610 8431
+rect 49558 8367 49610 8373
+rect 49462 8277 49514 8283
+rect 49462 8219 49514 8225
+rect 49270 7685 49322 7691
+rect 49270 7627 49322 7633
+rect 48886 7167 48938 7173
+rect 48886 7109 48938 7115
+rect 48790 6353 48842 6359
+rect 48790 6295 48842 6301
+rect 48802 800 48830 6295
+rect 49078 5687 49130 5693
+rect 49078 5629 49130 5635
+rect 48982 4429 49034 4435
+rect 48982 4371 49034 4377
+rect 48994 800 49022 4371
+rect 49090 3177 49118 5629
+rect 49366 5021 49418 5027
+rect 49366 4963 49418 4969
+rect 49174 3837 49226 3843
+rect 49174 3779 49226 3785
+rect 49078 3171 49130 3177
+rect 49078 3113 49130 3119
+rect 49078 2949 49130 2955
+rect 49078 2891 49130 2897
+rect 49090 800 49118 2891
+rect 49186 800 49214 3779
+rect 49378 800 49406 4963
+rect 49474 800 49502 8219
+rect 49846 7463 49898 7469
+rect 49846 7405 49898 7411
+rect 49858 7214 49886 7405
+rect 49762 7186 49886 7214
+rect 49558 6353 49610 6359
+rect 49558 6295 49610 6301
+rect 49570 800 49598 6295
+rect 49654 5687 49706 5693
+rect 49654 5629 49706 5635
+rect 49666 3251 49694 5629
+rect 49654 3245 49706 3251
+rect 49654 3187 49706 3193
+rect 49654 3023 49706 3029
+rect 49654 2965 49706 2971
+rect 49666 800 49694 2965
+rect 49762 2955 49790 7186
+rect 49954 7099 49982 12955
+rect 50050 7765 50078 34711
+rect 50348 33994 50644 34014
+rect 50404 33992 50428 33994
+rect 50484 33992 50508 33994
+rect 50564 33992 50588 33994
+rect 50426 33940 50428 33992
+rect 50490 33940 50502 33992
+rect 50564 33940 50566 33992
+rect 50404 33938 50428 33940
+rect 50484 33938 50508 33940
+rect 50564 33938 50588 33940
+rect 50348 33918 50644 33938
+rect 50348 32662 50644 32682
+rect 50404 32660 50428 32662
+rect 50484 32660 50508 32662
+rect 50564 32660 50588 32662
+rect 50426 32608 50428 32660
+rect 50490 32608 50502 32660
+rect 50564 32608 50566 32660
+rect 50404 32606 50428 32608
+rect 50484 32606 50508 32608
+rect 50564 32606 50588 32608
+rect 50348 32586 50644 32606
+rect 50348 31330 50644 31350
+rect 50404 31328 50428 31330
+rect 50484 31328 50508 31330
+rect 50564 31328 50588 31330
+rect 50426 31276 50428 31328
+rect 50490 31276 50502 31328
+rect 50564 31276 50566 31328
+rect 50404 31274 50428 31276
+rect 50484 31274 50508 31276
+rect 50564 31274 50588 31276
+rect 50348 31254 50644 31274
+rect 50348 29998 50644 30018
+rect 50404 29996 50428 29998
+rect 50484 29996 50508 29998
+rect 50564 29996 50588 29998
+rect 50426 29944 50428 29996
+rect 50490 29944 50502 29996
+rect 50564 29944 50566 29996
+rect 50404 29942 50428 29944
+rect 50484 29942 50508 29944
+rect 50564 29942 50588 29944
+rect 50348 29922 50644 29942
+rect 50348 28666 50644 28686
+rect 50404 28664 50428 28666
+rect 50484 28664 50508 28666
+rect 50564 28664 50588 28666
+rect 50426 28612 50428 28664
+rect 50490 28612 50502 28664
+rect 50564 28612 50566 28664
+rect 50404 28610 50428 28612
+rect 50484 28610 50508 28612
+rect 50564 28610 50588 28612
+rect 50348 28590 50644 28610
+rect 50348 27334 50644 27354
+rect 50404 27332 50428 27334
+rect 50484 27332 50508 27334
+rect 50564 27332 50588 27334
+rect 50426 27280 50428 27332
+rect 50490 27280 50502 27332
+rect 50564 27280 50566 27332
+rect 50404 27278 50428 27280
+rect 50484 27278 50508 27280
+rect 50564 27278 50588 27280
+rect 50348 27258 50644 27278
+rect 50348 26002 50644 26022
+rect 50404 26000 50428 26002
+rect 50484 26000 50508 26002
+rect 50564 26000 50588 26002
+rect 50426 25948 50428 26000
+rect 50490 25948 50502 26000
+rect 50564 25948 50566 26000
+rect 50404 25946 50428 25948
+rect 50484 25946 50508 25948
+rect 50564 25946 50588 25948
+rect 50348 25926 50644 25946
+rect 50348 24670 50644 24690
+rect 50404 24668 50428 24670
+rect 50484 24668 50508 24670
+rect 50564 24668 50588 24670
+rect 50426 24616 50428 24668
+rect 50490 24616 50502 24668
+rect 50564 24616 50566 24668
+rect 50404 24614 50428 24616
+rect 50484 24614 50508 24616
+rect 50564 24614 50588 24616
+rect 50348 24594 50644 24614
+rect 50348 23338 50644 23358
+rect 50404 23336 50428 23338
+rect 50484 23336 50508 23338
+rect 50564 23336 50588 23338
+rect 50426 23284 50428 23336
+rect 50490 23284 50502 23336
+rect 50564 23284 50566 23336
+rect 50404 23282 50428 23284
+rect 50484 23282 50508 23284
+rect 50564 23282 50588 23284
+rect 50348 23262 50644 23282
+rect 50348 22006 50644 22026
+rect 50404 22004 50428 22006
+rect 50484 22004 50508 22006
+rect 50564 22004 50588 22006
+rect 50426 21952 50428 22004
+rect 50490 21952 50502 22004
+rect 50564 21952 50566 22004
+rect 50404 21950 50428 21952
+rect 50484 21950 50508 21952
+rect 50564 21950 50588 21952
+rect 50348 21930 50644 21950
+rect 50818 20863 50846 56689
+rect 51202 56161 51230 59200
+rect 51190 56155 51242 56161
+rect 51190 56097 51242 56103
+rect 51778 55717 51806 59200
+rect 52258 56901 52286 59200
+rect 52834 57614 52862 59200
+rect 52834 57586 52958 57614
+rect 52246 56895 52298 56901
+rect 52246 56837 52298 56843
+rect 52822 56747 52874 56753
+rect 52822 56689 52874 56695
+rect 52726 56377 52778 56383
+rect 52726 56319 52778 56325
+rect 51766 55711 51818 55717
+rect 51766 55653 51818 55659
+rect 51766 55563 51818 55569
+rect 51766 55505 51818 55511
+rect 51778 55421 51806 55505
+rect 51766 55415 51818 55421
+rect 51766 55357 51818 55363
+rect 50806 20857 50858 20863
+rect 50806 20799 50858 20805
+rect 50348 20674 50644 20694
+rect 50404 20672 50428 20674
+rect 50484 20672 50508 20674
+rect 50564 20672 50588 20674
+rect 50426 20620 50428 20672
+rect 50490 20620 50502 20672
+rect 50564 20620 50566 20672
+rect 50404 20618 50428 20620
+rect 50484 20618 50508 20620
+rect 50564 20618 50588 20620
+rect 50348 20598 50644 20618
+rect 50348 19342 50644 19362
+rect 50404 19340 50428 19342
+rect 50484 19340 50508 19342
+rect 50564 19340 50588 19342
+rect 50426 19288 50428 19340
+rect 50490 19288 50502 19340
+rect 50564 19288 50566 19340
+rect 50404 19286 50428 19288
+rect 50484 19286 50508 19288
+rect 50564 19286 50588 19288
+rect 50348 19266 50644 19286
+rect 50134 18267 50186 18273
+rect 50134 18209 50186 18215
+rect 50146 17903 50174 18209
+rect 50348 18010 50644 18030
+rect 50404 18008 50428 18010
+rect 50484 18008 50508 18010
+rect 50564 18008 50588 18010
+rect 50426 17956 50428 18008
+rect 50490 17956 50502 18008
+rect 50564 17956 50566 18008
+rect 50404 17954 50428 17956
+rect 50484 17954 50508 17956
+rect 50564 17954 50588 17956
+rect 50348 17934 50644 17954
+rect 50134 17897 50186 17903
+rect 50134 17839 50186 17845
+rect 51478 16935 51530 16941
+rect 51478 16877 51530 16883
+rect 50348 16678 50644 16698
+rect 50404 16676 50428 16678
+rect 50484 16676 50508 16678
+rect 50564 16676 50588 16678
+rect 50426 16624 50428 16676
+rect 50490 16624 50502 16676
+rect 50564 16624 50566 16676
+rect 50404 16622 50428 16624
+rect 50484 16622 50508 16624
+rect 50564 16622 50588 16624
+rect 50348 16602 50644 16622
+rect 50348 15346 50644 15366
+rect 50404 15344 50428 15346
+rect 50484 15344 50508 15346
+rect 50564 15344 50588 15346
+rect 50426 15292 50428 15344
+rect 50490 15292 50502 15344
+rect 50564 15292 50566 15344
+rect 50404 15290 50428 15292
+rect 50484 15290 50508 15292
+rect 50564 15290 50588 15292
+rect 50348 15270 50644 15290
+rect 50518 14789 50570 14795
+rect 50518 14731 50570 14737
+rect 50530 14573 50558 14731
+rect 50518 14567 50570 14573
+rect 50518 14509 50570 14515
+rect 50348 14014 50644 14034
+rect 50404 14012 50428 14014
+rect 50484 14012 50508 14014
+rect 50564 14012 50588 14014
+rect 50426 13960 50428 14012
+rect 50490 13960 50502 14012
+rect 50564 13960 50566 14012
+rect 50404 13958 50428 13960
+rect 50484 13958 50508 13960
+rect 50564 13958 50588 13960
+rect 50348 13938 50644 13958
+rect 50902 13827 50954 13833
+rect 50902 13769 50954 13775
+rect 50230 13161 50282 13167
+rect 50230 13103 50282 13109
+rect 50242 8357 50270 13103
+rect 50348 12682 50644 12702
+rect 50404 12680 50428 12682
+rect 50484 12680 50508 12682
+rect 50564 12680 50588 12682
+rect 50426 12628 50428 12680
+rect 50490 12628 50502 12680
+rect 50564 12628 50566 12680
+rect 50404 12626 50428 12628
+rect 50484 12626 50508 12628
+rect 50564 12626 50588 12628
+rect 50348 12606 50644 12626
+rect 50348 11350 50644 11370
+rect 50404 11348 50428 11350
+rect 50484 11348 50508 11350
+rect 50564 11348 50588 11350
+rect 50426 11296 50428 11348
+rect 50490 11296 50502 11348
+rect 50564 11296 50566 11348
+rect 50404 11294 50428 11296
+rect 50484 11294 50508 11296
+rect 50564 11294 50588 11296
+rect 50348 11274 50644 11294
+rect 50348 10018 50644 10038
+rect 50404 10016 50428 10018
+rect 50484 10016 50508 10018
+rect 50564 10016 50588 10018
+rect 50426 9964 50428 10016
+rect 50490 9964 50502 10016
+rect 50564 9964 50566 10016
+rect 50404 9962 50428 9964
+rect 50484 9962 50508 9964
+rect 50564 9962 50588 9964
+rect 50348 9942 50644 9962
+rect 50348 8686 50644 8706
+rect 50404 8684 50428 8686
+rect 50484 8684 50508 8686
+rect 50564 8684 50588 8686
+rect 50426 8632 50428 8684
+rect 50490 8632 50502 8684
+rect 50564 8632 50566 8684
+rect 50404 8630 50428 8632
+rect 50484 8630 50508 8632
+rect 50564 8630 50588 8632
+rect 50348 8610 50644 8630
+rect 50230 8351 50282 8357
+rect 50230 8293 50282 8299
+rect 50038 7759 50090 7765
+rect 50038 7701 50090 7707
+rect 50348 7354 50644 7374
+rect 50404 7352 50428 7354
+rect 50484 7352 50508 7354
+rect 50564 7352 50588 7354
+rect 50426 7300 50428 7352
+rect 50490 7300 50502 7352
+rect 50564 7300 50566 7352
+rect 50404 7298 50428 7300
+rect 50484 7298 50508 7300
+rect 50564 7298 50588 7300
+rect 50348 7278 50644 7298
+rect 49942 7093 49994 7099
+rect 49942 7035 49994 7041
+rect 50134 6945 50186 6951
+rect 50134 6887 50186 6893
+rect 49846 6131 49898 6137
+rect 49846 6073 49898 6079
+rect 49750 2949 49802 2955
+rect 49750 2891 49802 2897
+rect 49858 800 49886 6073
+rect 49942 4281 49994 4287
+rect 49942 4223 49994 4229
+rect 49954 800 49982 4223
+rect 50038 2875 50090 2881
+rect 50038 2817 50090 2823
+rect 50050 800 50078 2817
+rect 50146 800 50174 6887
+rect 50914 6433 50942 13769
+rect 51094 9609 51146 9615
+rect 51094 9551 51146 9557
+rect 51106 7765 51134 9551
+rect 51490 7913 51518 16877
+rect 51778 15017 51806 55357
+rect 52738 51203 52766 56319
+rect 52726 51197 52778 51203
+rect 52726 51139 52778 51145
+rect 52534 50235 52586 50241
+rect 52534 50177 52586 50183
+rect 52546 50093 52574 50177
+rect 52534 50087 52586 50093
+rect 52534 50029 52586 50035
+rect 52546 49797 52574 50029
+rect 52534 49791 52586 49797
+rect 52534 49733 52586 49739
+rect 52246 47867 52298 47873
+rect 52246 47809 52298 47815
+rect 51766 15011 51818 15017
+rect 51766 14953 51818 14959
+rect 52054 13457 52106 13463
+rect 52054 13399 52106 13405
+rect 51670 12125 51722 12131
+rect 51670 12067 51722 12073
+rect 51478 7907 51530 7913
+rect 51478 7849 51530 7855
+rect 51094 7759 51146 7765
+rect 51094 7701 51146 7707
+rect 51682 7691 51710 12067
+rect 51670 7685 51722 7691
+rect 51670 7627 51722 7633
+rect 51670 7463 51722 7469
+rect 51670 7405 51722 7411
+rect 51382 6945 51434 6951
+rect 51382 6887 51434 6893
+rect 50902 6427 50954 6433
+rect 50902 6369 50954 6375
+rect 51094 6131 51146 6137
+rect 51094 6073 51146 6079
+rect 50348 6022 50644 6042
+rect 50404 6020 50428 6022
+rect 50484 6020 50508 6022
+rect 50564 6020 50588 6022
+rect 50426 5968 50428 6020
+rect 50490 5968 50502 6020
+rect 50564 5968 50566 6020
+rect 50404 5966 50428 5968
+rect 50484 5966 50508 5968
+rect 50564 5966 50588 5968
+rect 50348 5946 50644 5966
+rect 50710 5687 50762 5693
+rect 50710 5629 50762 5635
+rect 50422 5021 50474 5027
+rect 50422 4963 50474 4969
+rect 50434 4824 50462 4963
+rect 50242 4796 50462 4824
+rect 50242 2604 50270 4796
+rect 50348 4690 50644 4710
+rect 50404 4688 50428 4690
+rect 50484 4688 50508 4690
+rect 50564 4688 50588 4690
+rect 50426 4636 50428 4688
+rect 50490 4636 50502 4688
+rect 50564 4636 50566 4688
+rect 50404 4634 50428 4636
+rect 50484 4634 50508 4636
+rect 50564 4634 50588 4636
+rect 50348 4614 50644 4634
+rect 50722 3843 50750 5629
+rect 50902 5021 50954 5027
+rect 50902 4963 50954 4969
+rect 50710 3837 50762 3843
+rect 50710 3779 50762 3785
+rect 50710 3689 50762 3695
+rect 50710 3631 50762 3637
+rect 50806 3689 50858 3695
+rect 50806 3631 50858 3637
+rect 50348 3358 50644 3378
+rect 50404 3356 50428 3358
+rect 50484 3356 50508 3358
+rect 50564 3356 50588 3358
+rect 50426 3304 50428 3356
+rect 50490 3304 50502 3356
+rect 50564 3304 50566 3356
+rect 50404 3302 50428 3304
+rect 50484 3302 50508 3304
+rect 50564 3302 50588 3304
+rect 50348 3282 50644 3302
+rect 50242 2576 50366 2604
+rect 50338 800 50366 2576
+rect 50722 1864 50750 3631
+rect 50434 1836 50750 1864
+rect 50434 800 50462 1836
+rect 50710 1765 50762 1771
+rect 50710 1707 50762 1713
+rect 50518 1691 50570 1697
+rect 50518 1633 50570 1639
+rect 50530 800 50558 1633
+rect 50722 800 50750 1707
+rect 50818 800 50846 3631
+rect 50914 1771 50942 4963
+rect 50998 4281 51050 4287
+rect 50998 4223 51050 4229
+rect 50902 1765 50954 1771
+rect 50902 1707 50954 1713
+rect 50902 1617 50954 1623
+rect 50902 1559 50954 1565
+rect 50914 800 50942 1559
+rect 51010 800 51038 4223
+rect 51106 1697 51134 6073
+rect 51286 3689 51338 3695
+rect 51202 3649 51286 3677
+rect 51094 1691 51146 1697
+rect 51094 1633 51146 1639
+rect 51202 800 51230 3649
+rect 51286 3631 51338 3637
+rect 51394 3492 51422 6887
+rect 51478 6205 51530 6211
+rect 51478 6147 51530 6153
+rect 51298 3464 51422 3492
+rect 51298 800 51326 3464
+rect 51490 3196 51518 6147
+rect 51490 3168 51614 3196
+rect 51478 3023 51530 3029
+rect 51478 2965 51530 2971
+rect 51382 2949 51434 2955
+rect 51382 2891 51434 2897
+rect 51394 800 51422 2891
+rect 51490 800 51518 2965
+rect 51586 1623 51614 3168
+rect 51574 1617 51626 1623
+rect 51574 1559 51626 1565
+rect 51682 800 51710 7405
+rect 52066 7099 52094 13399
+rect 52258 7913 52286 47809
+rect 52438 24853 52490 24859
+rect 52438 24795 52490 24801
+rect 52342 13679 52394 13685
+rect 52342 13621 52394 13627
+rect 52246 7907 52298 7913
+rect 52246 7849 52298 7855
+rect 52054 7093 52106 7099
+rect 52054 7035 52106 7041
+rect 52054 6945 52106 6951
+rect 52054 6887 52106 6893
+rect 51862 5021 51914 5027
+rect 51862 4963 51914 4969
+rect 51766 3097 51818 3103
+rect 51766 3039 51818 3045
+rect 51778 800 51806 3039
+rect 51874 2955 51902 4963
+rect 51958 3541 52010 3547
+rect 51958 3483 52010 3489
+rect 51862 2949 51914 2955
+rect 51862 2891 51914 2897
+rect 51970 1864 51998 3483
+rect 51874 1836 51998 1864
+rect 51874 800 51902 1836
+rect 52066 800 52094 6887
+rect 52354 6433 52382 13621
+rect 52450 8431 52478 24795
+rect 52834 21529 52862 56689
+rect 52930 56531 52958 57586
+rect 53314 56531 53342 59200
+rect 53890 56975 53918 59200
+rect 53878 56969 53930 56975
+rect 53878 56911 53930 56917
+rect 54370 56531 54398 59200
+rect 54946 56531 54974 59200
+rect 55426 56901 55454 59200
+rect 55414 56895 55466 56901
+rect 55414 56837 55466 56843
+rect 55510 56747 55562 56753
+rect 55510 56689 55562 56695
+rect 52918 56525 52970 56531
+rect 52918 56467 52970 56473
+rect 53302 56525 53354 56531
+rect 53302 56467 53354 56473
+rect 54358 56525 54410 56531
+rect 54358 56467 54410 56473
+rect 54934 56525 54986 56531
+rect 54934 56467 54986 56473
+rect 52918 56229 52970 56235
+rect 52918 56171 52970 56177
+rect 53782 56229 53834 56235
+rect 53782 56171 53834 56177
+rect 54454 56229 54506 56235
+rect 54454 56171 54506 56177
+rect 55222 56229 55274 56235
+rect 55222 56171 55274 56177
+rect 52930 24563 52958 56171
+rect 53794 52905 53822 56171
+rect 53782 52899 53834 52905
+rect 53782 52841 53834 52847
+rect 53974 48829 54026 48835
+rect 53974 48771 54026 48777
+rect 53206 32105 53258 32111
+rect 53206 32047 53258 32053
+rect 52918 24557 52970 24563
+rect 52918 24499 52970 24505
+rect 52822 21523 52874 21529
+rect 52822 21465 52874 21471
+rect 52822 16417 52874 16423
+rect 52822 16359 52874 16365
+rect 52438 8425 52490 8431
+rect 52438 8367 52490 8373
+rect 52438 7463 52490 7469
+rect 52438 7405 52490 7411
+rect 52726 7463 52778 7469
+rect 52726 7405 52778 7411
+rect 52342 6427 52394 6433
+rect 52342 6369 52394 6375
+rect 52150 5687 52202 5693
+rect 52150 5629 52202 5635
+rect 52162 800 52190 5629
+rect 52246 5021 52298 5027
+rect 52246 4963 52298 4969
+rect 52258 3103 52286 4963
+rect 52246 3097 52298 3103
+rect 52246 3039 52298 3045
+rect 52246 2949 52298 2955
+rect 52246 2891 52298 2897
+rect 52258 800 52286 2891
+rect 52450 1864 52478 7405
+rect 52534 5687 52586 5693
+rect 52534 5629 52586 5635
+rect 52354 1836 52478 1864
+rect 52354 800 52382 1836
+rect 52546 800 52574 5629
+rect 52630 4355 52682 4361
+rect 52630 4297 52682 4303
+rect 52642 800 52670 4297
+rect 52738 800 52766 7405
+rect 52834 7099 52862 16359
+rect 53218 9245 53246 32047
+rect 53206 9239 53258 9245
+rect 53206 9181 53258 9187
+rect 53878 8795 53930 8801
+rect 53878 8737 53930 8743
+rect 53110 8277 53162 8283
+rect 53110 8219 53162 8225
+rect 53494 8277 53546 8283
+rect 53494 8219 53546 8225
+rect 52822 7093 52874 7099
+rect 52822 7035 52874 7041
+rect 53014 4281 53066 4287
+rect 53014 4223 53066 4229
+rect 52918 2949 52970 2955
+rect 52918 2891 52970 2897
+rect 52930 800 52958 2891
+rect 53026 800 53054 4223
+rect 53122 800 53150 8219
+rect 53302 5021 53354 5027
+rect 53302 4963 53354 4969
+rect 53314 2900 53342 4963
+rect 53398 3689 53450 3695
+rect 53398 3631 53450 3637
+rect 53218 2872 53342 2900
+rect 53218 800 53246 2872
+rect 53410 800 53438 3631
+rect 53506 800 53534 8219
+rect 53686 5687 53738 5693
+rect 53686 5629 53738 5635
+rect 53590 5613 53642 5619
+rect 53590 5555 53642 5561
+rect 53602 800 53630 5555
+rect 53698 2955 53726 5629
+rect 53782 3023 53834 3029
+rect 53782 2965 53834 2971
+rect 53686 2949 53738 2955
+rect 53686 2891 53738 2897
+rect 53794 1568 53822 2965
+rect 53698 1540 53822 1568
+rect 53698 800 53726 1540
+rect 53890 800 53918 8737
+rect 53986 8431 54014 48771
+rect 54070 36915 54122 36921
+rect 54070 36857 54122 36863
+rect 54082 11021 54110 36857
+rect 54466 13537 54494 56171
+rect 55234 20789 55262 56171
+rect 55414 50087 55466 50093
+rect 55414 50029 55466 50035
+rect 55426 49871 55454 50029
+rect 55414 49865 55466 49871
+rect 55414 49807 55466 49813
+rect 55522 23009 55550 56689
+rect 56002 56531 56030 59200
+rect 55990 56525 56042 56531
+rect 55990 56467 56042 56473
+rect 56482 55717 56510 59200
+rect 57058 56901 57086 59200
+rect 57046 56895 57098 56901
+rect 57046 56837 57098 56843
+rect 57538 55717 57566 59200
+rect 56470 55711 56522 55717
+rect 56470 55653 56522 55659
+rect 57526 55711 57578 55717
+rect 57526 55653 57578 55659
+rect 57238 55415 57290 55421
+rect 57238 55357 57290 55363
+rect 55606 48903 55658 48909
+rect 55606 48845 55658 48851
+rect 55510 23003 55562 23009
+rect 55510 22945 55562 22951
+rect 55222 20783 55274 20789
+rect 55222 20725 55274 20731
+rect 54454 13531 54506 13537
+rect 54454 13473 54506 13479
+rect 54454 12125 54506 12131
+rect 54454 12067 54506 12073
+rect 54070 11015 54122 11021
+rect 54070 10957 54122 10963
+rect 54262 9535 54314 9541
+rect 54262 9477 54314 9483
+rect 53974 8425 54026 8431
+rect 53974 8367 54026 8373
+rect 53974 6353 54026 6359
+rect 53974 6295 54026 6301
+rect 53986 800 54014 6295
+rect 54070 4355 54122 4361
+rect 54070 4297 54122 4303
+rect 54082 800 54110 4297
+rect 54274 800 54302 9477
+rect 54466 7099 54494 12067
+rect 54742 11089 54794 11095
+rect 54742 11031 54794 11037
+rect 54754 10577 54782 11031
+rect 54742 10571 54794 10577
+rect 54742 10513 54794 10519
+rect 55126 10497 55178 10503
+rect 55126 10439 55178 10445
+rect 55138 10300 55166 10439
+rect 55042 10272 55166 10300
+rect 55042 10207 55070 10272
+rect 55030 10201 55082 10207
+rect 55030 10143 55082 10149
+rect 55126 9757 55178 9763
+rect 55126 9699 55178 9705
+rect 54934 9609 54986 9615
+rect 54934 9551 54986 9557
+rect 54550 9091 54602 9097
+rect 54550 9033 54602 9039
+rect 54454 7093 54506 7099
+rect 54454 7035 54506 7041
+rect 54358 6279 54410 6285
+rect 54358 6221 54410 6227
+rect 54370 800 54398 6221
+rect 54454 3541 54506 3547
+rect 54454 3483 54506 3489
+rect 54466 800 54494 3483
+rect 54562 800 54590 9033
+rect 54742 7019 54794 7025
+rect 54742 6961 54794 6967
+rect 54754 800 54782 6961
+rect 54838 2949 54890 2955
+rect 54838 2891 54890 2897
+rect 54850 800 54878 2891
+rect 54946 800 54974 9551
+rect 55030 6205 55082 6211
+rect 55030 6147 55082 6153
+rect 55042 800 55070 6147
+rect 55138 2807 55166 9699
+rect 55318 9535 55370 9541
+rect 55318 9477 55370 9483
+rect 55222 8869 55274 8875
+rect 55222 8811 55274 8817
+rect 55234 4139 55262 8811
+rect 55222 4133 55274 4139
+rect 55222 4075 55274 4081
+rect 55222 3467 55274 3473
+rect 55222 3409 55274 3415
+rect 55126 2801 55178 2807
+rect 55126 2743 55178 2749
+rect 55234 800 55262 3409
+rect 55330 800 55358 9477
+rect 55618 9245 55646 48845
+rect 55990 46535 56042 46541
+rect 55990 46477 56042 46483
+rect 55894 36175 55946 36181
+rect 55894 36117 55946 36123
+rect 55702 10127 55754 10133
+rect 55702 10069 55754 10075
+rect 55606 9239 55658 9245
+rect 55606 9181 55658 9187
+rect 55414 7019 55466 7025
+rect 55414 6961 55466 6967
+rect 55426 800 55454 6961
+rect 55606 4355 55658 4361
+rect 55606 4297 55658 4303
+rect 55618 800 55646 4297
+rect 55714 800 55742 10069
+rect 55906 9763 55934 36117
+rect 56002 11095 56030 46477
+rect 56182 25445 56234 25451
+rect 56182 25387 56234 25393
+rect 56194 17237 56222 25387
+rect 56182 17231 56234 17237
+rect 56182 17173 56234 17179
+rect 56278 12273 56330 12279
+rect 56278 12215 56330 12221
+rect 55990 11089 56042 11095
+rect 55990 11031 56042 11037
+rect 56086 10423 56138 10429
+rect 56086 10365 56138 10371
+rect 55990 10349 56042 10355
+rect 55990 10291 56042 10297
+rect 55894 9757 55946 9763
+rect 55894 9699 55946 9705
+rect 56002 8505 56030 10291
+rect 55990 8499 56042 8505
+rect 55990 8441 56042 8447
+rect 55798 7685 55850 7691
+rect 55798 7627 55850 7633
+rect 55810 800 55838 7627
+rect 55894 3763 55946 3769
+rect 55894 3705 55946 3711
+rect 55906 800 55934 3705
+rect 56098 800 56126 10365
+rect 56182 7685 56234 7691
+rect 56182 7627 56234 7633
+rect 56194 800 56222 7627
+rect 56290 3843 56318 12215
+rect 57142 11459 57194 11465
+rect 57142 11401 57194 11407
+rect 56758 10941 56810 10947
+rect 56758 10883 56810 10889
+rect 56470 10127 56522 10133
+rect 56470 10069 56522 10075
+rect 56374 6945 56426 6951
+rect 56374 6887 56426 6893
+rect 56278 3837 56330 3843
+rect 56278 3779 56330 3785
+rect 56278 3615 56330 3621
+rect 56278 3557 56330 3563
+rect 56290 800 56318 3557
+rect 56386 3177 56414 6887
+rect 56374 3171 56426 3177
+rect 56374 3113 56426 3119
+rect 56482 800 56510 10069
+rect 56662 7685 56714 7691
+rect 56662 7627 56714 7633
+rect 56674 7214 56702 7627
+rect 56578 7186 56702 7214
+rect 56578 800 56606 7186
+rect 56662 4355 56714 4361
+rect 56662 4297 56714 4303
+rect 56674 800 56702 4297
+rect 56770 800 56798 10883
+rect 56950 8351 57002 8357
+rect 56950 8293 57002 8299
+rect 56854 6427 56906 6433
+rect 56854 6369 56906 6375
+rect 56866 3029 56894 6369
+rect 56854 3023 56906 3029
+rect 56854 2965 56906 2971
+rect 56962 800 56990 8293
+rect 57046 5021 57098 5027
+rect 57046 4963 57098 4969
+rect 57058 800 57086 4963
+rect 57154 800 57182 11401
+rect 57250 10503 57278 55357
+rect 58114 54385 58142 59200
+rect 58594 56309 58622 59200
+rect 58582 56303 58634 56309
+rect 58582 56245 58634 56251
+rect 59170 55199 59198 59200
+rect 59158 55193 59210 55199
+rect 59158 55135 59210 55141
+rect 58102 54379 58154 54385
+rect 58102 54321 58154 54327
+rect 57910 54231 57962 54237
+rect 57910 54173 57962 54179
+rect 57622 53417 57674 53423
+rect 57622 53359 57674 53365
+rect 57634 22935 57662 53359
+rect 57922 38549 57950 54173
+rect 59650 53867 59678 59200
+rect 59638 53861 59690 53867
+rect 59638 53803 59690 53809
+rect 57910 38543 57962 38549
+rect 57910 38485 57962 38491
+rect 57814 30107 57866 30113
+rect 57814 30049 57866 30055
+rect 57826 30007 57854 30049
+rect 57812 29998 57868 30007
+rect 57812 29933 57868 29942
+rect 57622 22929 57674 22935
+rect 57622 22871 57674 22877
+rect 57334 21449 57386 21455
+rect 57334 21391 57386 21397
+rect 57346 21233 57374 21391
+rect 57334 21227 57386 21233
+rect 57334 21169 57386 21175
+rect 57526 16935 57578 16941
+rect 57526 16877 57578 16883
+rect 57238 10497 57290 10503
+rect 57238 10439 57290 10445
+rect 57238 9017 57290 9023
+rect 57238 8959 57290 8965
+rect 57250 800 57278 8959
+rect 57334 8943 57386 8949
+rect 57334 8885 57386 8891
+rect 57346 4213 57374 8885
+rect 57538 6803 57566 16877
+rect 58006 13457 58058 13463
+rect 58006 13399 58058 13405
+rect 58018 13241 58046 13399
+rect 58006 13235 58058 13241
+rect 58006 13177 58058 13183
+rect 58198 11829 58250 11835
+rect 58198 11771 58250 11777
+rect 57622 9683 57674 9689
+rect 57622 9625 57674 9631
+rect 57526 6797 57578 6803
+rect 57526 6739 57578 6745
+rect 57430 5687 57482 5693
+rect 57430 5629 57482 5635
+rect 57334 4207 57386 4213
+rect 57334 4149 57386 4155
+rect 57442 800 57470 5629
+rect 57526 3837 57578 3843
+rect 57526 3779 57578 3785
+rect 57538 800 57566 3779
+rect 57634 800 57662 9625
+rect 58102 6353 58154 6359
+rect 58102 6295 58154 6301
+rect 57814 5095 57866 5101
+rect 57814 5037 57866 5043
+rect 57826 800 57854 5037
+rect 57910 4133 57962 4139
+rect 57910 4075 57962 4081
+rect 57922 800 57950 4075
+rect 58006 3023 58058 3029
+rect 58006 2965 58058 2971
+rect 58018 800 58046 2965
+rect 58114 800 58142 6295
+rect 58210 3695 58238 11771
+rect 58294 10867 58346 10873
+rect 58294 10809 58346 10815
+rect 58198 3689 58250 3695
+rect 58198 3631 58250 3637
+rect 58306 800 58334 10809
+rect 58582 10127 58634 10133
+rect 58582 10069 58634 10075
+rect 58390 8277 58442 8283
+rect 58390 8219 58442 8225
+rect 58402 800 58430 8219
+rect 58486 7019 58538 7025
+rect 58486 6961 58538 6967
+rect 58498 800 58526 6961
+rect 58594 800 58622 10069
+rect 58966 8573 59018 8579
+rect 58966 8515 59018 8521
+rect 58774 7759 58826 7765
+rect 58774 7701 58826 7707
+rect 58786 800 58814 7701
+rect 58870 6279 58922 6285
+rect 58870 6221 58922 6227
+rect 58882 800 58910 6221
+rect 58978 800 59006 8515
+rect 59830 8203 59882 8209
+rect 59830 8145 59882 8151
+rect 59350 7463 59402 7469
+rect 59350 7405 59402 7411
+rect 59254 5169 59306 5175
+rect 59254 5111 59306 5117
+rect 59158 4207 59210 4213
+rect 59158 4149 59210 4155
+rect 59170 800 59198 4149
+rect 59266 800 59294 5111
+rect 59362 800 59390 7405
+rect 59638 5613 59690 5619
+rect 59638 5555 59690 5561
+rect 59446 3171 59498 3177
+rect 59446 3113 59498 3119
+rect 59458 800 59486 3113
+rect 59650 800 59678 5555
+rect 59734 3689 59786 3695
+rect 59734 3631 59786 3637
+rect 59746 800 59774 3631
+rect 59842 800 59870 8145
+rect 20 0 76 800
+rect 116 0 172 800
+rect 212 0 268 800
+rect 308 0 364 800
+rect 500 0 556 800
+rect 596 0 652 800
+rect 692 0 748 800
+rect 788 0 844 800
+rect 980 0 1036 800
+rect 1076 0 1132 800
+rect 1172 0 1228 800
+rect 1364 0 1420 800
+rect 1460 0 1516 800
+rect 1556 0 1612 800
+rect 1652 0 1708 800
+rect 1844 0 1900 800
+rect 1940 0 1996 800
+rect 2036 0 2092 800
+rect 2132 0 2188 800
+rect 2324 0 2380 800
+rect 2420 0 2476 800
+rect 2516 0 2572 800
+rect 2708 0 2764 800
+rect 2804 0 2860 800
+rect 2900 0 2956 800
+rect 2996 0 3052 800
+rect 3188 0 3244 800
+rect 3284 0 3340 800
+rect 3380 0 3436 800
+rect 3476 0 3532 800
+rect 3668 0 3724 800
+rect 3764 0 3820 800
+rect 3860 0 3916 800
+rect 4052 0 4108 800
+rect 4148 0 4204 800
+rect 4244 0 4300 800
+rect 4340 0 4396 800
+rect 4532 0 4588 800
+rect 4628 0 4684 800
+rect 4724 0 4780 800
+rect 4916 0 4972 800
+rect 5012 0 5068 800
+rect 5108 0 5164 800
+rect 5204 0 5260 800
+rect 5396 0 5452 800
+rect 5492 0 5548 800
+rect 5588 0 5644 800
+rect 5684 0 5740 800
+rect 5876 0 5932 800
+rect 5972 0 6028 800
+rect 6068 0 6124 800
+rect 6260 0 6316 800
+rect 6356 0 6412 800
+rect 6452 0 6508 800
+rect 6548 0 6604 800
+rect 6740 0 6796 800
+rect 6836 0 6892 800
+rect 6932 0 6988 800
+rect 7028 0 7084 800
+rect 7220 0 7276 800
+rect 7316 0 7372 800
+rect 7412 0 7468 800
+rect 7604 0 7660 800
+rect 7700 0 7756 800
+rect 7796 0 7852 800
+rect 7892 0 7948 800
+rect 8084 0 8140 800
+rect 8180 0 8236 800
+rect 8276 0 8332 800
+rect 8468 0 8524 800
+rect 8564 0 8620 800
+rect 8660 0 8716 800
+rect 8756 0 8812 800
+rect 8948 0 9004 800
+rect 9044 0 9100 800
+rect 9140 0 9196 800
+rect 9236 0 9292 800
+rect 9428 0 9484 800
+rect 9524 0 9580 800
+rect 9620 0 9676 800
+rect 9812 0 9868 800
+rect 9908 0 9964 800
+rect 10004 0 10060 800
+rect 10100 0 10156 800
+rect 10292 0 10348 800
+rect 10388 0 10444 800
+rect 10484 0 10540 800
+rect 10580 0 10636 800
+rect 10772 0 10828 800
+rect 10868 0 10924 800
+rect 10964 0 11020 800
+rect 11156 0 11212 800
+rect 11252 0 11308 800
+rect 11348 0 11404 800
+rect 11444 0 11500 800
+rect 11636 0 11692 800
+rect 11732 0 11788 800
+rect 11828 0 11884 800
+rect 12020 0 12076 800
+rect 12116 0 12172 800
+rect 12212 0 12268 800
+rect 12308 0 12364 800
+rect 12500 0 12556 800
+rect 12596 0 12652 800
+rect 12692 0 12748 800
+rect 12788 0 12844 800
+rect 12980 0 13036 800
+rect 13076 0 13132 800
+rect 13172 0 13228 800
+rect 13364 0 13420 800
+rect 13460 0 13516 800
+rect 13556 0 13612 800
+rect 13652 0 13708 800
+rect 13844 0 13900 800
+rect 13940 0 13996 800
+rect 14036 0 14092 800
+rect 14132 0 14188 800
+rect 14324 0 14380 800
+rect 14420 0 14476 800
+rect 14516 0 14572 800
+rect 14708 0 14764 800
+rect 14804 0 14860 800
+rect 14900 0 14956 800
+rect 14996 0 15052 800
+rect 15188 0 15244 800
+rect 15284 0 15340 800
+rect 15380 0 15436 800
+rect 15476 0 15532 800
+rect 15668 0 15724 800
+rect 15764 0 15820 800
+rect 15860 0 15916 800
+rect 16052 0 16108 800
+rect 16148 0 16204 800
+rect 16244 0 16300 800
+rect 16340 0 16396 800
+rect 16532 0 16588 800
+rect 16628 0 16684 800
+rect 16724 0 16780 800
+rect 16916 0 16972 800
+rect 17012 0 17068 800
+rect 17108 0 17164 800
+rect 17204 0 17260 800
+rect 17396 0 17452 800
+rect 17492 0 17548 800
+rect 17588 0 17644 800
+rect 17684 0 17740 800
+rect 17876 0 17932 800
+rect 17972 0 18028 800
+rect 18068 0 18124 800
+rect 18260 0 18316 800
+rect 18356 0 18412 800
+rect 18452 0 18508 800
+rect 18548 0 18604 800
+rect 18740 0 18796 800
+rect 18836 0 18892 800
+rect 18932 0 18988 800
+rect 19028 0 19084 800
+rect 19220 0 19276 800
+rect 19316 0 19372 800
+rect 19412 0 19468 800
+rect 19604 0 19660 800
+rect 19700 0 19756 800
+rect 19796 0 19852 800
+rect 19892 0 19948 800
+rect 20084 0 20140 800
+rect 20180 0 20236 800
+rect 20276 0 20332 800
+rect 20468 0 20524 800
+rect 20564 0 20620 800
+rect 20660 0 20716 800
+rect 20756 0 20812 800
+rect 20948 0 21004 800
+rect 21044 0 21100 800
+rect 21140 0 21196 800
+rect 21236 0 21292 800
+rect 21428 0 21484 800
+rect 21524 0 21580 800
+rect 21620 0 21676 800
+rect 21812 0 21868 800
+rect 21908 0 21964 800
+rect 22004 0 22060 800
+rect 22100 0 22156 800
+rect 22292 0 22348 800
+rect 22388 0 22444 800
+rect 22484 0 22540 800
+rect 22580 0 22636 800
+rect 22772 0 22828 800
+rect 22868 0 22924 800
+rect 22964 0 23020 800
+rect 23156 0 23212 800
+rect 23252 0 23308 800
+rect 23348 0 23404 800
+rect 23444 0 23500 800
+rect 23636 0 23692 800
+rect 23732 0 23788 800
+rect 23828 0 23884 800
+rect 24020 0 24076 800
+rect 24116 0 24172 800
+rect 24212 0 24268 800
+rect 24308 0 24364 800
+rect 24500 0 24556 800
+rect 24596 0 24652 800
+rect 24692 0 24748 800
+rect 24788 0 24844 800
+rect 24980 0 25036 800
+rect 25076 0 25132 800
+rect 25172 0 25228 800
+rect 25364 0 25420 800
+rect 25460 0 25516 800
+rect 25556 0 25612 800
+rect 25652 0 25708 800
+rect 25844 0 25900 800
+rect 25940 0 25996 800
+rect 26036 0 26092 800
+rect 26132 0 26188 800
+rect 26324 0 26380 800
+rect 26420 0 26476 800
+rect 26516 0 26572 800
+rect 26708 0 26764 800
+rect 26804 0 26860 800
+rect 26900 0 26956 800
+rect 26996 0 27052 800
+rect 27188 0 27244 800
+rect 27284 0 27340 800
+rect 27380 0 27436 800
+rect 27476 0 27532 800
+rect 27668 0 27724 800
+rect 27764 0 27820 800
+rect 27860 0 27916 800
+rect 28052 0 28108 800
+rect 28148 0 28204 800
+rect 28244 0 28300 800
+rect 28340 0 28396 800
+rect 28532 0 28588 800
+rect 28628 0 28684 800
+rect 28724 0 28780 800
+rect 28916 0 28972 800
+rect 29012 0 29068 800
+rect 29108 0 29164 800
+rect 29204 0 29260 800
+rect 29396 0 29452 800
+rect 29492 0 29548 800
+rect 29588 0 29644 800
+rect 29684 0 29740 800
+rect 29876 0 29932 800
+rect 29972 0 30028 800
+rect 30068 0 30124 800
+rect 30260 0 30316 800
+rect 30356 0 30412 800
+rect 30452 0 30508 800
+rect 30548 0 30604 800
+rect 30740 0 30796 800
+rect 30836 0 30892 800
+rect 30932 0 30988 800
+rect 31028 0 31084 800
+rect 31220 0 31276 800
+rect 31316 0 31372 800
+rect 31412 0 31468 800
+rect 31604 0 31660 800
+rect 31700 0 31756 800
+rect 31796 0 31852 800
+rect 31892 0 31948 800
+rect 32084 0 32140 800
+rect 32180 0 32236 800
+rect 32276 0 32332 800
+rect 32468 0 32524 800
+rect 32564 0 32620 800
+rect 32660 0 32716 800
+rect 32756 0 32812 800
+rect 32948 0 33004 800
+rect 33044 0 33100 800
+rect 33140 0 33196 800
+rect 33236 0 33292 800
+rect 33428 0 33484 800
+rect 33524 0 33580 800
+rect 33620 0 33676 800
+rect 33812 0 33868 800
+rect 33908 0 33964 800
+rect 34004 0 34060 800
+rect 34100 0 34156 800
+rect 34292 0 34348 800
+rect 34388 0 34444 800
+rect 34484 0 34540 800
+rect 34580 0 34636 800
+rect 34772 0 34828 800
+rect 34868 0 34924 800
+rect 34964 0 35020 800
+rect 35156 0 35212 800
+rect 35252 0 35308 800
+rect 35348 0 35404 800
+rect 35444 0 35500 800
+rect 35636 0 35692 800
+rect 35732 0 35788 800
+rect 35828 0 35884 800
+rect 36020 0 36076 800
+rect 36116 0 36172 800
+rect 36212 0 36268 800
+rect 36308 0 36364 800
+rect 36500 0 36556 800
+rect 36596 0 36652 800
+rect 36692 0 36748 800
+rect 36788 0 36844 800
+rect 36980 0 37036 800
+rect 37076 0 37132 800
+rect 37172 0 37228 800
+rect 37364 0 37420 800
+rect 37460 0 37516 800
+rect 37556 0 37612 800
+rect 37652 0 37708 800
+rect 37844 0 37900 800
+rect 37940 0 37996 800
+rect 38036 0 38092 800
+rect 38132 0 38188 800
+rect 38324 0 38380 800
+rect 38420 0 38476 800
+rect 38516 0 38572 800
+rect 38708 0 38764 800
+rect 38804 0 38860 800
+rect 38900 0 38956 800
+rect 38996 0 39052 800
+rect 39188 0 39244 800
+rect 39284 0 39340 800
+rect 39380 0 39436 800
+rect 39476 0 39532 800
+rect 39668 0 39724 800
+rect 39764 0 39820 800
+rect 39860 0 39916 800
+rect 40052 0 40108 800
+rect 40148 0 40204 800
+rect 40244 0 40300 800
+rect 40340 0 40396 800
+rect 40532 0 40588 800
+rect 40628 0 40684 800
+rect 40724 0 40780 800
+rect 40916 0 40972 800
+rect 41012 0 41068 800
+rect 41108 0 41164 800
+rect 41204 0 41260 800
+rect 41396 0 41452 800
+rect 41492 0 41548 800
+rect 41588 0 41644 800
+rect 41684 0 41740 800
+rect 41876 0 41932 800
+rect 41972 0 42028 800
+rect 42068 0 42124 800
+rect 42260 0 42316 800
+rect 42356 0 42412 800
+rect 42452 0 42508 800
+rect 42548 0 42604 800
+rect 42740 0 42796 800
+rect 42836 0 42892 800
+rect 42932 0 42988 800
+rect 43028 0 43084 800
+rect 43220 0 43276 800
+rect 43316 0 43372 800
+rect 43412 0 43468 800
+rect 43604 0 43660 800
+rect 43700 0 43756 800
+rect 43796 0 43852 800
+rect 43892 0 43948 800
+rect 44084 0 44140 800
+rect 44180 0 44236 800
+rect 44276 0 44332 800
+rect 44468 0 44524 800
+rect 44564 0 44620 800
+rect 44660 0 44716 800
+rect 44756 0 44812 800
+rect 44948 0 45004 800
+rect 45044 0 45100 800
+rect 45140 0 45196 800
+rect 45236 0 45292 800
+rect 45428 0 45484 800
+rect 45524 0 45580 800
+rect 45620 0 45676 800
+rect 45812 0 45868 800
+rect 45908 0 45964 800
+rect 46004 0 46060 800
+rect 46100 0 46156 800
+rect 46292 0 46348 800
+rect 46388 0 46444 800
+rect 46484 0 46540 800
+rect 46580 0 46636 800
+rect 46772 0 46828 800
+rect 46868 0 46924 800
+rect 46964 0 47020 800
+rect 47156 0 47212 800
+rect 47252 0 47308 800
+rect 47348 0 47404 800
+rect 47444 0 47500 800
+rect 47636 0 47692 800
+rect 47732 0 47788 800
+rect 47828 0 47884 800
+rect 48020 0 48076 800
+rect 48116 0 48172 800
+rect 48212 0 48268 800
+rect 48308 0 48364 800
+rect 48500 0 48556 800
+rect 48596 0 48652 800
+rect 48692 0 48748 800
+rect 48788 0 48844 800
+rect 48980 0 49036 800
+rect 49076 0 49132 800
+rect 49172 0 49228 800
+rect 49364 0 49420 800
+rect 49460 0 49516 800
+rect 49556 0 49612 800
+rect 49652 0 49708 800
+rect 49844 0 49900 800
+rect 49940 0 49996 800
+rect 50036 0 50092 800
+rect 50132 0 50188 800
+rect 50324 0 50380 800
+rect 50420 0 50476 800
+rect 50516 0 50572 800
+rect 50708 0 50764 800
+rect 50804 0 50860 800
+rect 50900 0 50956 800
+rect 50996 0 51052 800
+rect 51188 0 51244 800
+rect 51284 0 51340 800
+rect 51380 0 51436 800
+rect 51476 0 51532 800
+rect 51668 0 51724 800
+rect 51764 0 51820 800
+rect 51860 0 51916 800
+rect 52052 0 52108 800
+rect 52148 0 52204 800
+rect 52244 0 52300 800
+rect 52340 0 52396 800
+rect 52532 0 52588 800
+rect 52628 0 52684 800
+rect 52724 0 52780 800
+rect 52916 0 52972 800
+rect 53012 0 53068 800
+rect 53108 0 53164 800
+rect 53204 0 53260 800
+rect 53396 0 53452 800
+rect 53492 0 53548 800
+rect 53588 0 53644 800
+rect 53684 0 53740 800
+rect 53876 0 53932 800
+rect 53972 0 54028 800
+rect 54068 0 54124 800
+rect 54260 0 54316 800
+rect 54356 0 54412 800
+rect 54452 0 54508 800
+rect 54548 0 54604 800
+rect 54740 0 54796 800
+rect 54836 0 54892 800
+rect 54932 0 54988 800
+rect 55028 0 55084 800
+rect 55220 0 55276 800
+rect 55316 0 55372 800
+rect 55412 0 55468 800
+rect 55604 0 55660 800
+rect 55700 0 55756 800
+rect 55796 0 55852 800
+rect 55892 0 55948 800
+rect 56084 0 56140 800
+rect 56180 0 56236 800
+rect 56276 0 56332 800
+rect 56468 0 56524 800
+rect 56564 0 56620 800
+rect 56660 0 56716 800
+rect 56756 0 56812 800
+rect 56948 0 57004 800
+rect 57044 0 57100 800
+rect 57140 0 57196 800
+rect 57236 0 57292 800
+rect 57428 0 57484 800
+rect 57524 0 57580 800
+rect 57620 0 57676 800
+rect 57812 0 57868 800
+rect 57908 0 57964 800
+rect 58004 0 58060 800
+rect 58100 0 58156 800
+rect 58292 0 58348 800
+rect 58388 0 58444 800
+rect 58484 0 58540 800
+rect 58580 0 58636 800
+rect 58772 0 58828 800
+rect 58868 0 58924 800
+rect 58964 0 59020 800
+rect 59156 0 59212 800
+rect 59252 0 59308 800
+rect 59348 0 59404 800
+rect 59444 0 59500 800
+rect 59636 0 59692 800
+rect 59732 0 59788 800
+rect 59828 0 59884 800
+<< via2 >>
+rect 1652 44890 1708 44946
+rect 1652 14994 1708 15050
+rect 4268 57302 4324 57304
+rect 4348 57302 4404 57304
+rect 4428 57302 4484 57304
+rect 4508 57302 4564 57304
+rect 4268 57250 4294 57302
+rect 4294 57250 4324 57302
+rect 4348 57250 4358 57302
+rect 4358 57250 4404 57302
+rect 4428 57250 4474 57302
+rect 4474 57250 4484 57302
+rect 4508 57250 4538 57302
+rect 4538 57250 4564 57302
+rect 4268 57248 4324 57250
+rect 4348 57248 4404 57250
+rect 4428 57248 4484 57250
+rect 4508 57248 4564 57250
+rect 4268 55970 4324 55972
+rect 4348 55970 4404 55972
+rect 4428 55970 4484 55972
+rect 4508 55970 4564 55972
+rect 4268 55918 4294 55970
+rect 4294 55918 4324 55970
+rect 4348 55918 4358 55970
+rect 4358 55918 4404 55970
+rect 4428 55918 4474 55970
+rect 4474 55918 4484 55970
+rect 4508 55918 4538 55970
+rect 4538 55918 4564 55970
+rect 4268 55916 4324 55918
+rect 4348 55916 4404 55918
+rect 4428 55916 4484 55918
+rect 4508 55916 4564 55918
+rect 4268 54638 4324 54640
+rect 4348 54638 4404 54640
+rect 4428 54638 4484 54640
+rect 4508 54638 4564 54640
+rect 4268 54586 4294 54638
+rect 4294 54586 4324 54638
+rect 4348 54586 4358 54638
+rect 4358 54586 4404 54638
+rect 4428 54586 4474 54638
+rect 4474 54586 4484 54638
+rect 4508 54586 4538 54638
+rect 4538 54586 4564 54638
+rect 4268 54584 4324 54586
+rect 4348 54584 4404 54586
+rect 4428 54584 4484 54586
+rect 4508 54584 4564 54586
+rect 4268 53306 4324 53308
+rect 4348 53306 4404 53308
+rect 4428 53306 4484 53308
+rect 4508 53306 4564 53308
+rect 4268 53254 4294 53306
+rect 4294 53254 4324 53306
+rect 4348 53254 4358 53306
+rect 4358 53254 4404 53306
+rect 4428 53254 4474 53306
+rect 4474 53254 4484 53306
+rect 4508 53254 4538 53306
+rect 4538 53254 4564 53306
+rect 4268 53252 4324 53254
+rect 4348 53252 4404 53254
+rect 4428 53252 4484 53254
+rect 4508 53252 4564 53254
+rect 4268 51974 4324 51976
+rect 4348 51974 4404 51976
+rect 4428 51974 4484 51976
+rect 4508 51974 4564 51976
+rect 4268 51922 4294 51974
+rect 4294 51922 4324 51974
+rect 4348 51922 4358 51974
+rect 4358 51922 4404 51974
+rect 4428 51922 4474 51974
+rect 4474 51922 4484 51974
+rect 4508 51922 4538 51974
+rect 4538 51922 4564 51974
+rect 4268 51920 4324 51922
+rect 4348 51920 4404 51922
+rect 4428 51920 4484 51922
+rect 4508 51920 4564 51922
+rect 4268 50642 4324 50644
+rect 4348 50642 4404 50644
+rect 4428 50642 4484 50644
+rect 4508 50642 4564 50644
+rect 4268 50590 4294 50642
+rect 4294 50590 4324 50642
+rect 4348 50590 4358 50642
+rect 4358 50590 4404 50642
+rect 4428 50590 4474 50642
+rect 4474 50590 4484 50642
+rect 4508 50590 4538 50642
+rect 4538 50590 4564 50642
+rect 4268 50588 4324 50590
+rect 4348 50588 4404 50590
+rect 4428 50588 4484 50590
+rect 4508 50588 4564 50590
+rect 4268 49310 4324 49312
+rect 4348 49310 4404 49312
+rect 4428 49310 4484 49312
+rect 4508 49310 4564 49312
+rect 4268 49258 4294 49310
+rect 4294 49258 4324 49310
+rect 4348 49258 4358 49310
+rect 4358 49258 4404 49310
+rect 4428 49258 4474 49310
+rect 4474 49258 4484 49310
+rect 4508 49258 4538 49310
+rect 4538 49258 4564 49310
+rect 4268 49256 4324 49258
+rect 4348 49256 4404 49258
+rect 4428 49256 4484 49258
+rect 4508 49256 4564 49258
+rect 4268 47978 4324 47980
+rect 4348 47978 4404 47980
+rect 4428 47978 4484 47980
+rect 4508 47978 4564 47980
+rect 4268 47926 4294 47978
+rect 4294 47926 4324 47978
+rect 4348 47926 4358 47978
+rect 4358 47926 4404 47978
+rect 4428 47926 4474 47978
+rect 4474 47926 4484 47978
+rect 4508 47926 4538 47978
+rect 4538 47926 4564 47978
+rect 4268 47924 4324 47926
+rect 4348 47924 4404 47926
+rect 4428 47924 4484 47926
+rect 4508 47924 4564 47926
+rect 4268 46646 4324 46648
+rect 4348 46646 4404 46648
+rect 4428 46646 4484 46648
+rect 4508 46646 4564 46648
+rect 4268 46594 4294 46646
+rect 4294 46594 4324 46646
+rect 4348 46594 4358 46646
+rect 4358 46594 4404 46646
+rect 4428 46594 4474 46646
+rect 4474 46594 4484 46646
+rect 4508 46594 4538 46646
+rect 4538 46594 4564 46646
+rect 4268 46592 4324 46594
+rect 4348 46592 4404 46594
+rect 4428 46592 4484 46594
+rect 4508 46592 4564 46594
+rect 4268 45314 4324 45316
+rect 4348 45314 4404 45316
+rect 4428 45314 4484 45316
+rect 4508 45314 4564 45316
+rect 4268 45262 4294 45314
+rect 4294 45262 4324 45314
+rect 4348 45262 4358 45314
+rect 4358 45262 4404 45314
+rect 4428 45262 4474 45314
+rect 4474 45262 4484 45314
+rect 4508 45262 4538 45314
+rect 4538 45262 4564 45314
+rect 4268 45260 4324 45262
+rect 4348 45260 4404 45262
+rect 4428 45260 4484 45262
+rect 4508 45260 4564 45262
+rect 4268 43982 4324 43984
+rect 4348 43982 4404 43984
+rect 4428 43982 4484 43984
+rect 4508 43982 4564 43984
+rect 4268 43930 4294 43982
+rect 4294 43930 4324 43982
+rect 4348 43930 4358 43982
+rect 4358 43930 4404 43982
+rect 4428 43930 4474 43982
+rect 4474 43930 4484 43982
+rect 4508 43930 4538 43982
+rect 4538 43930 4564 43982
+rect 4268 43928 4324 43930
+rect 4348 43928 4404 43930
+rect 4428 43928 4484 43930
+rect 4508 43928 4564 43930
+rect 4268 42650 4324 42652
+rect 4348 42650 4404 42652
+rect 4428 42650 4484 42652
+rect 4508 42650 4564 42652
+rect 4268 42598 4294 42650
+rect 4294 42598 4324 42650
+rect 4348 42598 4358 42650
+rect 4358 42598 4404 42650
+rect 4428 42598 4474 42650
+rect 4474 42598 4484 42650
+rect 4508 42598 4538 42650
+rect 4538 42598 4564 42650
+rect 4268 42596 4324 42598
+rect 4348 42596 4404 42598
+rect 4428 42596 4484 42598
+rect 4508 42596 4564 42598
+rect 4268 41318 4324 41320
+rect 4348 41318 4404 41320
+rect 4428 41318 4484 41320
+rect 4508 41318 4564 41320
+rect 4268 41266 4294 41318
+rect 4294 41266 4324 41318
+rect 4348 41266 4358 41318
+rect 4358 41266 4404 41318
+rect 4428 41266 4474 41318
+rect 4474 41266 4484 41318
+rect 4508 41266 4538 41318
+rect 4538 41266 4564 41318
+rect 4268 41264 4324 41266
+rect 4348 41264 4404 41266
+rect 4428 41264 4484 41266
+rect 4508 41264 4564 41266
+rect 4268 39986 4324 39988
+rect 4348 39986 4404 39988
+rect 4428 39986 4484 39988
+rect 4508 39986 4564 39988
+rect 4268 39934 4294 39986
+rect 4294 39934 4324 39986
+rect 4348 39934 4358 39986
+rect 4358 39934 4404 39986
+rect 4428 39934 4474 39986
+rect 4474 39934 4484 39986
+rect 4508 39934 4538 39986
+rect 4538 39934 4564 39986
+rect 4268 39932 4324 39934
+rect 4348 39932 4404 39934
+rect 4428 39932 4484 39934
+rect 4508 39932 4564 39934
+rect 4268 38654 4324 38656
+rect 4348 38654 4404 38656
+rect 4428 38654 4484 38656
+rect 4508 38654 4564 38656
+rect 4268 38602 4294 38654
+rect 4294 38602 4324 38654
+rect 4348 38602 4358 38654
+rect 4358 38602 4404 38654
+rect 4428 38602 4474 38654
+rect 4474 38602 4484 38654
+rect 4508 38602 4538 38654
+rect 4538 38602 4564 38654
+rect 4268 38600 4324 38602
+rect 4348 38600 4404 38602
+rect 4428 38600 4484 38602
+rect 4508 38600 4564 38602
+rect 4268 37322 4324 37324
+rect 4348 37322 4404 37324
+rect 4428 37322 4484 37324
+rect 4508 37322 4564 37324
+rect 4268 37270 4294 37322
+rect 4294 37270 4324 37322
+rect 4348 37270 4358 37322
+rect 4358 37270 4404 37322
+rect 4428 37270 4474 37322
+rect 4474 37270 4484 37322
+rect 4508 37270 4538 37322
+rect 4538 37270 4564 37322
+rect 4268 37268 4324 37270
+rect 4348 37268 4404 37270
+rect 4428 37268 4484 37270
+rect 4508 37268 4564 37270
+rect 4268 35990 4324 35992
+rect 4348 35990 4404 35992
+rect 4428 35990 4484 35992
+rect 4508 35990 4564 35992
+rect 4268 35938 4294 35990
+rect 4294 35938 4324 35990
+rect 4348 35938 4358 35990
+rect 4358 35938 4404 35990
+rect 4428 35938 4474 35990
+rect 4474 35938 4484 35990
+rect 4508 35938 4538 35990
+rect 4538 35938 4564 35990
+rect 4268 35936 4324 35938
+rect 4348 35936 4404 35938
+rect 4428 35936 4484 35938
+rect 4508 35936 4564 35938
+rect 4268 34658 4324 34660
+rect 4348 34658 4404 34660
+rect 4428 34658 4484 34660
+rect 4508 34658 4564 34660
+rect 4268 34606 4294 34658
+rect 4294 34606 4324 34658
+rect 4348 34606 4358 34658
+rect 4358 34606 4404 34658
+rect 4428 34606 4474 34658
+rect 4474 34606 4484 34658
+rect 4508 34606 4538 34658
+rect 4538 34606 4564 34658
+rect 4268 34604 4324 34606
+rect 4348 34604 4404 34606
+rect 4428 34604 4484 34606
+rect 4508 34604 4564 34606
+rect 4268 33326 4324 33328
+rect 4348 33326 4404 33328
+rect 4428 33326 4484 33328
+rect 4508 33326 4564 33328
+rect 4268 33274 4294 33326
+rect 4294 33274 4324 33326
+rect 4348 33274 4358 33326
+rect 4358 33274 4404 33326
+rect 4428 33274 4474 33326
+rect 4474 33274 4484 33326
+rect 4508 33274 4538 33326
+rect 4538 33274 4564 33326
+rect 4268 33272 4324 33274
+rect 4348 33272 4404 33274
+rect 4428 33272 4484 33274
+rect 4508 33272 4564 33274
+rect 4268 31994 4324 31996
+rect 4348 31994 4404 31996
+rect 4428 31994 4484 31996
+rect 4508 31994 4564 31996
+rect 4268 31942 4294 31994
+rect 4294 31942 4324 31994
+rect 4348 31942 4358 31994
+rect 4358 31942 4404 31994
+rect 4428 31942 4474 31994
+rect 4474 31942 4484 31994
+rect 4508 31942 4538 31994
+rect 4538 31942 4564 31994
+rect 4268 31940 4324 31942
+rect 4348 31940 4404 31942
+rect 4428 31940 4484 31942
+rect 4508 31940 4564 31942
+rect 4268 30662 4324 30664
+rect 4348 30662 4404 30664
+rect 4428 30662 4484 30664
+rect 4508 30662 4564 30664
+rect 4268 30610 4294 30662
+rect 4294 30610 4324 30662
+rect 4348 30610 4358 30662
+rect 4358 30610 4404 30662
+rect 4428 30610 4474 30662
+rect 4474 30610 4484 30662
+rect 4508 30610 4538 30662
+rect 4538 30610 4564 30662
+rect 4268 30608 4324 30610
+rect 4348 30608 4404 30610
+rect 4428 30608 4484 30610
+rect 4508 30608 4564 30610
+rect 4268 29330 4324 29332
+rect 4348 29330 4404 29332
+rect 4428 29330 4484 29332
+rect 4508 29330 4564 29332
+rect 4268 29278 4294 29330
+rect 4294 29278 4324 29330
+rect 4348 29278 4358 29330
+rect 4358 29278 4404 29330
+rect 4428 29278 4474 29330
+rect 4474 29278 4484 29330
+rect 4508 29278 4538 29330
+rect 4538 29278 4564 29330
+rect 4268 29276 4324 29278
+rect 4348 29276 4404 29278
+rect 4428 29276 4484 29278
+rect 4508 29276 4564 29278
+rect 4268 27998 4324 28000
+rect 4348 27998 4404 28000
+rect 4428 27998 4484 28000
+rect 4508 27998 4564 28000
+rect 4268 27946 4294 27998
+rect 4294 27946 4324 27998
+rect 4348 27946 4358 27998
+rect 4358 27946 4404 27998
+rect 4428 27946 4474 27998
+rect 4474 27946 4484 27998
+rect 4508 27946 4538 27998
+rect 4538 27946 4564 27998
+rect 4268 27944 4324 27946
+rect 4348 27944 4404 27946
+rect 4428 27944 4484 27946
+rect 4508 27944 4564 27946
+rect 4268 26666 4324 26668
+rect 4348 26666 4404 26668
+rect 4428 26666 4484 26668
+rect 4508 26666 4564 26668
+rect 4268 26614 4294 26666
+rect 4294 26614 4324 26666
+rect 4348 26614 4358 26666
+rect 4358 26614 4404 26666
+rect 4428 26614 4474 26666
+rect 4474 26614 4484 26666
+rect 4508 26614 4538 26666
+rect 4538 26614 4564 26666
+rect 4268 26612 4324 26614
+rect 4348 26612 4404 26614
+rect 4428 26612 4484 26614
+rect 4508 26612 4564 26614
+rect 4268 25334 4324 25336
+rect 4348 25334 4404 25336
+rect 4428 25334 4484 25336
+rect 4508 25334 4564 25336
+rect 4268 25282 4294 25334
+rect 4294 25282 4324 25334
+rect 4348 25282 4358 25334
+rect 4358 25282 4404 25334
+rect 4428 25282 4474 25334
+rect 4474 25282 4484 25334
+rect 4508 25282 4538 25334
+rect 4538 25282 4564 25334
+rect 4268 25280 4324 25282
+rect 4348 25280 4404 25282
+rect 4428 25280 4484 25282
+rect 4508 25280 4564 25282
+rect 4268 24002 4324 24004
+rect 4348 24002 4404 24004
+rect 4428 24002 4484 24004
+rect 4508 24002 4564 24004
+rect 4268 23950 4294 24002
+rect 4294 23950 4324 24002
+rect 4348 23950 4358 24002
+rect 4358 23950 4404 24002
+rect 4428 23950 4474 24002
+rect 4474 23950 4484 24002
+rect 4508 23950 4538 24002
+rect 4538 23950 4564 24002
+rect 4268 23948 4324 23950
+rect 4348 23948 4404 23950
+rect 4428 23948 4484 23950
+rect 4508 23948 4564 23950
+rect 4268 22670 4324 22672
+rect 4348 22670 4404 22672
+rect 4428 22670 4484 22672
+rect 4508 22670 4564 22672
+rect 4268 22618 4294 22670
+rect 4294 22618 4324 22670
+rect 4348 22618 4358 22670
+rect 4358 22618 4404 22670
+rect 4428 22618 4474 22670
+rect 4474 22618 4484 22670
+rect 4508 22618 4538 22670
+rect 4538 22618 4564 22670
+rect 4268 22616 4324 22618
+rect 4348 22616 4404 22618
+rect 4428 22616 4484 22618
+rect 4508 22616 4564 22618
+rect 4268 21338 4324 21340
+rect 4348 21338 4404 21340
+rect 4428 21338 4484 21340
+rect 4508 21338 4564 21340
+rect 4268 21286 4294 21338
+rect 4294 21286 4324 21338
+rect 4348 21286 4358 21338
+rect 4358 21286 4404 21338
+rect 4428 21286 4474 21338
+rect 4474 21286 4484 21338
+rect 4508 21286 4538 21338
+rect 4538 21286 4564 21338
+rect 4268 21284 4324 21286
+rect 4348 21284 4404 21286
+rect 4428 21284 4484 21286
+rect 4508 21284 4564 21286
+rect 4268 20006 4324 20008
+rect 4348 20006 4404 20008
+rect 4428 20006 4484 20008
+rect 4508 20006 4564 20008
+rect 4268 19954 4294 20006
+rect 4294 19954 4324 20006
+rect 4348 19954 4358 20006
+rect 4358 19954 4404 20006
+rect 4428 19954 4474 20006
+rect 4474 19954 4484 20006
+rect 4508 19954 4538 20006
+rect 4538 19954 4564 20006
+rect 4268 19952 4324 19954
+rect 4348 19952 4404 19954
+rect 4428 19952 4484 19954
+rect 4508 19952 4564 19954
+rect 4268 18674 4324 18676
+rect 4348 18674 4404 18676
+rect 4428 18674 4484 18676
+rect 4508 18674 4564 18676
+rect 4268 18622 4294 18674
+rect 4294 18622 4324 18674
+rect 4348 18622 4358 18674
+rect 4358 18622 4404 18674
+rect 4428 18622 4474 18674
+rect 4474 18622 4484 18674
+rect 4508 18622 4538 18674
+rect 4538 18622 4564 18674
+rect 4268 18620 4324 18622
+rect 4348 18620 4404 18622
+rect 4428 18620 4484 18622
+rect 4508 18620 4564 18622
+rect 4268 17342 4324 17344
+rect 4348 17342 4404 17344
+rect 4428 17342 4484 17344
+rect 4508 17342 4564 17344
+rect 4268 17290 4294 17342
+rect 4294 17290 4324 17342
+rect 4348 17290 4358 17342
+rect 4358 17290 4404 17342
+rect 4428 17290 4474 17342
+rect 4474 17290 4484 17342
+rect 4508 17290 4538 17342
+rect 4538 17290 4564 17342
+rect 4268 17288 4324 17290
+rect 4348 17288 4404 17290
+rect 4428 17288 4484 17290
+rect 4508 17288 4564 17290
+rect 4268 16010 4324 16012
+rect 4348 16010 4404 16012
+rect 4428 16010 4484 16012
+rect 4508 16010 4564 16012
+rect 4268 15958 4294 16010
+rect 4294 15958 4324 16010
+rect 4348 15958 4358 16010
+rect 4358 15958 4404 16010
+rect 4428 15958 4474 16010
+rect 4474 15958 4484 16010
+rect 4508 15958 4538 16010
+rect 4538 15958 4564 16010
+rect 4268 15956 4324 15958
+rect 4348 15956 4404 15958
+rect 4428 15956 4484 15958
+rect 4508 15956 4564 15958
+rect 4268 14678 4324 14680
+rect 4348 14678 4404 14680
+rect 4428 14678 4484 14680
+rect 4508 14678 4564 14680
+rect 4268 14626 4294 14678
+rect 4294 14626 4324 14678
+rect 4348 14626 4358 14678
+rect 4358 14626 4404 14678
+rect 4428 14626 4474 14678
+rect 4474 14626 4484 14678
+rect 4508 14626 4538 14678
+rect 4538 14626 4564 14678
+rect 4268 14624 4324 14626
+rect 4348 14624 4404 14626
+rect 4428 14624 4484 14626
+rect 4508 14624 4564 14626
+rect 4268 13346 4324 13348
+rect 4348 13346 4404 13348
+rect 4428 13346 4484 13348
+rect 4508 13346 4564 13348
+rect 4268 13294 4294 13346
+rect 4294 13294 4324 13346
+rect 4348 13294 4358 13346
+rect 4358 13294 4404 13346
+rect 4428 13294 4474 13346
+rect 4474 13294 4484 13346
+rect 4508 13294 4538 13346
+rect 4538 13294 4564 13346
+rect 4268 13292 4324 13294
+rect 4348 13292 4404 13294
+rect 4428 13292 4484 13294
+rect 4508 13292 4564 13294
+rect 4268 12014 4324 12016
+rect 4348 12014 4404 12016
+rect 4428 12014 4484 12016
+rect 4508 12014 4564 12016
+rect 4268 11962 4294 12014
+rect 4294 11962 4324 12014
+rect 4348 11962 4358 12014
+rect 4358 11962 4404 12014
+rect 4428 11962 4474 12014
+rect 4474 11962 4484 12014
+rect 4508 11962 4538 12014
+rect 4538 11962 4564 12014
+rect 4268 11960 4324 11962
+rect 4348 11960 4404 11962
+rect 4428 11960 4484 11962
+rect 4508 11960 4564 11962
+rect 4268 10682 4324 10684
+rect 4348 10682 4404 10684
+rect 4428 10682 4484 10684
+rect 4508 10682 4564 10684
+rect 4268 10630 4294 10682
+rect 4294 10630 4324 10682
+rect 4348 10630 4358 10682
+rect 4358 10630 4404 10682
+rect 4428 10630 4474 10682
+rect 4474 10630 4484 10682
+rect 4508 10630 4538 10682
+rect 4538 10630 4564 10682
+rect 4268 10628 4324 10630
+rect 4348 10628 4404 10630
+rect 4428 10628 4484 10630
+rect 4508 10628 4564 10630
+rect 4268 9350 4324 9352
+rect 4348 9350 4404 9352
+rect 4428 9350 4484 9352
+rect 4508 9350 4564 9352
+rect 4268 9298 4294 9350
+rect 4294 9298 4324 9350
+rect 4348 9298 4358 9350
+rect 4358 9298 4404 9350
+rect 4428 9298 4474 9350
+rect 4474 9298 4484 9350
+rect 4508 9298 4538 9350
+rect 4538 9298 4564 9350
+rect 4268 9296 4324 9298
+rect 4348 9296 4404 9298
+rect 4428 9296 4484 9298
+rect 4508 9296 4564 9298
+rect 4268 8018 4324 8020
+rect 4348 8018 4404 8020
+rect 4428 8018 4484 8020
+rect 4508 8018 4564 8020
+rect 4268 7966 4294 8018
+rect 4294 7966 4324 8018
+rect 4348 7966 4358 8018
+rect 4358 7966 4404 8018
+rect 4428 7966 4474 8018
+rect 4474 7966 4484 8018
+rect 4508 7966 4538 8018
+rect 4538 7966 4564 8018
+rect 4268 7964 4324 7966
+rect 4348 7964 4404 7966
+rect 4428 7964 4484 7966
+rect 4508 7964 4564 7966
+rect 4268 6686 4324 6688
+rect 4348 6686 4404 6688
+rect 4428 6686 4484 6688
+rect 4508 6686 4564 6688
+rect 4268 6634 4294 6686
+rect 4294 6634 4324 6686
+rect 4348 6634 4358 6686
+rect 4358 6634 4404 6686
+rect 4428 6634 4474 6686
+rect 4474 6634 4484 6686
+rect 4508 6634 4538 6686
+rect 4538 6634 4564 6686
+rect 4268 6632 4324 6634
+rect 4348 6632 4404 6634
+rect 4428 6632 4484 6634
+rect 4508 6632 4564 6634
+rect 4268 5354 4324 5356
+rect 4348 5354 4404 5356
+rect 4428 5354 4484 5356
+rect 4508 5354 4564 5356
+rect 4268 5302 4294 5354
+rect 4294 5302 4324 5354
+rect 4348 5302 4358 5354
+rect 4358 5302 4404 5354
+rect 4428 5302 4474 5354
+rect 4474 5302 4484 5354
+rect 4508 5302 4538 5354
+rect 4538 5302 4564 5354
+rect 4268 5300 4324 5302
+rect 4348 5300 4404 5302
+rect 4428 5300 4484 5302
+rect 4508 5300 4564 5302
+rect 4268 4022 4324 4024
+rect 4348 4022 4404 4024
+rect 4428 4022 4484 4024
+rect 4508 4022 4564 4024
+rect 4268 3970 4294 4022
+rect 4294 3970 4324 4022
+rect 4348 3970 4358 4022
+rect 4358 3970 4404 4022
+rect 4428 3970 4474 4022
+rect 4474 3970 4484 4022
+rect 4508 3970 4538 4022
+rect 4538 3970 4564 4022
+rect 4268 3968 4324 3970
+rect 4348 3968 4404 3970
+rect 4428 3968 4484 3970
+rect 4508 3968 4564 3970
+rect 4268 2690 4324 2692
+rect 4348 2690 4404 2692
+rect 4428 2690 4484 2692
+rect 4508 2690 4564 2692
+rect 4268 2638 4294 2690
+rect 4294 2638 4324 2690
+rect 4348 2638 4358 2690
+rect 4358 2638 4404 2690
+rect 4428 2638 4474 2690
+rect 4474 2638 4484 2690
+rect 4508 2638 4538 2690
+rect 4538 2638 4564 2690
+rect 4268 2636 4324 2638
+rect 4348 2636 4404 2638
+rect 4428 2636 4484 2638
+rect 4508 2636 4564 2638
+rect 8276 19473 8278 19490
+rect 8278 19473 8330 19490
+rect 8330 19473 8332 19490
+rect 8276 19434 8332 19473
+rect 9044 19473 9046 19490
+rect 9046 19473 9098 19490
+rect 9098 19473 9100 19490
+rect 9044 19434 9100 19473
+rect 7700 8817 7702 8834
+rect 7702 8817 7754 8834
+rect 7754 8817 7756 8834
+rect 7700 8778 7756 8817
+rect 8276 8795 8332 8834
+rect 8276 8778 8278 8795
+rect 8278 8778 8330 8795
+rect 8330 8778 8332 8795
+rect 9236 8778 9292 8834
+rect 15188 3746 15244 3802
+rect 15380 3746 15436 3802
+rect 19628 56636 19684 56638
+rect 19708 56636 19764 56638
+rect 19788 56636 19844 56638
+rect 19868 56636 19924 56638
+rect 19628 56584 19654 56636
+rect 19654 56584 19684 56636
+rect 19708 56584 19718 56636
+rect 19718 56584 19764 56636
+rect 19788 56584 19834 56636
+rect 19834 56584 19844 56636
+rect 19868 56584 19898 56636
+rect 19898 56584 19924 56636
+rect 19628 56582 19684 56584
+rect 19708 56582 19764 56584
+rect 19788 56582 19844 56584
+rect 19868 56582 19924 56584
+rect 19628 55304 19684 55306
+rect 19708 55304 19764 55306
+rect 19788 55304 19844 55306
+rect 19868 55304 19924 55306
+rect 19628 55252 19654 55304
+rect 19654 55252 19684 55304
+rect 19708 55252 19718 55304
+rect 19718 55252 19764 55304
+rect 19788 55252 19834 55304
+rect 19834 55252 19844 55304
+rect 19868 55252 19898 55304
+rect 19898 55252 19924 55304
+rect 19628 55250 19684 55252
+rect 19708 55250 19764 55252
+rect 19788 55250 19844 55252
+rect 19868 55250 19924 55252
+rect 19628 53972 19684 53974
+rect 19708 53972 19764 53974
+rect 19788 53972 19844 53974
+rect 19868 53972 19924 53974
+rect 19628 53920 19654 53972
+rect 19654 53920 19684 53972
+rect 19708 53920 19718 53972
+rect 19718 53920 19764 53972
+rect 19788 53920 19834 53972
+rect 19834 53920 19844 53972
+rect 19868 53920 19898 53972
+rect 19898 53920 19924 53972
+rect 19628 53918 19684 53920
+rect 19708 53918 19764 53920
+rect 19788 53918 19844 53920
+rect 19868 53918 19924 53920
+rect 19628 52640 19684 52642
+rect 19708 52640 19764 52642
+rect 19788 52640 19844 52642
+rect 19868 52640 19924 52642
+rect 19628 52588 19654 52640
+rect 19654 52588 19684 52640
+rect 19708 52588 19718 52640
+rect 19718 52588 19764 52640
+rect 19788 52588 19834 52640
+rect 19834 52588 19844 52640
+rect 19868 52588 19898 52640
+rect 19898 52588 19924 52640
+rect 19628 52586 19684 52588
+rect 19708 52586 19764 52588
+rect 19788 52586 19844 52588
+rect 19868 52586 19924 52588
+rect 19628 51308 19684 51310
+rect 19708 51308 19764 51310
+rect 19788 51308 19844 51310
+rect 19868 51308 19924 51310
+rect 19628 51256 19654 51308
+rect 19654 51256 19684 51308
+rect 19708 51256 19718 51308
+rect 19718 51256 19764 51308
+rect 19788 51256 19834 51308
+rect 19834 51256 19844 51308
+rect 19868 51256 19898 51308
+rect 19898 51256 19924 51308
+rect 19628 51254 19684 51256
+rect 19708 51254 19764 51256
+rect 19788 51254 19844 51256
+rect 19868 51254 19924 51256
+rect 19628 49976 19684 49978
+rect 19708 49976 19764 49978
+rect 19788 49976 19844 49978
+rect 19868 49976 19924 49978
+rect 19628 49924 19654 49976
+rect 19654 49924 19684 49976
+rect 19708 49924 19718 49976
+rect 19718 49924 19764 49976
+rect 19788 49924 19834 49976
+rect 19834 49924 19844 49976
+rect 19868 49924 19898 49976
+rect 19898 49924 19924 49976
+rect 19628 49922 19684 49924
+rect 19708 49922 19764 49924
+rect 19788 49922 19844 49924
+rect 19868 49922 19924 49924
+rect 19628 48644 19684 48646
+rect 19708 48644 19764 48646
+rect 19788 48644 19844 48646
+rect 19868 48644 19924 48646
+rect 19628 48592 19654 48644
+rect 19654 48592 19684 48644
+rect 19708 48592 19718 48644
+rect 19718 48592 19764 48644
+rect 19788 48592 19834 48644
+rect 19834 48592 19844 48644
+rect 19868 48592 19898 48644
+rect 19898 48592 19924 48644
+rect 19628 48590 19684 48592
+rect 19708 48590 19764 48592
+rect 19788 48590 19844 48592
+rect 19868 48590 19924 48592
+rect 19628 47312 19684 47314
+rect 19708 47312 19764 47314
+rect 19788 47312 19844 47314
+rect 19868 47312 19924 47314
+rect 19628 47260 19654 47312
+rect 19654 47260 19684 47312
+rect 19708 47260 19718 47312
+rect 19718 47260 19764 47312
+rect 19788 47260 19834 47312
+rect 19834 47260 19844 47312
+rect 19868 47260 19898 47312
+rect 19898 47260 19924 47312
+rect 19628 47258 19684 47260
+rect 19708 47258 19764 47260
+rect 19788 47258 19844 47260
+rect 19868 47258 19924 47260
+rect 19628 45980 19684 45982
+rect 19708 45980 19764 45982
+rect 19788 45980 19844 45982
+rect 19868 45980 19924 45982
+rect 19628 45928 19654 45980
+rect 19654 45928 19684 45980
+rect 19708 45928 19718 45980
+rect 19718 45928 19764 45980
+rect 19788 45928 19834 45980
+rect 19834 45928 19844 45980
+rect 19868 45928 19898 45980
+rect 19898 45928 19924 45980
+rect 19628 45926 19684 45928
+rect 19708 45926 19764 45928
+rect 19788 45926 19844 45928
+rect 19868 45926 19924 45928
+rect 19628 44648 19684 44650
+rect 19708 44648 19764 44650
+rect 19788 44648 19844 44650
+rect 19868 44648 19924 44650
+rect 19628 44596 19654 44648
+rect 19654 44596 19684 44648
+rect 19708 44596 19718 44648
+rect 19718 44596 19764 44648
+rect 19788 44596 19834 44648
+rect 19834 44596 19844 44648
+rect 19868 44596 19898 44648
+rect 19898 44596 19924 44648
+rect 19628 44594 19684 44596
+rect 19708 44594 19764 44596
+rect 19788 44594 19844 44596
+rect 19868 44594 19924 44596
+rect 19628 43316 19684 43318
+rect 19708 43316 19764 43318
+rect 19788 43316 19844 43318
+rect 19868 43316 19924 43318
+rect 19628 43264 19654 43316
+rect 19654 43264 19684 43316
+rect 19708 43264 19718 43316
+rect 19718 43264 19764 43316
+rect 19788 43264 19834 43316
+rect 19834 43264 19844 43316
+rect 19868 43264 19898 43316
+rect 19898 43264 19924 43316
+rect 19628 43262 19684 43264
+rect 19708 43262 19764 43264
+rect 19788 43262 19844 43264
+rect 19868 43262 19924 43264
+rect 19628 41984 19684 41986
+rect 19708 41984 19764 41986
+rect 19788 41984 19844 41986
+rect 19868 41984 19924 41986
+rect 19628 41932 19654 41984
+rect 19654 41932 19684 41984
+rect 19708 41932 19718 41984
+rect 19718 41932 19764 41984
+rect 19788 41932 19834 41984
+rect 19834 41932 19844 41984
+rect 19868 41932 19898 41984
+rect 19898 41932 19924 41984
+rect 19628 41930 19684 41932
+rect 19708 41930 19764 41932
+rect 19788 41930 19844 41932
+rect 19868 41930 19924 41932
+rect 19628 40652 19684 40654
+rect 19708 40652 19764 40654
+rect 19788 40652 19844 40654
+rect 19868 40652 19924 40654
+rect 19628 40600 19654 40652
+rect 19654 40600 19684 40652
+rect 19708 40600 19718 40652
+rect 19718 40600 19764 40652
+rect 19788 40600 19834 40652
+rect 19834 40600 19844 40652
+rect 19868 40600 19898 40652
+rect 19898 40600 19924 40652
+rect 19628 40598 19684 40600
+rect 19708 40598 19764 40600
+rect 19788 40598 19844 40600
+rect 19868 40598 19924 40600
+rect 19628 39320 19684 39322
+rect 19708 39320 19764 39322
+rect 19788 39320 19844 39322
+rect 19868 39320 19924 39322
+rect 19628 39268 19654 39320
+rect 19654 39268 19684 39320
+rect 19708 39268 19718 39320
+rect 19718 39268 19764 39320
+rect 19788 39268 19834 39320
+rect 19834 39268 19844 39320
+rect 19868 39268 19898 39320
+rect 19898 39268 19924 39320
+rect 19628 39266 19684 39268
+rect 19708 39266 19764 39268
+rect 19788 39266 19844 39268
+rect 19868 39266 19924 39268
+rect 19628 37988 19684 37990
+rect 19708 37988 19764 37990
+rect 19788 37988 19844 37990
+rect 19868 37988 19924 37990
+rect 19628 37936 19654 37988
+rect 19654 37936 19684 37988
+rect 19708 37936 19718 37988
+rect 19718 37936 19764 37988
+rect 19788 37936 19834 37988
+rect 19834 37936 19844 37988
+rect 19868 37936 19898 37988
+rect 19898 37936 19924 37988
+rect 19628 37934 19684 37936
+rect 19708 37934 19764 37936
+rect 19788 37934 19844 37936
+rect 19868 37934 19924 37936
+rect 19628 36656 19684 36658
+rect 19708 36656 19764 36658
+rect 19788 36656 19844 36658
+rect 19868 36656 19924 36658
+rect 19628 36604 19654 36656
+rect 19654 36604 19684 36656
+rect 19708 36604 19718 36656
+rect 19718 36604 19764 36656
+rect 19788 36604 19834 36656
+rect 19834 36604 19844 36656
+rect 19868 36604 19898 36656
+rect 19898 36604 19924 36656
+rect 19628 36602 19684 36604
+rect 19708 36602 19764 36604
+rect 19788 36602 19844 36604
+rect 19868 36602 19924 36604
+rect 19628 35324 19684 35326
+rect 19708 35324 19764 35326
+rect 19788 35324 19844 35326
+rect 19868 35324 19924 35326
+rect 19628 35272 19654 35324
+rect 19654 35272 19684 35324
+rect 19708 35272 19718 35324
+rect 19718 35272 19764 35324
+rect 19788 35272 19834 35324
+rect 19834 35272 19844 35324
+rect 19868 35272 19898 35324
+rect 19898 35272 19924 35324
+rect 19628 35270 19684 35272
+rect 19708 35270 19764 35272
+rect 19788 35270 19844 35272
+rect 19868 35270 19924 35272
+rect 19628 33992 19684 33994
+rect 19708 33992 19764 33994
+rect 19788 33992 19844 33994
+rect 19868 33992 19924 33994
+rect 19628 33940 19654 33992
+rect 19654 33940 19684 33992
+rect 19708 33940 19718 33992
+rect 19718 33940 19764 33992
+rect 19788 33940 19834 33992
+rect 19834 33940 19844 33992
+rect 19868 33940 19898 33992
+rect 19898 33940 19924 33992
+rect 19628 33938 19684 33940
+rect 19708 33938 19764 33940
+rect 19788 33938 19844 33940
+rect 19868 33938 19924 33940
+rect 19628 32660 19684 32662
+rect 19708 32660 19764 32662
+rect 19788 32660 19844 32662
+rect 19868 32660 19924 32662
+rect 19628 32608 19654 32660
+rect 19654 32608 19684 32660
+rect 19708 32608 19718 32660
+rect 19718 32608 19764 32660
+rect 19788 32608 19834 32660
+rect 19834 32608 19844 32660
+rect 19868 32608 19898 32660
+rect 19898 32608 19924 32660
+rect 19628 32606 19684 32608
+rect 19708 32606 19764 32608
+rect 19788 32606 19844 32608
+rect 19868 32606 19924 32608
+rect 19628 31328 19684 31330
+rect 19708 31328 19764 31330
+rect 19788 31328 19844 31330
+rect 19868 31328 19924 31330
+rect 19628 31276 19654 31328
+rect 19654 31276 19684 31328
+rect 19708 31276 19718 31328
+rect 19718 31276 19764 31328
+rect 19788 31276 19834 31328
+rect 19834 31276 19844 31328
+rect 19868 31276 19898 31328
+rect 19898 31276 19924 31328
+rect 19628 31274 19684 31276
+rect 19708 31274 19764 31276
+rect 19788 31274 19844 31276
+rect 19868 31274 19924 31276
+rect 19628 29996 19684 29998
+rect 19708 29996 19764 29998
+rect 19788 29996 19844 29998
+rect 19868 29996 19924 29998
+rect 19628 29944 19654 29996
+rect 19654 29944 19684 29996
+rect 19708 29944 19718 29996
+rect 19718 29944 19764 29996
+rect 19788 29944 19834 29996
+rect 19834 29944 19844 29996
+rect 19868 29944 19898 29996
+rect 19898 29944 19924 29996
+rect 19628 29942 19684 29944
+rect 19708 29942 19764 29944
+rect 19788 29942 19844 29944
+rect 19868 29942 19924 29944
+rect 19628 28664 19684 28666
+rect 19708 28664 19764 28666
+rect 19788 28664 19844 28666
+rect 19868 28664 19924 28666
+rect 19628 28612 19654 28664
+rect 19654 28612 19684 28664
+rect 19708 28612 19718 28664
+rect 19718 28612 19764 28664
+rect 19788 28612 19834 28664
+rect 19834 28612 19844 28664
+rect 19868 28612 19898 28664
+rect 19898 28612 19924 28664
+rect 19628 28610 19684 28612
+rect 19708 28610 19764 28612
+rect 19788 28610 19844 28612
+rect 19868 28610 19924 28612
+rect 19628 27332 19684 27334
+rect 19708 27332 19764 27334
+rect 19788 27332 19844 27334
+rect 19868 27332 19924 27334
+rect 19628 27280 19654 27332
+rect 19654 27280 19684 27332
+rect 19708 27280 19718 27332
+rect 19718 27280 19764 27332
+rect 19788 27280 19834 27332
+rect 19834 27280 19844 27332
+rect 19868 27280 19898 27332
+rect 19898 27280 19924 27332
+rect 19628 27278 19684 27280
+rect 19708 27278 19764 27280
+rect 19788 27278 19844 27280
+rect 19868 27278 19924 27280
+rect 19628 26000 19684 26002
+rect 19708 26000 19764 26002
+rect 19788 26000 19844 26002
+rect 19868 26000 19924 26002
+rect 19628 25948 19654 26000
+rect 19654 25948 19684 26000
+rect 19708 25948 19718 26000
+rect 19718 25948 19764 26000
+rect 19788 25948 19834 26000
+rect 19834 25948 19844 26000
+rect 19868 25948 19898 26000
+rect 19898 25948 19924 26000
+rect 19628 25946 19684 25948
+rect 19708 25946 19764 25948
+rect 19788 25946 19844 25948
+rect 19868 25946 19924 25948
+rect 19628 24668 19684 24670
+rect 19708 24668 19764 24670
+rect 19788 24668 19844 24670
+rect 19868 24668 19924 24670
+rect 19628 24616 19654 24668
+rect 19654 24616 19684 24668
+rect 19708 24616 19718 24668
+rect 19718 24616 19764 24668
+rect 19788 24616 19834 24668
+rect 19834 24616 19844 24668
+rect 19868 24616 19898 24668
+rect 19898 24616 19924 24668
+rect 19628 24614 19684 24616
+rect 19708 24614 19764 24616
+rect 19788 24614 19844 24616
+rect 19868 24614 19924 24616
+rect 19628 23336 19684 23338
+rect 19708 23336 19764 23338
+rect 19788 23336 19844 23338
+rect 19868 23336 19924 23338
+rect 19628 23284 19654 23336
+rect 19654 23284 19684 23336
+rect 19708 23284 19718 23336
+rect 19718 23284 19764 23336
+rect 19788 23284 19834 23336
+rect 19834 23284 19844 23336
+rect 19868 23284 19898 23336
+rect 19898 23284 19924 23336
+rect 19628 23282 19684 23284
+rect 19708 23282 19764 23284
+rect 19788 23282 19844 23284
+rect 19868 23282 19924 23284
+rect 19628 22004 19684 22006
+rect 19708 22004 19764 22006
+rect 19788 22004 19844 22006
+rect 19868 22004 19924 22006
+rect 19628 21952 19654 22004
+rect 19654 21952 19684 22004
+rect 19708 21952 19718 22004
+rect 19718 21952 19764 22004
+rect 19788 21952 19834 22004
+rect 19834 21952 19844 22004
+rect 19868 21952 19898 22004
+rect 19898 21952 19924 22004
+rect 19628 21950 19684 21952
+rect 19708 21950 19764 21952
+rect 19788 21950 19844 21952
+rect 19868 21950 19924 21952
+rect 19628 20672 19684 20674
+rect 19708 20672 19764 20674
+rect 19788 20672 19844 20674
+rect 19868 20672 19924 20674
+rect 19628 20620 19654 20672
+rect 19654 20620 19684 20672
+rect 19708 20620 19718 20672
+rect 19718 20620 19764 20672
+rect 19788 20620 19834 20672
+rect 19834 20620 19844 20672
+rect 19868 20620 19898 20672
+rect 19898 20620 19924 20672
+rect 19628 20618 19684 20620
+rect 19708 20618 19764 20620
+rect 19788 20618 19844 20620
+rect 19868 20618 19924 20620
+rect 19628 19340 19684 19342
+rect 19708 19340 19764 19342
+rect 19788 19340 19844 19342
+rect 19868 19340 19924 19342
+rect 19628 19288 19654 19340
+rect 19654 19288 19684 19340
+rect 19708 19288 19718 19340
+rect 19718 19288 19764 19340
+rect 19788 19288 19834 19340
+rect 19834 19288 19844 19340
+rect 19868 19288 19898 19340
+rect 19898 19288 19924 19340
+rect 19628 19286 19684 19288
+rect 19708 19286 19764 19288
+rect 19788 19286 19844 19288
+rect 19868 19286 19924 19288
+rect 19628 18008 19684 18010
+rect 19708 18008 19764 18010
+rect 19788 18008 19844 18010
+rect 19868 18008 19924 18010
+rect 19628 17956 19654 18008
+rect 19654 17956 19684 18008
+rect 19708 17956 19718 18008
+rect 19718 17956 19764 18008
+rect 19788 17956 19834 18008
+rect 19834 17956 19844 18008
+rect 19868 17956 19898 18008
+rect 19898 17956 19924 18008
+rect 19628 17954 19684 17956
+rect 19708 17954 19764 17956
+rect 19788 17954 19844 17956
+rect 19868 17954 19924 17956
+rect 19628 16676 19684 16678
+rect 19708 16676 19764 16678
+rect 19788 16676 19844 16678
+rect 19868 16676 19924 16678
+rect 19628 16624 19654 16676
+rect 19654 16624 19684 16676
+rect 19708 16624 19718 16676
+rect 19718 16624 19764 16676
+rect 19788 16624 19834 16676
+rect 19834 16624 19844 16676
+rect 19868 16624 19898 16676
+rect 19898 16624 19924 16676
+rect 19628 16622 19684 16624
+rect 19708 16622 19764 16624
+rect 19788 16622 19844 16624
+rect 19868 16622 19924 16624
+rect 19628 15344 19684 15346
+rect 19708 15344 19764 15346
+rect 19788 15344 19844 15346
+rect 19868 15344 19924 15346
+rect 19628 15292 19654 15344
+rect 19654 15292 19684 15344
+rect 19708 15292 19718 15344
+rect 19718 15292 19764 15344
+rect 19788 15292 19834 15344
+rect 19834 15292 19844 15344
+rect 19868 15292 19898 15344
+rect 19898 15292 19924 15344
+rect 19628 15290 19684 15292
+rect 19708 15290 19764 15292
+rect 19788 15290 19844 15292
+rect 19868 15290 19924 15292
+rect 19628 14012 19684 14014
+rect 19708 14012 19764 14014
+rect 19788 14012 19844 14014
+rect 19868 14012 19924 14014
+rect 19628 13960 19654 14012
+rect 19654 13960 19684 14012
+rect 19708 13960 19718 14012
+rect 19718 13960 19764 14012
+rect 19788 13960 19834 14012
+rect 19834 13960 19844 14012
+rect 19868 13960 19898 14012
+rect 19898 13960 19924 14012
+rect 19628 13958 19684 13960
+rect 19708 13958 19764 13960
+rect 19788 13958 19844 13960
+rect 19868 13958 19924 13960
+rect 19628 12680 19684 12682
+rect 19708 12680 19764 12682
+rect 19788 12680 19844 12682
+rect 19868 12680 19924 12682
+rect 19628 12628 19654 12680
+rect 19654 12628 19684 12680
+rect 19708 12628 19718 12680
+rect 19718 12628 19764 12680
+rect 19788 12628 19834 12680
+rect 19834 12628 19844 12680
+rect 19868 12628 19898 12680
+rect 19898 12628 19924 12680
+rect 19628 12626 19684 12628
+rect 19708 12626 19764 12628
+rect 19788 12626 19844 12628
+rect 19868 12626 19924 12628
+rect 19628 11348 19684 11350
+rect 19708 11348 19764 11350
+rect 19788 11348 19844 11350
+rect 19868 11348 19924 11350
+rect 19628 11296 19654 11348
+rect 19654 11296 19684 11348
+rect 19708 11296 19718 11348
+rect 19718 11296 19764 11348
+rect 19788 11296 19834 11348
+rect 19834 11296 19844 11348
+rect 19868 11296 19898 11348
+rect 19898 11296 19924 11348
+rect 19628 11294 19684 11296
+rect 19708 11294 19764 11296
+rect 19788 11294 19844 11296
+rect 19868 11294 19924 11296
+rect 19628 10016 19684 10018
+rect 19708 10016 19764 10018
+rect 19788 10016 19844 10018
+rect 19868 10016 19924 10018
+rect 19628 9964 19654 10016
+rect 19654 9964 19684 10016
+rect 19708 9964 19718 10016
+rect 19718 9964 19764 10016
+rect 19788 9964 19834 10016
+rect 19834 9964 19844 10016
+rect 19868 9964 19898 10016
+rect 19898 9964 19924 10016
+rect 19628 9962 19684 9964
+rect 19708 9962 19764 9964
+rect 19788 9962 19844 9964
+rect 19868 9962 19924 9964
+rect 19628 8684 19684 8686
+rect 19708 8684 19764 8686
+rect 19788 8684 19844 8686
+rect 19868 8684 19924 8686
+rect 19628 8632 19654 8684
+rect 19654 8632 19684 8684
+rect 19708 8632 19718 8684
+rect 19718 8632 19764 8684
+rect 19788 8632 19834 8684
+rect 19834 8632 19844 8684
+rect 19868 8632 19898 8684
+rect 19898 8632 19924 8684
+rect 19628 8630 19684 8632
+rect 19708 8630 19764 8632
+rect 19788 8630 19844 8632
+rect 19868 8630 19924 8632
+rect 19628 7352 19684 7354
+rect 19708 7352 19764 7354
+rect 19788 7352 19844 7354
+rect 19868 7352 19924 7354
+rect 19628 7300 19654 7352
+rect 19654 7300 19684 7352
+rect 19708 7300 19718 7352
+rect 19718 7300 19764 7352
+rect 19788 7300 19834 7352
+rect 19834 7300 19844 7352
+rect 19868 7300 19898 7352
+rect 19898 7300 19924 7352
+rect 19628 7298 19684 7300
+rect 19708 7298 19764 7300
+rect 19788 7298 19844 7300
+rect 19868 7298 19924 7300
+rect 19628 6020 19684 6022
+rect 19708 6020 19764 6022
+rect 19788 6020 19844 6022
+rect 19868 6020 19924 6022
+rect 19628 5968 19654 6020
+rect 19654 5968 19684 6020
+rect 19708 5968 19718 6020
+rect 19718 5968 19764 6020
+rect 19788 5968 19834 6020
+rect 19834 5968 19844 6020
+rect 19868 5968 19898 6020
+rect 19898 5968 19924 6020
+rect 19628 5966 19684 5968
+rect 19708 5966 19764 5968
+rect 19788 5966 19844 5968
+rect 19868 5966 19924 5968
+rect 19628 4688 19684 4690
+rect 19708 4688 19764 4690
+rect 19788 4688 19844 4690
+rect 19868 4688 19924 4690
+rect 19628 4636 19654 4688
+rect 19654 4636 19684 4688
+rect 19708 4636 19718 4688
+rect 19718 4636 19764 4688
+rect 19788 4636 19834 4688
+rect 19834 4636 19844 4688
+rect 19868 4636 19898 4688
+rect 19898 4636 19924 4688
+rect 19628 4634 19684 4636
+rect 19708 4634 19764 4636
+rect 19788 4634 19844 4636
+rect 19868 4634 19924 4636
+rect 19628 3356 19684 3358
+rect 19708 3356 19764 3358
+rect 19788 3356 19844 3358
+rect 19868 3356 19924 3358
+rect 19628 3304 19654 3356
+rect 19654 3304 19684 3356
+rect 19708 3304 19718 3356
+rect 19718 3304 19764 3356
+rect 19788 3304 19834 3356
+rect 19834 3304 19844 3356
+rect 19868 3304 19898 3356
+rect 19898 3304 19924 3356
+rect 19628 3302 19684 3304
+rect 19708 3302 19764 3304
+rect 19788 3302 19844 3304
+rect 19868 3302 19924 3304
+rect 34988 57302 35044 57304
+rect 35068 57302 35124 57304
+rect 35148 57302 35204 57304
+rect 35228 57302 35284 57304
+rect 34988 57250 35014 57302
+rect 35014 57250 35044 57302
+rect 35068 57250 35078 57302
+rect 35078 57250 35124 57302
+rect 35148 57250 35194 57302
+rect 35194 57250 35204 57302
+rect 35228 57250 35258 57302
+rect 35258 57250 35284 57302
+rect 34988 57248 35044 57250
+rect 35068 57248 35124 57250
+rect 35148 57248 35204 57250
+rect 35228 57248 35284 57250
+rect 34988 55970 35044 55972
+rect 35068 55970 35124 55972
+rect 35148 55970 35204 55972
+rect 35228 55970 35284 55972
+rect 34988 55918 35014 55970
+rect 35014 55918 35044 55970
+rect 35068 55918 35078 55970
+rect 35078 55918 35124 55970
+rect 35148 55918 35194 55970
+rect 35194 55918 35204 55970
+rect 35228 55918 35258 55970
+rect 35258 55918 35284 55970
+rect 34988 55916 35044 55918
+rect 35068 55916 35124 55918
+rect 35148 55916 35204 55918
+rect 35228 55916 35284 55918
+rect 34988 54638 35044 54640
+rect 35068 54638 35124 54640
+rect 35148 54638 35204 54640
+rect 35228 54638 35284 54640
+rect 34988 54586 35014 54638
+rect 35014 54586 35044 54638
+rect 35068 54586 35078 54638
+rect 35078 54586 35124 54638
+rect 35148 54586 35194 54638
+rect 35194 54586 35204 54638
+rect 35228 54586 35258 54638
+rect 35258 54586 35284 54638
+rect 34988 54584 35044 54586
+rect 35068 54584 35124 54586
+rect 35148 54584 35204 54586
+rect 35228 54584 35284 54586
+rect 34988 53306 35044 53308
+rect 35068 53306 35124 53308
+rect 35148 53306 35204 53308
+rect 35228 53306 35284 53308
+rect 34988 53254 35014 53306
+rect 35014 53254 35044 53306
+rect 35068 53254 35078 53306
+rect 35078 53254 35124 53306
+rect 35148 53254 35194 53306
+rect 35194 53254 35204 53306
+rect 35228 53254 35258 53306
+rect 35258 53254 35284 53306
+rect 34988 53252 35044 53254
+rect 35068 53252 35124 53254
+rect 35148 53252 35204 53254
+rect 35228 53252 35284 53254
+rect 34988 51974 35044 51976
+rect 35068 51974 35124 51976
+rect 35148 51974 35204 51976
+rect 35228 51974 35284 51976
+rect 34988 51922 35014 51974
+rect 35014 51922 35044 51974
+rect 35068 51922 35078 51974
+rect 35078 51922 35124 51974
+rect 35148 51922 35194 51974
+rect 35194 51922 35204 51974
+rect 35228 51922 35258 51974
+rect 35258 51922 35284 51974
+rect 34988 51920 35044 51922
+rect 35068 51920 35124 51922
+rect 35148 51920 35204 51922
+rect 35228 51920 35284 51922
+rect 34988 50642 35044 50644
+rect 35068 50642 35124 50644
+rect 35148 50642 35204 50644
+rect 35228 50642 35284 50644
+rect 34988 50590 35014 50642
+rect 35014 50590 35044 50642
+rect 35068 50590 35078 50642
+rect 35078 50590 35124 50642
+rect 35148 50590 35194 50642
+rect 35194 50590 35204 50642
+rect 35228 50590 35258 50642
+rect 35258 50590 35284 50642
+rect 34988 50588 35044 50590
+rect 35068 50588 35124 50590
+rect 35148 50588 35204 50590
+rect 35228 50588 35284 50590
+rect 34988 49310 35044 49312
+rect 35068 49310 35124 49312
+rect 35148 49310 35204 49312
+rect 35228 49310 35284 49312
+rect 34988 49258 35014 49310
+rect 35014 49258 35044 49310
+rect 35068 49258 35078 49310
+rect 35078 49258 35124 49310
+rect 35148 49258 35194 49310
+rect 35194 49258 35204 49310
+rect 35228 49258 35258 49310
+rect 35258 49258 35284 49310
+rect 34988 49256 35044 49258
+rect 35068 49256 35124 49258
+rect 35148 49256 35204 49258
+rect 35228 49256 35284 49258
+rect 34988 47978 35044 47980
+rect 35068 47978 35124 47980
+rect 35148 47978 35204 47980
+rect 35228 47978 35284 47980
+rect 34988 47926 35014 47978
+rect 35014 47926 35044 47978
+rect 35068 47926 35078 47978
+rect 35078 47926 35124 47978
+rect 35148 47926 35194 47978
+rect 35194 47926 35204 47978
+rect 35228 47926 35258 47978
+rect 35258 47926 35284 47978
+rect 34988 47924 35044 47926
+rect 35068 47924 35124 47926
+rect 35148 47924 35204 47926
+rect 35228 47924 35284 47926
+rect 34988 46646 35044 46648
+rect 35068 46646 35124 46648
+rect 35148 46646 35204 46648
+rect 35228 46646 35284 46648
+rect 34988 46594 35014 46646
+rect 35014 46594 35044 46646
+rect 35068 46594 35078 46646
+rect 35078 46594 35124 46646
+rect 35148 46594 35194 46646
+rect 35194 46594 35204 46646
+rect 35228 46594 35258 46646
+rect 35258 46594 35284 46646
+rect 34988 46592 35044 46594
+rect 35068 46592 35124 46594
+rect 35148 46592 35204 46594
+rect 35228 46592 35284 46594
+rect 34988 45314 35044 45316
+rect 35068 45314 35124 45316
+rect 35148 45314 35204 45316
+rect 35228 45314 35284 45316
+rect 34988 45262 35014 45314
+rect 35014 45262 35044 45314
+rect 35068 45262 35078 45314
+rect 35078 45262 35124 45314
+rect 35148 45262 35194 45314
+rect 35194 45262 35204 45314
+rect 35228 45262 35258 45314
+rect 35258 45262 35284 45314
+rect 34988 45260 35044 45262
+rect 35068 45260 35124 45262
+rect 35148 45260 35204 45262
+rect 35228 45260 35284 45262
+rect 34988 43982 35044 43984
+rect 35068 43982 35124 43984
+rect 35148 43982 35204 43984
+rect 35228 43982 35284 43984
+rect 34988 43930 35014 43982
+rect 35014 43930 35044 43982
+rect 35068 43930 35078 43982
+rect 35078 43930 35124 43982
+rect 35148 43930 35194 43982
+rect 35194 43930 35204 43982
+rect 35228 43930 35258 43982
+rect 35258 43930 35284 43982
+rect 34988 43928 35044 43930
+rect 35068 43928 35124 43930
+rect 35148 43928 35204 43930
+rect 35228 43928 35284 43930
+rect 34988 42650 35044 42652
+rect 35068 42650 35124 42652
+rect 35148 42650 35204 42652
+rect 35228 42650 35284 42652
+rect 34988 42598 35014 42650
+rect 35014 42598 35044 42650
+rect 35068 42598 35078 42650
+rect 35078 42598 35124 42650
+rect 35148 42598 35194 42650
+rect 35194 42598 35204 42650
+rect 35228 42598 35258 42650
+rect 35258 42598 35284 42650
+rect 34988 42596 35044 42598
+rect 35068 42596 35124 42598
+rect 35148 42596 35204 42598
+rect 35228 42596 35284 42598
+rect 34988 41318 35044 41320
+rect 35068 41318 35124 41320
+rect 35148 41318 35204 41320
+rect 35228 41318 35284 41320
+rect 34988 41266 35014 41318
+rect 35014 41266 35044 41318
+rect 35068 41266 35078 41318
+rect 35078 41266 35124 41318
+rect 35148 41266 35194 41318
+rect 35194 41266 35204 41318
+rect 35228 41266 35258 41318
+rect 35258 41266 35284 41318
+rect 34988 41264 35044 41266
+rect 35068 41264 35124 41266
+rect 35148 41264 35204 41266
+rect 35228 41264 35284 41266
+rect 34988 39986 35044 39988
+rect 35068 39986 35124 39988
+rect 35148 39986 35204 39988
+rect 35228 39986 35284 39988
+rect 34988 39934 35014 39986
+rect 35014 39934 35044 39986
+rect 35068 39934 35078 39986
+rect 35078 39934 35124 39986
+rect 35148 39934 35194 39986
+rect 35194 39934 35204 39986
+rect 35228 39934 35258 39986
+rect 35258 39934 35284 39986
+rect 34988 39932 35044 39934
+rect 35068 39932 35124 39934
+rect 35148 39932 35204 39934
+rect 35228 39932 35284 39934
+rect 34988 38654 35044 38656
+rect 35068 38654 35124 38656
+rect 35148 38654 35204 38656
+rect 35228 38654 35284 38656
+rect 34988 38602 35014 38654
+rect 35014 38602 35044 38654
+rect 35068 38602 35078 38654
+rect 35078 38602 35124 38654
+rect 35148 38602 35194 38654
+rect 35194 38602 35204 38654
+rect 35228 38602 35258 38654
+rect 35258 38602 35284 38654
+rect 34988 38600 35044 38602
+rect 35068 38600 35124 38602
+rect 35148 38600 35204 38602
+rect 35228 38600 35284 38602
+rect 34988 37322 35044 37324
+rect 35068 37322 35124 37324
+rect 35148 37322 35204 37324
+rect 35228 37322 35284 37324
+rect 34988 37270 35014 37322
+rect 35014 37270 35044 37322
+rect 35068 37270 35078 37322
+rect 35078 37270 35124 37322
+rect 35148 37270 35194 37322
+rect 35194 37270 35204 37322
+rect 35228 37270 35258 37322
+rect 35258 37270 35284 37322
+rect 34988 37268 35044 37270
+rect 35068 37268 35124 37270
+rect 35148 37268 35204 37270
+rect 35228 37268 35284 37270
+rect 34988 35990 35044 35992
+rect 35068 35990 35124 35992
+rect 35148 35990 35204 35992
+rect 35228 35990 35284 35992
+rect 34988 35938 35014 35990
+rect 35014 35938 35044 35990
+rect 35068 35938 35078 35990
+rect 35078 35938 35124 35990
+rect 35148 35938 35194 35990
+rect 35194 35938 35204 35990
+rect 35228 35938 35258 35990
+rect 35258 35938 35284 35990
+rect 34988 35936 35044 35938
+rect 35068 35936 35124 35938
+rect 35148 35936 35204 35938
+rect 35228 35936 35284 35938
+rect 34988 34658 35044 34660
+rect 35068 34658 35124 34660
+rect 35148 34658 35204 34660
+rect 35228 34658 35284 34660
+rect 34988 34606 35014 34658
+rect 35014 34606 35044 34658
+rect 35068 34606 35078 34658
+rect 35078 34606 35124 34658
+rect 35148 34606 35194 34658
+rect 35194 34606 35204 34658
+rect 35228 34606 35258 34658
+rect 35258 34606 35284 34658
+rect 34988 34604 35044 34606
+rect 35068 34604 35124 34606
+rect 35148 34604 35204 34606
+rect 35228 34604 35284 34606
+rect 34988 33326 35044 33328
+rect 35068 33326 35124 33328
+rect 35148 33326 35204 33328
+rect 35228 33326 35284 33328
+rect 34988 33274 35014 33326
+rect 35014 33274 35044 33326
+rect 35068 33274 35078 33326
+rect 35078 33274 35124 33326
+rect 35148 33274 35194 33326
+rect 35194 33274 35204 33326
+rect 35228 33274 35258 33326
+rect 35258 33274 35284 33326
+rect 34988 33272 35044 33274
+rect 35068 33272 35124 33274
+rect 35148 33272 35204 33274
+rect 35228 33272 35284 33274
+rect 34988 31994 35044 31996
+rect 35068 31994 35124 31996
+rect 35148 31994 35204 31996
+rect 35228 31994 35284 31996
+rect 34988 31942 35014 31994
+rect 35014 31942 35044 31994
+rect 35068 31942 35078 31994
+rect 35078 31942 35124 31994
+rect 35148 31942 35194 31994
+rect 35194 31942 35204 31994
+rect 35228 31942 35258 31994
+rect 35258 31942 35284 31994
+rect 34988 31940 35044 31942
+rect 35068 31940 35124 31942
+rect 35148 31940 35204 31942
+rect 35228 31940 35284 31942
+rect 34988 30662 35044 30664
+rect 35068 30662 35124 30664
+rect 35148 30662 35204 30664
+rect 35228 30662 35284 30664
+rect 34988 30610 35014 30662
+rect 35014 30610 35044 30662
+rect 35068 30610 35078 30662
+rect 35078 30610 35124 30662
+rect 35148 30610 35194 30662
+rect 35194 30610 35204 30662
+rect 35228 30610 35258 30662
+rect 35258 30610 35284 30662
+rect 34988 30608 35044 30610
+rect 35068 30608 35124 30610
+rect 35148 30608 35204 30610
+rect 35228 30608 35284 30610
+rect 34988 29330 35044 29332
+rect 35068 29330 35124 29332
+rect 35148 29330 35204 29332
+rect 35228 29330 35284 29332
+rect 34988 29278 35014 29330
+rect 35014 29278 35044 29330
+rect 35068 29278 35078 29330
+rect 35078 29278 35124 29330
+rect 35148 29278 35194 29330
+rect 35194 29278 35204 29330
+rect 35228 29278 35258 29330
+rect 35258 29278 35284 29330
+rect 34988 29276 35044 29278
+rect 35068 29276 35124 29278
+rect 35148 29276 35204 29278
+rect 35228 29276 35284 29278
+rect 34988 27998 35044 28000
+rect 35068 27998 35124 28000
+rect 35148 27998 35204 28000
+rect 35228 27998 35284 28000
+rect 34988 27946 35014 27998
+rect 35014 27946 35044 27998
+rect 35068 27946 35078 27998
+rect 35078 27946 35124 27998
+rect 35148 27946 35194 27998
+rect 35194 27946 35204 27998
+rect 35228 27946 35258 27998
+rect 35258 27946 35284 27998
+rect 34988 27944 35044 27946
+rect 35068 27944 35124 27946
+rect 35148 27944 35204 27946
+rect 35228 27944 35284 27946
+rect 34988 26666 35044 26668
+rect 35068 26666 35124 26668
+rect 35148 26666 35204 26668
+rect 35228 26666 35284 26668
+rect 34988 26614 35014 26666
+rect 35014 26614 35044 26666
+rect 35068 26614 35078 26666
+rect 35078 26614 35124 26666
+rect 35148 26614 35194 26666
+rect 35194 26614 35204 26666
+rect 35228 26614 35258 26666
+rect 35258 26614 35284 26666
+rect 34988 26612 35044 26614
+rect 35068 26612 35124 26614
+rect 35148 26612 35204 26614
+rect 35228 26612 35284 26614
+rect 34988 25334 35044 25336
+rect 35068 25334 35124 25336
+rect 35148 25334 35204 25336
+rect 35228 25334 35284 25336
+rect 34988 25282 35014 25334
+rect 35014 25282 35044 25334
+rect 35068 25282 35078 25334
+rect 35078 25282 35124 25334
+rect 35148 25282 35194 25334
+rect 35194 25282 35204 25334
+rect 35228 25282 35258 25334
+rect 35258 25282 35284 25334
+rect 34988 25280 35044 25282
+rect 35068 25280 35124 25282
+rect 35148 25280 35204 25282
+rect 35228 25280 35284 25282
+rect 34988 24002 35044 24004
+rect 35068 24002 35124 24004
+rect 35148 24002 35204 24004
+rect 35228 24002 35284 24004
+rect 34988 23950 35014 24002
+rect 35014 23950 35044 24002
+rect 35068 23950 35078 24002
+rect 35078 23950 35124 24002
+rect 35148 23950 35194 24002
+rect 35194 23950 35204 24002
+rect 35228 23950 35258 24002
+rect 35258 23950 35284 24002
+rect 34988 23948 35044 23950
+rect 35068 23948 35124 23950
+rect 35148 23948 35204 23950
+rect 35228 23948 35284 23950
+rect 34988 22670 35044 22672
+rect 35068 22670 35124 22672
+rect 35148 22670 35204 22672
+rect 35228 22670 35284 22672
+rect 34988 22618 35014 22670
+rect 35014 22618 35044 22670
+rect 35068 22618 35078 22670
+rect 35078 22618 35124 22670
+rect 35148 22618 35194 22670
+rect 35194 22618 35204 22670
+rect 35228 22618 35258 22670
+rect 35258 22618 35284 22670
+rect 34988 22616 35044 22618
+rect 35068 22616 35124 22618
+rect 35148 22616 35204 22618
+rect 35228 22616 35284 22618
+rect 34988 21338 35044 21340
+rect 35068 21338 35124 21340
+rect 35148 21338 35204 21340
+rect 35228 21338 35284 21340
+rect 34988 21286 35014 21338
+rect 35014 21286 35044 21338
+rect 35068 21286 35078 21338
+rect 35078 21286 35124 21338
+rect 35148 21286 35194 21338
+rect 35194 21286 35204 21338
+rect 35228 21286 35258 21338
+rect 35258 21286 35284 21338
+rect 34988 21284 35044 21286
+rect 35068 21284 35124 21286
+rect 35148 21284 35204 21286
+rect 35228 21284 35284 21286
+rect 34988 20006 35044 20008
+rect 35068 20006 35124 20008
+rect 35148 20006 35204 20008
+rect 35228 20006 35284 20008
+rect 34988 19954 35014 20006
+rect 35014 19954 35044 20006
+rect 35068 19954 35078 20006
+rect 35078 19954 35124 20006
+rect 35148 19954 35194 20006
+rect 35194 19954 35204 20006
+rect 35228 19954 35258 20006
+rect 35258 19954 35284 20006
+rect 34988 19952 35044 19954
+rect 35068 19952 35124 19954
+rect 35148 19952 35204 19954
+rect 35228 19952 35284 19954
+rect 34988 18674 35044 18676
+rect 35068 18674 35124 18676
+rect 35148 18674 35204 18676
+rect 35228 18674 35284 18676
+rect 34988 18622 35014 18674
+rect 35014 18622 35044 18674
+rect 35068 18622 35078 18674
+rect 35078 18622 35124 18674
+rect 35148 18622 35194 18674
+rect 35194 18622 35204 18674
+rect 35228 18622 35258 18674
+rect 35258 18622 35284 18674
+rect 34988 18620 35044 18622
+rect 35068 18620 35124 18622
+rect 35148 18620 35204 18622
+rect 35228 18620 35284 18622
+rect 34988 17342 35044 17344
+rect 35068 17342 35124 17344
+rect 35148 17342 35204 17344
+rect 35228 17342 35284 17344
+rect 34988 17290 35014 17342
+rect 35014 17290 35044 17342
+rect 35068 17290 35078 17342
+rect 35078 17290 35124 17342
+rect 35148 17290 35194 17342
+rect 35194 17290 35204 17342
+rect 35228 17290 35258 17342
+rect 35258 17290 35284 17342
+rect 34988 17288 35044 17290
+rect 35068 17288 35124 17290
+rect 35148 17288 35204 17290
+rect 35228 17288 35284 17290
+rect 34988 16010 35044 16012
+rect 35068 16010 35124 16012
+rect 35148 16010 35204 16012
+rect 35228 16010 35284 16012
+rect 34988 15958 35014 16010
+rect 35014 15958 35044 16010
+rect 35068 15958 35078 16010
+rect 35078 15958 35124 16010
+rect 35148 15958 35194 16010
+rect 35194 15958 35204 16010
+rect 35228 15958 35258 16010
+rect 35258 15958 35284 16010
+rect 34988 15956 35044 15958
+rect 35068 15956 35124 15958
+rect 35148 15956 35204 15958
+rect 35228 15956 35284 15958
+rect 34988 14678 35044 14680
+rect 35068 14678 35124 14680
+rect 35148 14678 35204 14680
+rect 35228 14678 35284 14680
+rect 34988 14626 35014 14678
+rect 35014 14626 35044 14678
+rect 35068 14626 35078 14678
+rect 35078 14626 35124 14678
+rect 35148 14626 35194 14678
+rect 35194 14626 35204 14678
+rect 35228 14626 35258 14678
+rect 35258 14626 35284 14678
+rect 34988 14624 35044 14626
+rect 35068 14624 35124 14626
+rect 35148 14624 35204 14626
+rect 35228 14624 35284 14626
+rect 34988 13346 35044 13348
+rect 35068 13346 35124 13348
+rect 35148 13346 35204 13348
+rect 35228 13346 35284 13348
+rect 34988 13294 35014 13346
+rect 35014 13294 35044 13346
+rect 35068 13294 35078 13346
+rect 35078 13294 35124 13346
+rect 35148 13294 35194 13346
+rect 35194 13294 35204 13346
+rect 35228 13294 35258 13346
+rect 35258 13294 35284 13346
+rect 34988 13292 35044 13294
+rect 35068 13292 35124 13294
+rect 35148 13292 35204 13294
+rect 35228 13292 35284 13294
+rect 34988 12014 35044 12016
+rect 35068 12014 35124 12016
+rect 35148 12014 35204 12016
+rect 35228 12014 35284 12016
+rect 34988 11962 35014 12014
+rect 35014 11962 35044 12014
+rect 35068 11962 35078 12014
+rect 35078 11962 35124 12014
+rect 35148 11962 35194 12014
+rect 35194 11962 35204 12014
+rect 35228 11962 35258 12014
+rect 35258 11962 35284 12014
+rect 34988 11960 35044 11962
+rect 35068 11960 35124 11962
+rect 35148 11960 35204 11962
+rect 35228 11960 35284 11962
+rect 34988 10682 35044 10684
+rect 35068 10682 35124 10684
+rect 35148 10682 35204 10684
+rect 35228 10682 35284 10684
+rect 34988 10630 35014 10682
+rect 35014 10630 35044 10682
+rect 35068 10630 35078 10682
+rect 35078 10630 35124 10682
+rect 35148 10630 35194 10682
+rect 35194 10630 35204 10682
+rect 35228 10630 35258 10682
+rect 35258 10630 35284 10682
+rect 34988 10628 35044 10630
+rect 35068 10628 35124 10630
+rect 35148 10628 35204 10630
+rect 35228 10628 35284 10630
+rect 34988 9350 35044 9352
+rect 35068 9350 35124 9352
+rect 35148 9350 35204 9352
+rect 35228 9350 35284 9352
+rect 34988 9298 35014 9350
+rect 35014 9298 35044 9350
+rect 35068 9298 35078 9350
+rect 35078 9298 35124 9350
+rect 35148 9298 35194 9350
+rect 35194 9298 35204 9350
+rect 35228 9298 35258 9350
+rect 35258 9298 35284 9350
+rect 34988 9296 35044 9298
+rect 35068 9296 35124 9298
+rect 35148 9296 35204 9298
+rect 35228 9296 35284 9298
+rect 34988 8018 35044 8020
+rect 35068 8018 35124 8020
+rect 35148 8018 35204 8020
+rect 35228 8018 35284 8020
+rect 34988 7966 35014 8018
+rect 35014 7966 35044 8018
+rect 35068 7966 35078 8018
+rect 35078 7966 35124 8018
+rect 35148 7966 35194 8018
+rect 35194 7966 35204 8018
+rect 35228 7966 35258 8018
+rect 35258 7966 35284 8018
+rect 34988 7964 35044 7966
+rect 35068 7964 35124 7966
+rect 35148 7964 35204 7966
+rect 35228 7964 35284 7966
+rect 34988 6686 35044 6688
+rect 35068 6686 35124 6688
+rect 35148 6686 35204 6688
+rect 35228 6686 35284 6688
+rect 34988 6634 35014 6686
+rect 35014 6634 35044 6686
+rect 35068 6634 35078 6686
+rect 35078 6634 35124 6686
+rect 35148 6634 35194 6686
+rect 35194 6634 35204 6686
+rect 35228 6634 35258 6686
+rect 35258 6634 35284 6686
+rect 34988 6632 35044 6634
+rect 35068 6632 35124 6634
+rect 35148 6632 35204 6634
+rect 35228 6632 35284 6634
+rect 34988 5354 35044 5356
+rect 35068 5354 35124 5356
+rect 35148 5354 35204 5356
+rect 35228 5354 35284 5356
+rect 34988 5302 35014 5354
+rect 35014 5302 35044 5354
+rect 35068 5302 35078 5354
+rect 35078 5302 35124 5354
+rect 35148 5302 35194 5354
+rect 35194 5302 35204 5354
+rect 35228 5302 35258 5354
+rect 35258 5302 35284 5354
+rect 34988 5300 35044 5302
+rect 35068 5300 35124 5302
+rect 35148 5300 35204 5302
+rect 35228 5300 35284 5302
+rect 34988 4022 35044 4024
+rect 35068 4022 35124 4024
+rect 35148 4022 35204 4024
+rect 35228 4022 35284 4024
+rect 34988 3970 35014 4022
+rect 35014 3970 35044 4022
+rect 35068 3970 35078 4022
+rect 35078 3970 35124 4022
+rect 35148 3970 35194 4022
+rect 35194 3970 35204 4022
+rect 35228 3970 35258 4022
+rect 35258 3970 35284 4022
+rect 34988 3968 35044 3970
+rect 35068 3968 35124 3970
+rect 35148 3968 35204 3970
+rect 35228 3968 35284 3970
+rect 35348 3006 35404 3062
+rect 34988 2690 35044 2692
+rect 35068 2690 35124 2692
+rect 35148 2690 35204 2692
+rect 35228 2690 35284 2692
+rect 34988 2638 35014 2690
+rect 35014 2638 35044 2690
+rect 35068 2638 35078 2690
+rect 35078 2638 35124 2690
+rect 35148 2638 35194 2690
+rect 35194 2638 35204 2690
+rect 35228 2638 35258 2690
+rect 35258 2638 35284 2690
+rect 34988 2636 35044 2638
+rect 35068 2636 35124 2638
+rect 35148 2636 35204 2638
+rect 35228 2636 35284 2638
+rect 35540 2414 35596 2470
+rect 50348 56636 50404 56638
+rect 50428 56636 50484 56638
+rect 50508 56636 50564 56638
+rect 50588 56636 50644 56638
+rect 50348 56584 50374 56636
+rect 50374 56584 50404 56636
+rect 50428 56584 50438 56636
+rect 50438 56584 50484 56636
+rect 50508 56584 50554 56636
+rect 50554 56584 50564 56636
+rect 50588 56584 50618 56636
+rect 50618 56584 50644 56636
+rect 50348 56582 50404 56584
+rect 50428 56582 50484 56584
+rect 50508 56582 50564 56584
+rect 50588 56582 50644 56584
+rect 50348 55304 50404 55306
+rect 50428 55304 50484 55306
+rect 50508 55304 50564 55306
+rect 50588 55304 50644 55306
+rect 50348 55252 50374 55304
+rect 50374 55252 50404 55304
+rect 50428 55252 50438 55304
+rect 50438 55252 50484 55304
+rect 50508 55252 50554 55304
+rect 50554 55252 50564 55304
+rect 50588 55252 50618 55304
+rect 50618 55252 50644 55304
+rect 50348 55250 50404 55252
+rect 50428 55250 50484 55252
+rect 50508 55250 50564 55252
+rect 50588 55250 50644 55252
+rect 50348 53972 50404 53974
+rect 50428 53972 50484 53974
+rect 50508 53972 50564 53974
+rect 50588 53972 50644 53974
+rect 50348 53920 50374 53972
+rect 50374 53920 50404 53972
+rect 50428 53920 50438 53972
+rect 50438 53920 50484 53972
+rect 50508 53920 50554 53972
+rect 50554 53920 50564 53972
+rect 50588 53920 50618 53972
+rect 50618 53920 50644 53972
+rect 50348 53918 50404 53920
+rect 50428 53918 50484 53920
+rect 50508 53918 50564 53920
+rect 50588 53918 50644 53920
+rect 50348 52640 50404 52642
+rect 50428 52640 50484 52642
+rect 50508 52640 50564 52642
+rect 50588 52640 50644 52642
+rect 50348 52588 50374 52640
+rect 50374 52588 50404 52640
+rect 50428 52588 50438 52640
+rect 50438 52588 50484 52640
+rect 50508 52588 50554 52640
+rect 50554 52588 50564 52640
+rect 50588 52588 50618 52640
+rect 50618 52588 50644 52640
+rect 50348 52586 50404 52588
+rect 50428 52586 50484 52588
+rect 50508 52586 50564 52588
+rect 50588 52586 50644 52588
+rect 50348 51308 50404 51310
+rect 50428 51308 50484 51310
+rect 50508 51308 50564 51310
+rect 50588 51308 50644 51310
+rect 50348 51256 50374 51308
+rect 50374 51256 50404 51308
+rect 50428 51256 50438 51308
+rect 50438 51256 50484 51308
+rect 50508 51256 50554 51308
+rect 50554 51256 50564 51308
+rect 50588 51256 50618 51308
+rect 50618 51256 50644 51308
+rect 50348 51254 50404 51256
+rect 50428 51254 50484 51256
+rect 50508 51254 50564 51256
+rect 50588 51254 50644 51256
+rect 50348 49976 50404 49978
+rect 50428 49976 50484 49978
+rect 50508 49976 50564 49978
+rect 50588 49976 50644 49978
+rect 50348 49924 50374 49976
+rect 50374 49924 50404 49976
+rect 50428 49924 50438 49976
+rect 50438 49924 50484 49976
+rect 50508 49924 50554 49976
+rect 50554 49924 50564 49976
+rect 50588 49924 50618 49976
+rect 50618 49924 50644 49976
+rect 50348 49922 50404 49924
+rect 50428 49922 50484 49924
+rect 50508 49922 50564 49924
+rect 50588 49922 50644 49924
+rect 50348 48644 50404 48646
+rect 50428 48644 50484 48646
+rect 50508 48644 50564 48646
+rect 50588 48644 50644 48646
+rect 50348 48592 50374 48644
+rect 50374 48592 50404 48644
+rect 50428 48592 50438 48644
+rect 50438 48592 50484 48644
+rect 50508 48592 50554 48644
+rect 50554 48592 50564 48644
+rect 50588 48592 50618 48644
+rect 50618 48592 50644 48644
+rect 50348 48590 50404 48592
+rect 50428 48590 50484 48592
+rect 50508 48590 50564 48592
+rect 50588 48590 50644 48592
+rect 50348 47312 50404 47314
+rect 50428 47312 50484 47314
+rect 50508 47312 50564 47314
+rect 50588 47312 50644 47314
+rect 50348 47260 50374 47312
+rect 50374 47260 50404 47312
+rect 50428 47260 50438 47312
+rect 50438 47260 50484 47312
+rect 50508 47260 50554 47312
+rect 50554 47260 50564 47312
+rect 50588 47260 50618 47312
+rect 50618 47260 50644 47312
+rect 50348 47258 50404 47260
+rect 50428 47258 50484 47260
+rect 50508 47258 50564 47260
+rect 50588 47258 50644 47260
+rect 50348 45980 50404 45982
+rect 50428 45980 50484 45982
+rect 50508 45980 50564 45982
+rect 50588 45980 50644 45982
+rect 50348 45928 50374 45980
+rect 50374 45928 50404 45980
+rect 50428 45928 50438 45980
+rect 50438 45928 50484 45980
+rect 50508 45928 50554 45980
+rect 50554 45928 50564 45980
+rect 50588 45928 50618 45980
+rect 50618 45928 50644 45980
+rect 50348 45926 50404 45928
+rect 50428 45926 50484 45928
+rect 50508 45926 50564 45928
+rect 50588 45926 50644 45928
+rect 50348 44648 50404 44650
+rect 50428 44648 50484 44650
+rect 50508 44648 50564 44650
+rect 50588 44648 50644 44650
+rect 50348 44596 50374 44648
+rect 50374 44596 50404 44648
+rect 50428 44596 50438 44648
+rect 50438 44596 50484 44648
+rect 50508 44596 50554 44648
+rect 50554 44596 50564 44648
+rect 50588 44596 50618 44648
+rect 50618 44596 50644 44648
+rect 50348 44594 50404 44596
+rect 50428 44594 50484 44596
+rect 50508 44594 50564 44596
+rect 50588 44594 50644 44596
+rect 50348 43316 50404 43318
+rect 50428 43316 50484 43318
+rect 50508 43316 50564 43318
+rect 50588 43316 50644 43318
+rect 50348 43264 50374 43316
+rect 50374 43264 50404 43316
+rect 50428 43264 50438 43316
+rect 50438 43264 50484 43316
+rect 50508 43264 50554 43316
+rect 50554 43264 50564 43316
+rect 50588 43264 50618 43316
+rect 50618 43264 50644 43316
+rect 50348 43262 50404 43264
+rect 50428 43262 50484 43264
+rect 50508 43262 50564 43264
+rect 50588 43262 50644 43264
+rect 50348 41984 50404 41986
+rect 50428 41984 50484 41986
+rect 50508 41984 50564 41986
+rect 50588 41984 50644 41986
+rect 50348 41932 50374 41984
+rect 50374 41932 50404 41984
+rect 50428 41932 50438 41984
+rect 50438 41932 50484 41984
+rect 50508 41932 50554 41984
+rect 50554 41932 50564 41984
+rect 50588 41932 50618 41984
+rect 50618 41932 50644 41984
+rect 50348 41930 50404 41932
+rect 50428 41930 50484 41932
+rect 50508 41930 50564 41932
+rect 50588 41930 50644 41932
+rect 50348 40652 50404 40654
+rect 50428 40652 50484 40654
+rect 50508 40652 50564 40654
+rect 50588 40652 50644 40654
+rect 50348 40600 50374 40652
+rect 50374 40600 50404 40652
+rect 50428 40600 50438 40652
+rect 50438 40600 50484 40652
+rect 50508 40600 50554 40652
+rect 50554 40600 50564 40652
+rect 50588 40600 50618 40652
+rect 50618 40600 50644 40652
+rect 50348 40598 50404 40600
+rect 50428 40598 50484 40600
+rect 50508 40598 50564 40600
+rect 50588 40598 50644 40600
+rect 50348 39320 50404 39322
+rect 50428 39320 50484 39322
+rect 50508 39320 50564 39322
+rect 50588 39320 50644 39322
+rect 50348 39268 50374 39320
+rect 50374 39268 50404 39320
+rect 50428 39268 50438 39320
+rect 50438 39268 50484 39320
+rect 50508 39268 50554 39320
+rect 50554 39268 50564 39320
+rect 50588 39268 50618 39320
+rect 50618 39268 50644 39320
+rect 50348 39266 50404 39268
+rect 50428 39266 50484 39268
+rect 50508 39266 50564 39268
+rect 50588 39266 50644 39268
+rect 50348 37988 50404 37990
+rect 50428 37988 50484 37990
+rect 50508 37988 50564 37990
+rect 50588 37988 50644 37990
+rect 50348 37936 50374 37988
+rect 50374 37936 50404 37988
+rect 50428 37936 50438 37988
+rect 50438 37936 50484 37988
+rect 50508 37936 50554 37988
+rect 50554 37936 50564 37988
+rect 50588 37936 50618 37988
+rect 50618 37936 50644 37988
+rect 50348 37934 50404 37936
+rect 50428 37934 50484 37936
+rect 50508 37934 50564 37936
+rect 50588 37934 50644 37936
+rect 50348 36656 50404 36658
+rect 50428 36656 50484 36658
+rect 50508 36656 50564 36658
+rect 50588 36656 50644 36658
+rect 50348 36604 50374 36656
+rect 50374 36604 50404 36656
+rect 50428 36604 50438 36656
+rect 50438 36604 50484 36656
+rect 50508 36604 50554 36656
+rect 50554 36604 50564 36656
+rect 50588 36604 50618 36656
+rect 50618 36604 50644 36656
+rect 50348 36602 50404 36604
+rect 50428 36602 50484 36604
+rect 50508 36602 50564 36604
+rect 50588 36602 50644 36604
+rect 50348 35324 50404 35326
+rect 50428 35324 50484 35326
+rect 50508 35324 50564 35326
+rect 50588 35324 50644 35326
+rect 50348 35272 50374 35324
+rect 50374 35272 50404 35324
+rect 50428 35272 50438 35324
+rect 50438 35272 50484 35324
+rect 50508 35272 50554 35324
+rect 50554 35272 50564 35324
+rect 50588 35272 50618 35324
+rect 50618 35272 50644 35324
+rect 50348 35270 50404 35272
+rect 50428 35270 50484 35272
+rect 50508 35270 50564 35272
+rect 50588 35270 50644 35272
+rect 50348 33992 50404 33994
+rect 50428 33992 50484 33994
+rect 50508 33992 50564 33994
+rect 50588 33992 50644 33994
+rect 50348 33940 50374 33992
+rect 50374 33940 50404 33992
+rect 50428 33940 50438 33992
+rect 50438 33940 50484 33992
+rect 50508 33940 50554 33992
+rect 50554 33940 50564 33992
+rect 50588 33940 50618 33992
+rect 50618 33940 50644 33992
+rect 50348 33938 50404 33940
+rect 50428 33938 50484 33940
+rect 50508 33938 50564 33940
+rect 50588 33938 50644 33940
+rect 50348 32660 50404 32662
+rect 50428 32660 50484 32662
+rect 50508 32660 50564 32662
+rect 50588 32660 50644 32662
+rect 50348 32608 50374 32660
+rect 50374 32608 50404 32660
+rect 50428 32608 50438 32660
+rect 50438 32608 50484 32660
+rect 50508 32608 50554 32660
+rect 50554 32608 50564 32660
+rect 50588 32608 50618 32660
+rect 50618 32608 50644 32660
+rect 50348 32606 50404 32608
+rect 50428 32606 50484 32608
+rect 50508 32606 50564 32608
+rect 50588 32606 50644 32608
+rect 50348 31328 50404 31330
+rect 50428 31328 50484 31330
+rect 50508 31328 50564 31330
+rect 50588 31328 50644 31330
+rect 50348 31276 50374 31328
+rect 50374 31276 50404 31328
+rect 50428 31276 50438 31328
+rect 50438 31276 50484 31328
+rect 50508 31276 50554 31328
+rect 50554 31276 50564 31328
+rect 50588 31276 50618 31328
+rect 50618 31276 50644 31328
+rect 50348 31274 50404 31276
+rect 50428 31274 50484 31276
+rect 50508 31274 50564 31276
+rect 50588 31274 50644 31276
+rect 50348 29996 50404 29998
+rect 50428 29996 50484 29998
+rect 50508 29996 50564 29998
+rect 50588 29996 50644 29998
+rect 50348 29944 50374 29996
+rect 50374 29944 50404 29996
+rect 50428 29944 50438 29996
+rect 50438 29944 50484 29996
+rect 50508 29944 50554 29996
+rect 50554 29944 50564 29996
+rect 50588 29944 50618 29996
+rect 50618 29944 50644 29996
+rect 50348 29942 50404 29944
+rect 50428 29942 50484 29944
+rect 50508 29942 50564 29944
+rect 50588 29942 50644 29944
+rect 50348 28664 50404 28666
+rect 50428 28664 50484 28666
+rect 50508 28664 50564 28666
+rect 50588 28664 50644 28666
+rect 50348 28612 50374 28664
+rect 50374 28612 50404 28664
+rect 50428 28612 50438 28664
+rect 50438 28612 50484 28664
+rect 50508 28612 50554 28664
+rect 50554 28612 50564 28664
+rect 50588 28612 50618 28664
+rect 50618 28612 50644 28664
+rect 50348 28610 50404 28612
+rect 50428 28610 50484 28612
+rect 50508 28610 50564 28612
+rect 50588 28610 50644 28612
+rect 50348 27332 50404 27334
+rect 50428 27332 50484 27334
+rect 50508 27332 50564 27334
+rect 50588 27332 50644 27334
+rect 50348 27280 50374 27332
+rect 50374 27280 50404 27332
+rect 50428 27280 50438 27332
+rect 50438 27280 50484 27332
+rect 50508 27280 50554 27332
+rect 50554 27280 50564 27332
+rect 50588 27280 50618 27332
+rect 50618 27280 50644 27332
+rect 50348 27278 50404 27280
+rect 50428 27278 50484 27280
+rect 50508 27278 50564 27280
+rect 50588 27278 50644 27280
+rect 50348 26000 50404 26002
+rect 50428 26000 50484 26002
+rect 50508 26000 50564 26002
+rect 50588 26000 50644 26002
+rect 50348 25948 50374 26000
+rect 50374 25948 50404 26000
+rect 50428 25948 50438 26000
+rect 50438 25948 50484 26000
+rect 50508 25948 50554 26000
+rect 50554 25948 50564 26000
+rect 50588 25948 50618 26000
+rect 50618 25948 50644 26000
+rect 50348 25946 50404 25948
+rect 50428 25946 50484 25948
+rect 50508 25946 50564 25948
+rect 50588 25946 50644 25948
+rect 50348 24668 50404 24670
+rect 50428 24668 50484 24670
+rect 50508 24668 50564 24670
+rect 50588 24668 50644 24670
+rect 50348 24616 50374 24668
+rect 50374 24616 50404 24668
+rect 50428 24616 50438 24668
+rect 50438 24616 50484 24668
+rect 50508 24616 50554 24668
+rect 50554 24616 50564 24668
+rect 50588 24616 50618 24668
+rect 50618 24616 50644 24668
+rect 50348 24614 50404 24616
+rect 50428 24614 50484 24616
+rect 50508 24614 50564 24616
+rect 50588 24614 50644 24616
+rect 50348 23336 50404 23338
+rect 50428 23336 50484 23338
+rect 50508 23336 50564 23338
+rect 50588 23336 50644 23338
+rect 50348 23284 50374 23336
+rect 50374 23284 50404 23336
+rect 50428 23284 50438 23336
+rect 50438 23284 50484 23336
+rect 50508 23284 50554 23336
+rect 50554 23284 50564 23336
+rect 50588 23284 50618 23336
+rect 50618 23284 50644 23336
+rect 50348 23282 50404 23284
+rect 50428 23282 50484 23284
+rect 50508 23282 50564 23284
+rect 50588 23282 50644 23284
+rect 50348 22004 50404 22006
+rect 50428 22004 50484 22006
+rect 50508 22004 50564 22006
+rect 50588 22004 50644 22006
+rect 50348 21952 50374 22004
+rect 50374 21952 50404 22004
+rect 50428 21952 50438 22004
+rect 50438 21952 50484 22004
+rect 50508 21952 50554 22004
+rect 50554 21952 50564 22004
+rect 50588 21952 50618 22004
+rect 50618 21952 50644 22004
+rect 50348 21950 50404 21952
+rect 50428 21950 50484 21952
+rect 50508 21950 50564 21952
+rect 50588 21950 50644 21952
+rect 50348 20672 50404 20674
+rect 50428 20672 50484 20674
+rect 50508 20672 50564 20674
+rect 50588 20672 50644 20674
+rect 50348 20620 50374 20672
+rect 50374 20620 50404 20672
+rect 50428 20620 50438 20672
+rect 50438 20620 50484 20672
+rect 50508 20620 50554 20672
+rect 50554 20620 50564 20672
+rect 50588 20620 50618 20672
+rect 50618 20620 50644 20672
+rect 50348 20618 50404 20620
+rect 50428 20618 50484 20620
+rect 50508 20618 50564 20620
+rect 50588 20618 50644 20620
+rect 50348 19340 50404 19342
+rect 50428 19340 50484 19342
+rect 50508 19340 50564 19342
+rect 50588 19340 50644 19342
+rect 50348 19288 50374 19340
+rect 50374 19288 50404 19340
+rect 50428 19288 50438 19340
+rect 50438 19288 50484 19340
+rect 50508 19288 50554 19340
+rect 50554 19288 50564 19340
+rect 50588 19288 50618 19340
+rect 50618 19288 50644 19340
+rect 50348 19286 50404 19288
+rect 50428 19286 50484 19288
+rect 50508 19286 50564 19288
+rect 50588 19286 50644 19288
+rect 50348 18008 50404 18010
+rect 50428 18008 50484 18010
+rect 50508 18008 50564 18010
+rect 50588 18008 50644 18010
+rect 50348 17956 50374 18008
+rect 50374 17956 50404 18008
+rect 50428 17956 50438 18008
+rect 50438 17956 50484 18008
+rect 50508 17956 50554 18008
+rect 50554 17956 50564 18008
+rect 50588 17956 50618 18008
+rect 50618 17956 50644 18008
+rect 50348 17954 50404 17956
+rect 50428 17954 50484 17956
+rect 50508 17954 50564 17956
+rect 50588 17954 50644 17956
+rect 50348 16676 50404 16678
+rect 50428 16676 50484 16678
+rect 50508 16676 50564 16678
+rect 50588 16676 50644 16678
+rect 50348 16624 50374 16676
+rect 50374 16624 50404 16676
+rect 50428 16624 50438 16676
+rect 50438 16624 50484 16676
+rect 50508 16624 50554 16676
+rect 50554 16624 50564 16676
+rect 50588 16624 50618 16676
+rect 50618 16624 50644 16676
+rect 50348 16622 50404 16624
+rect 50428 16622 50484 16624
+rect 50508 16622 50564 16624
+rect 50588 16622 50644 16624
+rect 50348 15344 50404 15346
+rect 50428 15344 50484 15346
+rect 50508 15344 50564 15346
+rect 50588 15344 50644 15346
+rect 50348 15292 50374 15344
+rect 50374 15292 50404 15344
+rect 50428 15292 50438 15344
+rect 50438 15292 50484 15344
+rect 50508 15292 50554 15344
+rect 50554 15292 50564 15344
+rect 50588 15292 50618 15344
+rect 50618 15292 50644 15344
+rect 50348 15290 50404 15292
+rect 50428 15290 50484 15292
+rect 50508 15290 50564 15292
+rect 50588 15290 50644 15292
+rect 50348 14012 50404 14014
+rect 50428 14012 50484 14014
+rect 50508 14012 50564 14014
+rect 50588 14012 50644 14014
+rect 50348 13960 50374 14012
+rect 50374 13960 50404 14012
+rect 50428 13960 50438 14012
+rect 50438 13960 50484 14012
+rect 50508 13960 50554 14012
+rect 50554 13960 50564 14012
+rect 50588 13960 50618 14012
+rect 50618 13960 50644 14012
+rect 50348 13958 50404 13960
+rect 50428 13958 50484 13960
+rect 50508 13958 50564 13960
+rect 50588 13958 50644 13960
+rect 50348 12680 50404 12682
+rect 50428 12680 50484 12682
+rect 50508 12680 50564 12682
+rect 50588 12680 50644 12682
+rect 50348 12628 50374 12680
+rect 50374 12628 50404 12680
+rect 50428 12628 50438 12680
+rect 50438 12628 50484 12680
+rect 50508 12628 50554 12680
+rect 50554 12628 50564 12680
+rect 50588 12628 50618 12680
+rect 50618 12628 50644 12680
+rect 50348 12626 50404 12628
+rect 50428 12626 50484 12628
+rect 50508 12626 50564 12628
+rect 50588 12626 50644 12628
+rect 50348 11348 50404 11350
+rect 50428 11348 50484 11350
+rect 50508 11348 50564 11350
+rect 50588 11348 50644 11350
+rect 50348 11296 50374 11348
+rect 50374 11296 50404 11348
+rect 50428 11296 50438 11348
+rect 50438 11296 50484 11348
+rect 50508 11296 50554 11348
+rect 50554 11296 50564 11348
+rect 50588 11296 50618 11348
+rect 50618 11296 50644 11348
+rect 50348 11294 50404 11296
+rect 50428 11294 50484 11296
+rect 50508 11294 50564 11296
+rect 50588 11294 50644 11296
+rect 50348 10016 50404 10018
+rect 50428 10016 50484 10018
+rect 50508 10016 50564 10018
+rect 50588 10016 50644 10018
+rect 50348 9964 50374 10016
+rect 50374 9964 50404 10016
+rect 50428 9964 50438 10016
+rect 50438 9964 50484 10016
+rect 50508 9964 50554 10016
+rect 50554 9964 50564 10016
+rect 50588 9964 50618 10016
+rect 50618 9964 50644 10016
+rect 50348 9962 50404 9964
+rect 50428 9962 50484 9964
+rect 50508 9962 50564 9964
+rect 50588 9962 50644 9964
+rect 50348 8684 50404 8686
+rect 50428 8684 50484 8686
+rect 50508 8684 50564 8686
+rect 50588 8684 50644 8686
+rect 50348 8632 50374 8684
+rect 50374 8632 50404 8684
+rect 50428 8632 50438 8684
+rect 50438 8632 50484 8684
+rect 50508 8632 50554 8684
+rect 50554 8632 50564 8684
+rect 50588 8632 50618 8684
+rect 50618 8632 50644 8684
+rect 50348 8630 50404 8632
+rect 50428 8630 50484 8632
+rect 50508 8630 50564 8632
+rect 50588 8630 50644 8632
+rect 50348 7352 50404 7354
+rect 50428 7352 50484 7354
+rect 50508 7352 50564 7354
+rect 50588 7352 50644 7354
+rect 50348 7300 50374 7352
+rect 50374 7300 50404 7352
+rect 50428 7300 50438 7352
+rect 50438 7300 50484 7352
+rect 50508 7300 50554 7352
+rect 50554 7300 50564 7352
+rect 50588 7300 50618 7352
+rect 50618 7300 50644 7352
+rect 50348 7298 50404 7300
+rect 50428 7298 50484 7300
+rect 50508 7298 50564 7300
+rect 50588 7298 50644 7300
+rect 50348 6020 50404 6022
+rect 50428 6020 50484 6022
+rect 50508 6020 50564 6022
+rect 50588 6020 50644 6022
+rect 50348 5968 50374 6020
+rect 50374 5968 50404 6020
+rect 50428 5968 50438 6020
+rect 50438 5968 50484 6020
+rect 50508 5968 50554 6020
+rect 50554 5968 50564 6020
+rect 50588 5968 50618 6020
+rect 50618 5968 50644 6020
+rect 50348 5966 50404 5968
+rect 50428 5966 50484 5968
+rect 50508 5966 50564 5968
+rect 50588 5966 50644 5968
+rect 50348 4688 50404 4690
+rect 50428 4688 50484 4690
+rect 50508 4688 50564 4690
+rect 50588 4688 50644 4690
+rect 50348 4636 50374 4688
+rect 50374 4636 50404 4688
+rect 50428 4636 50438 4688
+rect 50438 4636 50484 4688
+rect 50508 4636 50554 4688
+rect 50554 4636 50564 4688
+rect 50588 4636 50618 4688
+rect 50618 4636 50644 4688
+rect 50348 4634 50404 4636
+rect 50428 4634 50484 4636
+rect 50508 4634 50564 4636
+rect 50588 4634 50644 4636
+rect 50348 3356 50404 3358
+rect 50428 3356 50484 3358
+rect 50508 3356 50564 3358
+rect 50588 3356 50644 3358
+rect 50348 3304 50374 3356
+rect 50374 3304 50404 3356
+rect 50428 3304 50438 3356
+rect 50438 3304 50484 3356
+rect 50508 3304 50554 3356
+rect 50554 3304 50564 3356
+rect 50588 3304 50618 3356
+rect 50618 3304 50644 3356
+rect 50348 3302 50404 3304
+rect 50428 3302 50484 3304
+rect 50508 3302 50564 3304
+rect 50588 3302 50644 3304
+rect 57812 29942 57868 29998
+<< metal3 >>
+rect 4256 57308 4576 57309
+rect 4256 57244 4264 57308
+rect 4328 57244 4344 57308
+rect 4408 57244 4424 57308
+rect 4488 57244 4504 57308
+rect 4568 57244 4576 57308
+rect 4256 57243 4576 57244
+rect 34976 57308 35296 57309
+rect 34976 57244 34984 57308
+rect 35048 57244 35064 57308
+rect 35128 57244 35144 57308
+rect 35208 57244 35224 57308
+rect 35288 57244 35296 57308
+rect 34976 57243 35296 57244
+rect 19616 56642 19936 56643
+rect 19616 56578 19624 56642
+rect 19688 56578 19704 56642
+rect 19768 56578 19784 56642
+rect 19848 56578 19864 56642
+rect 19928 56578 19936 56642
+rect 19616 56577 19936 56578
+rect 50336 56642 50656 56643
+rect 50336 56578 50344 56642
+rect 50408 56578 50424 56642
+rect 50488 56578 50504 56642
+rect 50568 56578 50584 56642
+rect 50648 56578 50656 56642
+rect 50336 56577 50656 56578
+rect 4256 55976 4576 55977
+rect 4256 55912 4264 55976
+rect 4328 55912 4344 55976
+rect 4408 55912 4424 55976
+rect 4488 55912 4504 55976
+rect 4568 55912 4576 55976
+rect 4256 55911 4576 55912
+rect 34976 55976 35296 55977
+rect 34976 55912 34984 55976
+rect 35048 55912 35064 55976
+rect 35128 55912 35144 55976
+rect 35208 55912 35224 55976
+rect 35288 55912 35296 55976
+rect 34976 55911 35296 55912
+rect 19616 55310 19936 55311
+rect 19616 55246 19624 55310
+rect 19688 55246 19704 55310
+rect 19768 55246 19784 55310
+rect 19848 55246 19864 55310
+rect 19928 55246 19936 55310
+rect 19616 55245 19936 55246
+rect 50336 55310 50656 55311
+rect 50336 55246 50344 55310
+rect 50408 55246 50424 55310
+rect 50488 55246 50504 55310
+rect 50568 55246 50584 55310
+rect 50648 55246 50656 55310
+rect 50336 55245 50656 55246
+rect 4256 54644 4576 54645
+rect 4256 54580 4264 54644
+rect 4328 54580 4344 54644
+rect 4408 54580 4424 54644
+rect 4488 54580 4504 54644
+rect 4568 54580 4576 54644
+rect 4256 54579 4576 54580
+rect 34976 54644 35296 54645
+rect 34976 54580 34984 54644
+rect 35048 54580 35064 54644
+rect 35128 54580 35144 54644
+rect 35208 54580 35224 54644
+rect 35288 54580 35296 54644
+rect 34976 54579 35296 54580
+rect 19616 53978 19936 53979
+rect 19616 53914 19624 53978
+rect 19688 53914 19704 53978
+rect 19768 53914 19784 53978
+rect 19848 53914 19864 53978
+rect 19928 53914 19936 53978
+rect 19616 53913 19936 53914
+rect 50336 53978 50656 53979
+rect 50336 53914 50344 53978
+rect 50408 53914 50424 53978
+rect 50488 53914 50504 53978
+rect 50568 53914 50584 53978
+rect 50648 53914 50656 53978
+rect 50336 53913 50656 53914
+rect 4256 53312 4576 53313
+rect 4256 53248 4264 53312
+rect 4328 53248 4344 53312
+rect 4408 53248 4424 53312
+rect 4488 53248 4504 53312
+rect 4568 53248 4576 53312
+rect 4256 53247 4576 53248
+rect 34976 53312 35296 53313
+rect 34976 53248 34984 53312
+rect 35048 53248 35064 53312
+rect 35128 53248 35144 53312
+rect 35208 53248 35224 53312
+rect 35288 53248 35296 53312
+rect 34976 53247 35296 53248
+rect 19616 52646 19936 52647
+rect 19616 52582 19624 52646
+rect 19688 52582 19704 52646
+rect 19768 52582 19784 52646
+rect 19848 52582 19864 52646
+rect 19928 52582 19936 52646
+rect 19616 52581 19936 52582
+rect 50336 52646 50656 52647
+rect 50336 52582 50344 52646
+rect 50408 52582 50424 52646
+rect 50488 52582 50504 52646
+rect 50568 52582 50584 52646
+rect 50648 52582 50656 52646
+rect 50336 52581 50656 52582
+rect 4256 51980 4576 51981
+rect 4256 51916 4264 51980
+rect 4328 51916 4344 51980
+rect 4408 51916 4424 51980
+rect 4488 51916 4504 51980
+rect 4568 51916 4576 51980
+rect 4256 51915 4576 51916
+rect 34976 51980 35296 51981
+rect 34976 51916 34984 51980
+rect 35048 51916 35064 51980
+rect 35128 51916 35144 51980
+rect 35208 51916 35224 51980
+rect 35288 51916 35296 51980
+rect 34976 51915 35296 51916
+rect 19616 51314 19936 51315
+rect 19616 51250 19624 51314
+rect 19688 51250 19704 51314
+rect 19768 51250 19784 51314
+rect 19848 51250 19864 51314
+rect 19928 51250 19936 51314
+rect 19616 51249 19936 51250
+rect 50336 51314 50656 51315
+rect 50336 51250 50344 51314
+rect 50408 51250 50424 51314
+rect 50488 51250 50504 51314
+rect 50568 51250 50584 51314
+rect 50648 51250 50656 51314
+rect 50336 51249 50656 51250
+rect 4256 50648 4576 50649
+rect 4256 50584 4264 50648
+rect 4328 50584 4344 50648
+rect 4408 50584 4424 50648
+rect 4488 50584 4504 50648
+rect 4568 50584 4576 50648
+rect 4256 50583 4576 50584
+rect 34976 50648 35296 50649
+rect 34976 50584 34984 50648
+rect 35048 50584 35064 50648
+rect 35128 50584 35144 50648
+rect 35208 50584 35224 50648
+rect 35288 50584 35296 50648
+rect 34976 50583 35296 50584
+rect 19616 49982 19936 49983
+rect 19616 49918 19624 49982
+rect 19688 49918 19704 49982
+rect 19768 49918 19784 49982
+rect 19848 49918 19864 49982
+rect 19928 49918 19936 49982
+rect 19616 49917 19936 49918
+rect 50336 49982 50656 49983
+rect 50336 49918 50344 49982
+rect 50408 49918 50424 49982
+rect 50488 49918 50504 49982
+rect 50568 49918 50584 49982
+rect 50648 49918 50656 49982
+rect 50336 49917 50656 49918
+rect 4256 49316 4576 49317
+rect 4256 49252 4264 49316
+rect 4328 49252 4344 49316
+rect 4408 49252 4424 49316
+rect 4488 49252 4504 49316
+rect 4568 49252 4576 49316
+rect 4256 49251 4576 49252
+rect 34976 49316 35296 49317
+rect 34976 49252 34984 49316
+rect 35048 49252 35064 49316
+rect 35128 49252 35144 49316
+rect 35208 49252 35224 49316
+rect 35288 49252 35296 49316
+rect 34976 49251 35296 49252
+rect 19616 48650 19936 48651
+rect 19616 48586 19624 48650
+rect 19688 48586 19704 48650
+rect 19768 48586 19784 48650
+rect 19848 48586 19864 48650
+rect 19928 48586 19936 48650
+rect 19616 48585 19936 48586
+rect 50336 48650 50656 48651
+rect 50336 48586 50344 48650
+rect 50408 48586 50424 48650
+rect 50488 48586 50504 48650
+rect 50568 48586 50584 48650
+rect 50648 48586 50656 48650
+rect 50336 48585 50656 48586
+rect 4256 47984 4576 47985
+rect 4256 47920 4264 47984
+rect 4328 47920 4344 47984
+rect 4408 47920 4424 47984
+rect 4488 47920 4504 47984
+rect 4568 47920 4576 47984
+rect 4256 47919 4576 47920
+rect 34976 47984 35296 47985
+rect 34976 47920 34984 47984
+rect 35048 47920 35064 47984
+rect 35128 47920 35144 47984
+rect 35208 47920 35224 47984
+rect 35288 47920 35296 47984
+rect 34976 47919 35296 47920
+rect 19616 47318 19936 47319
+rect 19616 47254 19624 47318
+rect 19688 47254 19704 47318
+rect 19768 47254 19784 47318
+rect 19848 47254 19864 47318
+rect 19928 47254 19936 47318
+rect 19616 47253 19936 47254
+rect 50336 47318 50656 47319
+rect 50336 47254 50344 47318
+rect 50408 47254 50424 47318
+rect 50488 47254 50504 47318
+rect 50568 47254 50584 47318
+rect 50648 47254 50656 47318
+rect 50336 47253 50656 47254
+rect 4256 46652 4576 46653
+rect 4256 46588 4264 46652
+rect 4328 46588 4344 46652
+rect 4408 46588 4424 46652
+rect 4488 46588 4504 46652
+rect 4568 46588 4576 46652
+rect 4256 46587 4576 46588
+rect 34976 46652 35296 46653
+rect 34976 46588 34984 46652
+rect 35048 46588 35064 46652
+rect 35128 46588 35144 46652
+rect 35208 46588 35224 46652
+rect 35288 46588 35296 46652
+rect 34976 46587 35296 46588
+rect 19616 45986 19936 45987
+rect 19616 45922 19624 45986
+rect 19688 45922 19704 45986
+rect 19768 45922 19784 45986
+rect 19848 45922 19864 45986
+rect 19928 45922 19936 45986
+rect 19616 45921 19936 45922
+rect 50336 45986 50656 45987
+rect 50336 45922 50344 45986
+rect 50408 45922 50424 45986
+rect 50488 45922 50504 45986
+rect 50568 45922 50584 45986
+rect 50648 45922 50656 45986
+rect 50336 45921 50656 45922
+rect 4256 45320 4576 45321
+rect 4256 45256 4264 45320
+rect 4328 45256 4344 45320
+rect 4408 45256 4424 45320
+rect 4488 45256 4504 45320
+rect 4568 45256 4576 45320
+rect 4256 45255 4576 45256
+rect 34976 45320 35296 45321
+rect 34976 45256 34984 45320
+rect 35048 45256 35064 45320
+rect 35128 45256 35144 45320
+rect 35208 45256 35224 45320
+rect 35288 45256 35296 45320
+rect 34976 45255 35296 45256
+rect 0 44948 800 44978
+rect 1647 44948 1713 44951
+rect 0 44946 1713 44948
+rect 0 44890 1652 44946
+rect 1708 44890 1713 44946
+rect 0 44888 1713 44890
+rect 0 44858 800 44888
+rect 1647 44885 1713 44888
+rect 19616 44654 19936 44655
+rect 19616 44590 19624 44654
+rect 19688 44590 19704 44654
+rect 19768 44590 19784 44654
+rect 19848 44590 19864 44654
+rect 19928 44590 19936 44654
+rect 19616 44589 19936 44590
+rect 50336 44654 50656 44655
+rect 50336 44590 50344 44654
+rect 50408 44590 50424 44654
+rect 50488 44590 50504 44654
+rect 50568 44590 50584 44654
+rect 50648 44590 50656 44654
+rect 50336 44589 50656 44590
+rect 4256 43988 4576 43989
+rect 4256 43924 4264 43988
+rect 4328 43924 4344 43988
+rect 4408 43924 4424 43988
+rect 4488 43924 4504 43988
+rect 4568 43924 4576 43988
+rect 4256 43923 4576 43924
+rect 34976 43988 35296 43989
+rect 34976 43924 34984 43988
+rect 35048 43924 35064 43988
+rect 35128 43924 35144 43988
+rect 35208 43924 35224 43988
+rect 35288 43924 35296 43988
+rect 34976 43923 35296 43924
+rect 19616 43322 19936 43323
+rect 19616 43258 19624 43322
+rect 19688 43258 19704 43322
+rect 19768 43258 19784 43322
+rect 19848 43258 19864 43322
+rect 19928 43258 19936 43322
+rect 19616 43257 19936 43258
+rect 50336 43322 50656 43323
+rect 50336 43258 50344 43322
+rect 50408 43258 50424 43322
+rect 50488 43258 50504 43322
+rect 50568 43258 50584 43322
+rect 50648 43258 50656 43322
+rect 50336 43257 50656 43258
+rect 4256 42656 4576 42657
+rect 4256 42592 4264 42656
+rect 4328 42592 4344 42656
+rect 4408 42592 4424 42656
+rect 4488 42592 4504 42656
+rect 4568 42592 4576 42656
+rect 4256 42591 4576 42592
+rect 34976 42656 35296 42657
+rect 34976 42592 34984 42656
+rect 35048 42592 35064 42656
+rect 35128 42592 35144 42656
+rect 35208 42592 35224 42656
+rect 35288 42592 35296 42656
+rect 34976 42591 35296 42592
+rect 19616 41990 19936 41991
+rect 19616 41926 19624 41990
+rect 19688 41926 19704 41990
+rect 19768 41926 19784 41990
+rect 19848 41926 19864 41990
+rect 19928 41926 19936 41990
+rect 19616 41925 19936 41926
+rect 50336 41990 50656 41991
+rect 50336 41926 50344 41990
+rect 50408 41926 50424 41990
+rect 50488 41926 50504 41990
+rect 50568 41926 50584 41990
+rect 50648 41926 50656 41990
+rect 50336 41925 50656 41926
+rect 4256 41324 4576 41325
+rect 4256 41260 4264 41324
+rect 4328 41260 4344 41324
+rect 4408 41260 4424 41324
+rect 4488 41260 4504 41324
+rect 4568 41260 4576 41324
+rect 4256 41259 4576 41260
+rect 34976 41324 35296 41325
+rect 34976 41260 34984 41324
+rect 35048 41260 35064 41324
+rect 35128 41260 35144 41324
+rect 35208 41260 35224 41324
+rect 35288 41260 35296 41324
+rect 34976 41259 35296 41260
+rect 19616 40658 19936 40659
+rect 19616 40594 19624 40658
+rect 19688 40594 19704 40658
+rect 19768 40594 19784 40658
+rect 19848 40594 19864 40658
+rect 19928 40594 19936 40658
+rect 19616 40593 19936 40594
+rect 50336 40658 50656 40659
+rect 50336 40594 50344 40658
+rect 50408 40594 50424 40658
+rect 50488 40594 50504 40658
+rect 50568 40594 50584 40658
+rect 50648 40594 50656 40658
+rect 50336 40593 50656 40594
+rect 4256 39992 4576 39993
+rect 4256 39928 4264 39992
+rect 4328 39928 4344 39992
+rect 4408 39928 4424 39992
+rect 4488 39928 4504 39992
+rect 4568 39928 4576 39992
+rect 4256 39927 4576 39928
+rect 34976 39992 35296 39993
+rect 34976 39928 34984 39992
+rect 35048 39928 35064 39992
+rect 35128 39928 35144 39992
+rect 35208 39928 35224 39992
+rect 35288 39928 35296 39992
+rect 34976 39927 35296 39928
+rect 19616 39326 19936 39327
+rect 19616 39262 19624 39326
+rect 19688 39262 19704 39326
+rect 19768 39262 19784 39326
+rect 19848 39262 19864 39326
+rect 19928 39262 19936 39326
+rect 19616 39261 19936 39262
+rect 50336 39326 50656 39327
+rect 50336 39262 50344 39326
+rect 50408 39262 50424 39326
+rect 50488 39262 50504 39326
+rect 50568 39262 50584 39326
+rect 50648 39262 50656 39326
+rect 50336 39261 50656 39262
+rect 4256 38660 4576 38661
+rect 4256 38596 4264 38660
+rect 4328 38596 4344 38660
+rect 4408 38596 4424 38660
+rect 4488 38596 4504 38660
+rect 4568 38596 4576 38660
+rect 4256 38595 4576 38596
+rect 34976 38660 35296 38661
+rect 34976 38596 34984 38660
+rect 35048 38596 35064 38660
+rect 35128 38596 35144 38660
+rect 35208 38596 35224 38660
+rect 35288 38596 35296 38660
+rect 34976 38595 35296 38596
+rect 19616 37994 19936 37995
+rect 19616 37930 19624 37994
+rect 19688 37930 19704 37994
+rect 19768 37930 19784 37994
+rect 19848 37930 19864 37994
+rect 19928 37930 19936 37994
+rect 19616 37929 19936 37930
+rect 50336 37994 50656 37995
+rect 50336 37930 50344 37994
+rect 50408 37930 50424 37994
+rect 50488 37930 50504 37994
+rect 50568 37930 50584 37994
+rect 50648 37930 50656 37994
+rect 50336 37929 50656 37930
+rect 4256 37328 4576 37329
+rect 4256 37264 4264 37328
+rect 4328 37264 4344 37328
+rect 4408 37264 4424 37328
+rect 4488 37264 4504 37328
+rect 4568 37264 4576 37328
+rect 4256 37263 4576 37264
+rect 34976 37328 35296 37329
+rect 34976 37264 34984 37328
+rect 35048 37264 35064 37328
+rect 35128 37264 35144 37328
+rect 35208 37264 35224 37328
+rect 35288 37264 35296 37328
+rect 34976 37263 35296 37264
+rect 19616 36662 19936 36663
+rect 19616 36598 19624 36662
+rect 19688 36598 19704 36662
+rect 19768 36598 19784 36662
+rect 19848 36598 19864 36662
+rect 19928 36598 19936 36662
+rect 19616 36597 19936 36598
+rect 50336 36662 50656 36663
+rect 50336 36598 50344 36662
+rect 50408 36598 50424 36662
+rect 50488 36598 50504 36662
+rect 50568 36598 50584 36662
+rect 50648 36598 50656 36662
+rect 50336 36597 50656 36598
+rect 4256 35996 4576 35997
+rect 4256 35932 4264 35996
+rect 4328 35932 4344 35996
+rect 4408 35932 4424 35996
+rect 4488 35932 4504 35996
+rect 4568 35932 4576 35996
+rect 4256 35931 4576 35932
+rect 34976 35996 35296 35997
+rect 34976 35932 34984 35996
+rect 35048 35932 35064 35996
+rect 35128 35932 35144 35996
+rect 35208 35932 35224 35996
+rect 35288 35932 35296 35996
+rect 34976 35931 35296 35932
+rect 19616 35330 19936 35331
+rect 19616 35266 19624 35330
+rect 19688 35266 19704 35330
+rect 19768 35266 19784 35330
+rect 19848 35266 19864 35330
+rect 19928 35266 19936 35330
+rect 19616 35265 19936 35266
+rect 50336 35330 50656 35331
+rect 50336 35266 50344 35330
+rect 50408 35266 50424 35330
+rect 50488 35266 50504 35330
+rect 50568 35266 50584 35330
+rect 50648 35266 50656 35330
+rect 50336 35265 50656 35266
+rect 4256 34664 4576 34665
+rect 4256 34600 4264 34664
+rect 4328 34600 4344 34664
+rect 4408 34600 4424 34664
+rect 4488 34600 4504 34664
+rect 4568 34600 4576 34664
+rect 4256 34599 4576 34600
+rect 34976 34664 35296 34665
+rect 34976 34600 34984 34664
+rect 35048 34600 35064 34664
+rect 35128 34600 35144 34664
+rect 35208 34600 35224 34664
+rect 35288 34600 35296 34664
+rect 34976 34599 35296 34600
+rect 19616 33998 19936 33999
+rect 19616 33934 19624 33998
+rect 19688 33934 19704 33998
+rect 19768 33934 19784 33998
+rect 19848 33934 19864 33998
+rect 19928 33934 19936 33998
+rect 19616 33933 19936 33934
+rect 50336 33998 50656 33999
+rect 50336 33934 50344 33998
+rect 50408 33934 50424 33998
+rect 50488 33934 50504 33998
+rect 50568 33934 50584 33998
+rect 50648 33934 50656 33998
+rect 50336 33933 50656 33934
+rect 4256 33332 4576 33333
+rect 4256 33268 4264 33332
+rect 4328 33268 4344 33332
+rect 4408 33268 4424 33332
+rect 4488 33268 4504 33332
+rect 4568 33268 4576 33332
+rect 4256 33267 4576 33268
+rect 34976 33332 35296 33333
+rect 34976 33268 34984 33332
+rect 35048 33268 35064 33332
+rect 35128 33268 35144 33332
+rect 35208 33268 35224 33332
+rect 35288 33268 35296 33332
+rect 34976 33267 35296 33268
+rect 19616 32666 19936 32667
+rect 19616 32602 19624 32666
+rect 19688 32602 19704 32666
+rect 19768 32602 19784 32666
+rect 19848 32602 19864 32666
+rect 19928 32602 19936 32666
+rect 19616 32601 19936 32602
+rect 50336 32666 50656 32667
+rect 50336 32602 50344 32666
+rect 50408 32602 50424 32666
+rect 50488 32602 50504 32666
+rect 50568 32602 50584 32666
+rect 50648 32602 50656 32666
+rect 50336 32601 50656 32602
+rect 4256 32000 4576 32001
+rect 4256 31936 4264 32000
+rect 4328 31936 4344 32000
+rect 4408 31936 4424 32000
+rect 4488 31936 4504 32000
+rect 4568 31936 4576 32000
+rect 4256 31935 4576 31936
+rect 34976 32000 35296 32001
+rect 34976 31936 34984 32000
+rect 35048 31936 35064 32000
+rect 35128 31936 35144 32000
+rect 35208 31936 35224 32000
+rect 35288 31936 35296 32000
+rect 34976 31935 35296 31936
+rect 19616 31334 19936 31335
+rect 19616 31270 19624 31334
+rect 19688 31270 19704 31334
+rect 19768 31270 19784 31334
+rect 19848 31270 19864 31334
+rect 19928 31270 19936 31334
+rect 19616 31269 19936 31270
+rect 50336 31334 50656 31335
+rect 50336 31270 50344 31334
+rect 50408 31270 50424 31334
+rect 50488 31270 50504 31334
+rect 50568 31270 50584 31334
+rect 50648 31270 50656 31334
+rect 50336 31269 50656 31270
+rect 4256 30668 4576 30669
+rect 4256 30604 4264 30668
+rect 4328 30604 4344 30668
+rect 4408 30604 4424 30668
+rect 4488 30604 4504 30668
+rect 4568 30604 4576 30668
+rect 4256 30603 4576 30604
+rect 34976 30668 35296 30669
+rect 34976 30604 34984 30668
+rect 35048 30604 35064 30668
+rect 35128 30604 35144 30668
+rect 35208 30604 35224 30668
+rect 35288 30604 35296 30668
+rect 34976 30603 35296 30604
+rect 19616 30002 19936 30003
+rect 19616 29938 19624 30002
+rect 19688 29938 19704 30002
+rect 19768 29938 19784 30002
+rect 19848 29938 19864 30002
+rect 19928 29938 19936 30002
+rect 19616 29937 19936 29938
+rect 50336 30002 50656 30003
+rect 50336 29938 50344 30002
+rect 50408 29938 50424 30002
+rect 50488 29938 50504 30002
+rect 50568 29938 50584 30002
+rect 50648 29938 50656 30002
+rect 50336 29937 50656 29938
+rect 57807 30000 57873 30003
+rect 59200 30000 60000 30030
+rect 57807 29998 60000 30000
+rect 57807 29942 57812 29998
+rect 57868 29942 60000 29998
+rect 57807 29940 60000 29942
+rect 57807 29937 57873 29940
+rect 59200 29910 60000 29940
+rect 4256 29336 4576 29337
+rect 4256 29272 4264 29336
+rect 4328 29272 4344 29336
+rect 4408 29272 4424 29336
+rect 4488 29272 4504 29336
+rect 4568 29272 4576 29336
+rect 4256 29271 4576 29272
+rect 34976 29336 35296 29337
+rect 34976 29272 34984 29336
+rect 35048 29272 35064 29336
+rect 35128 29272 35144 29336
+rect 35208 29272 35224 29336
+rect 35288 29272 35296 29336
+rect 34976 29271 35296 29272
+rect 19616 28670 19936 28671
+rect 19616 28606 19624 28670
+rect 19688 28606 19704 28670
+rect 19768 28606 19784 28670
+rect 19848 28606 19864 28670
+rect 19928 28606 19936 28670
+rect 19616 28605 19936 28606
+rect 50336 28670 50656 28671
+rect 50336 28606 50344 28670
+rect 50408 28606 50424 28670
+rect 50488 28606 50504 28670
+rect 50568 28606 50584 28670
+rect 50648 28606 50656 28670
+rect 50336 28605 50656 28606
+rect 4256 28004 4576 28005
+rect 4256 27940 4264 28004
+rect 4328 27940 4344 28004
+rect 4408 27940 4424 28004
+rect 4488 27940 4504 28004
+rect 4568 27940 4576 28004
+rect 4256 27939 4576 27940
+rect 34976 28004 35296 28005
+rect 34976 27940 34984 28004
+rect 35048 27940 35064 28004
+rect 35128 27940 35144 28004
+rect 35208 27940 35224 28004
+rect 35288 27940 35296 28004
+rect 34976 27939 35296 27940
+rect 19616 27338 19936 27339
+rect 19616 27274 19624 27338
+rect 19688 27274 19704 27338
+rect 19768 27274 19784 27338
+rect 19848 27274 19864 27338
+rect 19928 27274 19936 27338
+rect 19616 27273 19936 27274
+rect 50336 27338 50656 27339
+rect 50336 27274 50344 27338
+rect 50408 27274 50424 27338
+rect 50488 27274 50504 27338
+rect 50568 27274 50584 27338
+rect 50648 27274 50656 27338
+rect 50336 27273 50656 27274
+rect 4256 26672 4576 26673
+rect 4256 26608 4264 26672
+rect 4328 26608 4344 26672
+rect 4408 26608 4424 26672
+rect 4488 26608 4504 26672
+rect 4568 26608 4576 26672
+rect 4256 26607 4576 26608
+rect 34976 26672 35296 26673
+rect 34976 26608 34984 26672
+rect 35048 26608 35064 26672
+rect 35128 26608 35144 26672
+rect 35208 26608 35224 26672
+rect 35288 26608 35296 26672
+rect 34976 26607 35296 26608
+rect 19616 26006 19936 26007
+rect 19616 25942 19624 26006
+rect 19688 25942 19704 26006
+rect 19768 25942 19784 26006
+rect 19848 25942 19864 26006
+rect 19928 25942 19936 26006
+rect 19616 25941 19936 25942
+rect 50336 26006 50656 26007
+rect 50336 25942 50344 26006
+rect 50408 25942 50424 26006
+rect 50488 25942 50504 26006
+rect 50568 25942 50584 26006
+rect 50648 25942 50656 26006
+rect 50336 25941 50656 25942
+rect 4256 25340 4576 25341
+rect 4256 25276 4264 25340
+rect 4328 25276 4344 25340
+rect 4408 25276 4424 25340
+rect 4488 25276 4504 25340
+rect 4568 25276 4576 25340
+rect 4256 25275 4576 25276
+rect 34976 25340 35296 25341
+rect 34976 25276 34984 25340
+rect 35048 25276 35064 25340
+rect 35128 25276 35144 25340
+rect 35208 25276 35224 25340
+rect 35288 25276 35296 25340
+rect 34976 25275 35296 25276
+rect 19616 24674 19936 24675
+rect 19616 24610 19624 24674
+rect 19688 24610 19704 24674
+rect 19768 24610 19784 24674
+rect 19848 24610 19864 24674
+rect 19928 24610 19936 24674
+rect 19616 24609 19936 24610
+rect 50336 24674 50656 24675
+rect 50336 24610 50344 24674
+rect 50408 24610 50424 24674
+rect 50488 24610 50504 24674
+rect 50568 24610 50584 24674
+rect 50648 24610 50656 24674
+rect 50336 24609 50656 24610
+rect 4256 24008 4576 24009
+rect 4256 23944 4264 24008
+rect 4328 23944 4344 24008
+rect 4408 23944 4424 24008
+rect 4488 23944 4504 24008
+rect 4568 23944 4576 24008
+rect 4256 23943 4576 23944
+rect 34976 24008 35296 24009
+rect 34976 23944 34984 24008
+rect 35048 23944 35064 24008
+rect 35128 23944 35144 24008
+rect 35208 23944 35224 24008
+rect 35288 23944 35296 24008
+rect 34976 23943 35296 23944
+rect 19616 23342 19936 23343
+rect 19616 23278 19624 23342
+rect 19688 23278 19704 23342
+rect 19768 23278 19784 23342
+rect 19848 23278 19864 23342
+rect 19928 23278 19936 23342
+rect 19616 23277 19936 23278
+rect 50336 23342 50656 23343
+rect 50336 23278 50344 23342
+rect 50408 23278 50424 23342
+rect 50488 23278 50504 23342
+rect 50568 23278 50584 23342
+rect 50648 23278 50656 23342
+rect 50336 23277 50656 23278
+rect 4256 22676 4576 22677
+rect 4256 22612 4264 22676
+rect 4328 22612 4344 22676
+rect 4408 22612 4424 22676
+rect 4488 22612 4504 22676
+rect 4568 22612 4576 22676
+rect 4256 22611 4576 22612
+rect 34976 22676 35296 22677
+rect 34976 22612 34984 22676
+rect 35048 22612 35064 22676
+rect 35128 22612 35144 22676
+rect 35208 22612 35224 22676
+rect 35288 22612 35296 22676
+rect 34976 22611 35296 22612
+rect 19616 22010 19936 22011
+rect 19616 21946 19624 22010
+rect 19688 21946 19704 22010
+rect 19768 21946 19784 22010
+rect 19848 21946 19864 22010
+rect 19928 21946 19936 22010
+rect 19616 21945 19936 21946
+rect 50336 22010 50656 22011
+rect 50336 21946 50344 22010
+rect 50408 21946 50424 22010
+rect 50488 21946 50504 22010
+rect 50568 21946 50584 22010
+rect 50648 21946 50656 22010
+rect 50336 21945 50656 21946
+rect 4256 21344 4576 21345
+rect 4256 21280 4264 21344
+rect 4328 21280 4344 21344
+rect 4408 21280 4424 21344
+rect 4488 21280 4504 21344
+rect 4568 21280 4576 21344
+rect 4256 21279 4576 21280
+rect 34976 21344 35296 21345
+rect 34976 21280 34984 21344
+rect 35048 21280 35064 21344
+rect 35128 21280 35144 21344
+rect 35208 21280 35224 21344
+rect 35288 21280 35296 21344
+rect 34976 21279 35296 21280
+rect 19616 20678 19936 20679
+rect 19616 20614 19624 20678
+rect 19688 20614 19704 20678
+rect 19768 20614 19784 20678
+rect 19848 20614 19864 20678
+rect 19928 20614 19936 20678
+rect 19616 20613 19936 20614
+rect 50336 20678 50656 20679
+rect 50336 20614 50344 20678
+rect 50408 20614 50424 20678
+rect 50488 20614 50504 20678
+rect 50568 20614 50584 20678
+rect 50648 20614 50656 20678
+rect 50336 20613 50656 20614
+rect 4256 20012 4576 20013
+rect 4256 19948 4264 20012
+rect 4328 19948 4344 20012
+rect 4408 19948 4424 20012
+rect 4488 19948 4504 20012
+rect 4568 19948 4576 20012
+rect 4256 19947 4576 19948
+rect 34976 20012 35296 20013
+rect 34976 19948 34984 20012
+rect 35048 19948 35064 20012
+rect 35128 19948 35144 20012
+rect 35208 19948 35224 20012
+rect 35288 19948 35296 20012
+rect 34976 19947 35296 19948
+rect 8271 19492 8337 19495
+rect 9039 19492 9105 19495
+rect 8271 19490 9105 19492
+rect 8271 19434 8276 19490
+rect 8332 19434 9044 19490
+rect 9100 19434 9105 19490
+rect 8271 19432 9105 19434
+rect 8271 19429 8337 19432
+rect 9039 19429 9105 19432
+rect 19616 19346 19936 19347
+rect 19616 19282 19624 19346
+rect 19688 19282 19704 19346
+rect 19768 19282 19784 19346
+rect 19848 19282 19864 19346
+rect 19928 19282 19936 19346
+rect 19616 19281 19936 19282
+rect 50336 19346 50656 19347
+rect 50336 19282 50344 19346
+rect 50408 19282 50424 19346
+rect 50488 19282 50504 19346
+rect 50568 19282 50584 19346
+rect 50648 19282 50656 19346
+rect 50336 19281 50656 19282
+rect 4256 18680 4576 18681
+rect 4256 18616 4264 18680
+rect 4328 18616 4344 18680
+rect 4408 18616 4424 18680
+rect 4488 18616 4504 18680
+rect 4568 18616 4576 18680
+rect 4256 18615 4576 18616
+rect 34976 18680 35296 18681
+rect 34976 18616 34984 18680
+rect 35048 18616 35064 18680
+rect 35128 18616 35144 18680
+rect 35208 18616 35224 18680
+rect 35288 18616 35296 18680
+rect 34976 18615 35296 18616
+rect 19616 18014 19936 18015
+rect 19616 17950 19624 18014
+rect 19688 17950 19704 18014
+rect 19768 17950 19784 18014
+rect 19848 17950 19864 18014
+rect 19928 17950 19936 18014
+rect 19616 17949 19936 17950
+rect 50336 18014 50656 18015
+rect 50336 17950 50344 18014
+rect 50408 17950 50424 18014
+rect 50488 17950 50504 18014
+rect 50568 17950 50584 18014
+rect 50648 17950 50656 18014
+rect 50336 17949 50656 17950
+rect 4256 17348 4576 17349
+rect 4256 17284 4264 17348
+rect 4328 17284 4344 17348
+rect 4408 17284 4424 17348
+rect 4488 17284 4504 17348
+rect 4568 17284 4576 17348
+rect 4256 17283 4576 17284
+rect 34976 17348 35296 17349
+rect 34976 17284 34984 17348
+rect 35048 17284 35064 17348
+rect 35128 17284 35144 17348
+rect 35208 17284 35224 17348
+rect 35288 17284 35296 17348
+rect 34976 17283 35296 17284
+rect 19616 16682 19936 16683
+rect 19616 16618 19624 16682
+rect 19688 16618 19704 16682
+rect 19768 16618 19784 16682
+rect 19848 16618 19864 16682
+rect 19928 16618 19936 16682
+rect 19616 16617 19936 16618
+rect 50336 16682 50656 16683
+rect 50336 16618 50344 16682
+rect 50408 16618 50424 16682
+rect 50488 16618 50504 16682
+rect 50568 16618 50584 16682
+rect 50648 16618 50656 16682
+rect 50336 16617 50656 16618
+rect 4256 16016 4576 16017
+rect 4256 15952 4264 16016
+rect 4328 15952 4344 16016
+rect 4408 15952 4424 16016
+rect 4488 15952 4504 16016
+rect 4568 15952 4576 16016
+rect 4256 15951 4576 15952
+rect 34976 16016 35296 16017
+rect 34976 15952 34984 16016
+rect 35048 15952 35064 16016
+rect 35128 15952 35144 16016
+rect 35208 15952 35224 16016
+rect 35288 15952 35296 16016
+rect 34976 15951 35296 15952
+rect 19616 15350 19936 15351
+rect 19616 15286 19624 15350
+rect 19688 15286 19704 15350
+rect 19768 15286 19784 15350
+rect 19848 15286 19864 15350
+rect 19928 15286 19936 15350
+rect 19616 15285 19936 15286
+rect 50336 15350 50656 15351
+rect 50336 15286 50344 15350
+rect 50408 15286 50424 15350
+rect 50488 15286 50504 15350
+rect 50568 15286 50584 15350
+rect 50648 15286 50656 15350
+rect 50336 15285 50656 15286
+rect 0 15052 800 15082
+rect 1647 15052 1713 15055
+rect 0 15050 1713 15052
+rect 0 14994 1652 15050
+rect 1708 14994 1713 15050
+rect 0 14992 1713 14994
+rect 0 14962 800 14992
+rect 1647 14989 1713 14992
+rect 4256 14684 4576 14685
+rect 4256 14620 4264 14684
+rect 4328 14620 4344 14684
+rect 4408 14620 4424 14684
+rect 4488 14620 4504 14684
+rect 4568 14620 4576 14684
+rect 4256 14619 4576 14620
+rect 34976 14684 35296 14685
+rect 34976 14620 34984 14684
+rect 35048 14620 35064 14684
+rect 35128 14620 35144 14684
+rect 35208 14620 35224 14684
+rect 35288 14620 35296 14684
+rect 34976 14619 35296 14620
+rect 19616 14018 19936 14019
+rect 19616 13954 19624 14018
+rect 19688 13954 19704 14018
+rect 19768 13954 19784 14018
+rect 19848 13954 19864 14018
+rect 19928 13954 19936 14018
+rect 19616 13953 19936 13954
+rect 50336 14018 50656 14019
+rect 50336 13954 50344 14018
+rect 50408 13954 50424 14018
+rect 50488 13954 50504 14018
+rect 50568 13954 50584 14018
+rect 50648 13954 50656 14018
+rect 50336 13953 50656 13954
+rect 4256 13352 4576 13353
+rect 4256 13288 4264 13352
+rect 4328 13288 4344 13352
+rect 4408 13288 4424 13352
+rect 4488 13288 4504 13352
+rect 4568 13288 4576 13352
+rect 4256 13287 4576 13288
+rect 34976 13352 35296 13353
+rect 34976 13288 34984 13352
+rect 35048 13288 35064 13352
+rect 35128 13288 35144 13352
+rect 35208 13288 35224 13352
+rect 35288 13288 35296 13352
+rect 34976 13287 35296 13288
+rect 19616 12686 19936 12687
+rect 19616 12622 19624 12686
+rect 19688 12622 19704 12686
+rect 19768 12622 19784 12686
+rect 19848 12622 19864 12686
+rect 19928 12622 19936 12686
+rect 19616 12621 19936 12622
+rect 50336 12686 50656 12687
+rect 50336 12622 50344 12686
+rect 50408 12622 50424 12686
+rect 50488 12622 50504 12686
+rect 50568 12622 50584 12686
+rect 50648 12622 50656 12686
+rect 50336 12621 50656 12622
+rect 4256 12020 4576 12021
+rect 4256 11956 4264 12020
+rect 4328 11956 4344 12020
+rect 4408 11956 4424 12020
+rect 4488 11956 4504 12020
+rect 4568 11956 4576 12020
+rect 4256 11955 4576 11956
+rect 34976 12020 35296 12021
+rect 34976 11956 34984 12020
+rect 35048 11956 35064 12020
+rect 35128 11956 35144 12020
+rect 35208 11956 35224 12020
+rect 35288 11956 35296 12020
+rect 34976 11955 35296 11956
+rect 19616 11354 19936 11355
+rect 19616 11290 19624 11354
+rect 19688 11290 19704 11354
+rect 19768 11290 19784 11354
+rect 19848 11290 19864 11354
+rect 19928 11290 19936 11354
+rect 19616 11289 19936 11290
+rect 50336 11354 50656 11355
+rect 50336 11290 50344 11354
+rect 50408 11290 50424 11354
+rect 50488 11290 50504 11354
+rect 50568 11290 50584 11354
+rect 50648 11290 50656 11354
+rect 50336 11289 50656 11290
+rect 4256 10688 4576 10689
+rect 4256 10624 4264 10688
+rect 4328 10624 4344 10688
+rect 4408 10624 4424 10688
+rect 4488 10624 4504 10688
+rect 4568 10624 4576 10688
+rect 4256 10623 4576 10624
+rect 34976 10688 35296 10689
+rect 34976 10624 34984 10688
+rect 35048 10624 35064 10688
+rect 35128 10624 35144 10688
+rect 35208 10624 35224 10688
+rect 35288 10624 35296 10688
+rect 34976 10623 35296 10624
+rect 19616 10022 19936 10023
+rect 19616 9958 19624 10022
+rect 19688 9958 19704 10022
+rect 19768 9958 19784 10022
+rect 19848 9958 19864 10022
+rect 19928 9958 19936 10022
+rect 19616 9957 19936 9958
+rect 50336 10022 50656 10023
+rect 50336 9958 50344 10022
+rect 50408 9958 50424 10022
+rect 50488 9958 50504 10022
+rect 50568 9958 50584 10022
+rect 50648 9958 50656 10022
+rect 50336 9957 50656 9958
+rect 4256 9356 4576 9357
+rect 4256 9292 4264 9356
+rect 4328 9292 4344 9356
+rect 4408 9292 4424 9356
+rect 4488 9292 4504 9356
+rect 4568 9292 4576 9356
+rect 4256 9291 4576 9292
+rect 34976 9356 35296 9357
+rect 34976 9292 34984 9356
+rect 35048 9292 35064 9356
+rect 35128 9292 35144 9356
+rect 35208 9292 35224 9356
+rect 35288 9292 35296 9356
+rect 34976 9291 35296 9292
+rect 7695 8836 7761 8839
+rect 8271 8836 8337 8839
+rect 9231 8836 9297 8839
+rect 7695 8834 9297 8836
+rect 7695 8778 7700 8834
+rect 7756 8778 8276 8834
+rect 8332 8778 9236 8834
+rect 9292 8778 9297 8834
+rect 7695 8776 9297 8778
+rect 7695 8773 7761 8776
+rect 8271 8773 8337 8776
+rect 9231 8773 9297 8776
+rect 19616 8690 19936 8691
+rect 19616 8626 19624 8690
+rect 19688 8626 19704 8690
+rect 19768 8626 19784 8690
+rect 19848 8626 19864 8690
+rect 19928 8626 19936 8690
+rect 19616 8625 19936 8626
+rect 50336 8690 50656 8691
+rect 50336 8626 50344 8690
+rect 50408 8626 50424 8690
+rect 50488 8626 50504 8690
+rect 50568 8626 50584 8690
+rect 50648 8626 50656 8690
+rect 50336 8625 50656 8626
+rect 4256 8024 4576 8025
+rect 4256 7960 4264 8024
+rect 4328 7960 4344 8024
+rect 4408 7960 4424 8024
+rect 4488 7960 4504 8024
+rect 4568 7960 4576 8024
+rect 4256 7959 4576 7960
+rect 34976 8024 35296 8025
+rect 34976 7960 34984 8024
+rect 35048 7960 35064 8024
+rect 35128 7960 35144 8024
+rect 35208 7960 35224 8024
+rect 35288 7960 35296 8024
+rect 34976 7959 35296 7960
+rect 19616 7358 19936 7359
+rect 19616 7294 19624 7358
+rect 19688 7294 19704 7358
+rect 19768 7294 19784 7358
+rect 19848 7294 19864 7358
+rect 19928 7294 19936 7358
+rect 19616 7293 19936 7294
+rect 50336 7358 50656 7359
+rect 50336 7294 50344 7358
+rect 50408 7294 50424 7358
+rect 50488 7294 50504 7358
+rect 50568 7294 50584 7358
+rect 50648 7294 50656 7358
+rect 50336 7293 50656 7294
+rect 4256 6692 4576 6693
+rect 4256 6628 4264 6692
+rect 4328 6628 4344 6692
+rect 4408 6628 4424 6692
+rect 4488 6628 4504 6692
+rect 4568 6628 4576 6692
+rect 4256 6627 4576 6628
+rect 34976 6692 35296 6693
+rect 34976 6628 34984 6692
+rect 35048 6628 35064 6692
+rect 35128 6628 35144 6692
+rect 35208 6628 35224 6692
+rect 35288 6628 35296 6692
+rect 34976 6627 35296 6628
+rect 19616 6026 19936 6027
+rect 19616 5962 19624 6026
+rect 19688 5962 19704 6026
+rect 19768 5962 19784 6026
+rect 19848 5962 19864 6026
+rect 19928 5962 19936 6026
+rect 19616 5961 19936 5962
+rect 50336 6026 50656 6027
+rect 50336 5962 50344 6026
+rect 50408 5962 50424 6026
+rect 50488 5962 50504 6026
+rect 50568 5962 50584 6026
+rect 50648 5962 50656 6026
+rect 50336 5961 50656 5962
+rect 4256 5360 4576 5361
+rect 4256 5296 4264 5360
+rect 4328 5296 4344 5360
+rect 4408 5296 4424 5360
+rect 4488 5296 4504 5360
+rect 4568 5296 4576 5360
+rect 4256 5295 4576 5296
+rect 34976 5360 35296 5361
+rect 34976 5296 34984 5360
+rect 35048 5296 35064 5360
+rect 35128 5296 35144 5360
+rect 35208 5296 35224 5360
+rect 35288 5296 35296 5360
+rect 34976 5295 35296 5296
+rect 19616 4694 19936 4695
+rect 19616 4630 19624 4694
+rect 19688 4630 19704 4694
+rect 19768 4630 19784 4694
+rect 19848 4630 19864 4694
+rect 19928 4630 19936 4694
+rect 19616 4629 19936 4630
+rect 50336 4694 50656 4695
+rect 50336 4630 50344 4694
+rect 50408 4630 50424 4694
+rect 50488 4630 50504 4694
+rect 50568 4630 50584 4694
+rect 50648 4630 50656 4694
+rect 50336 4629 50656 4630
+rect 4256 4028 4576 4029
+rect 4256 3964 4264 4028
+rect 4328 3964 4344 4028
+rect 4408 3964 4424 4028
+rect 4488 3964 4504 4028
+rect 4568 3964 4576 4028
+rect 4256 3963 4576 3964
+rect 34976 4028 35296 4029
+rect 34976 3964 34984 4028
+rect 35048 3964 35064 4028
+rect 35128 3964 35144 4028
+rect 35208 3964 35224 4028
+rect 35288 3964 35296 4028
+rect 34976 3963 35296 3964
+rect 15183 3804 15249 3807
+rect 15375 3804 15441 3807
+rect 15183 3802 15441 3804
+rect 15183 3746 15188 3802
+rect 15244 3746 15380 3802
+rect 15436 3746 15441 3802
+rect 15183 3744 15441 3746
+rect 15183 3741 15249 3744
+rect 15375 3741 15441 3744
+rect 19616 3362 19936 3363
+rect 19616 3298 19624 3362
+rect 19688 3298 19704 3362
+rect 19768 3298 19784 3362
+rect 19848 3298 19864 3362
+rect 19928 3298 19936 3362
+rect 19616 3297 19936 3298
+rect 50336 3362 50656 3363
+rect 50336 3298 50344 3362
+rect 50408 3298 50424 3362
+rect 50488 3298 50504 3362
+rect 50568 3298 50584 3362
+rect 50648 3298 50656 3362
+rect 50336 3297 50656 3298
+rect 35343 3064 35409 3067
+rect 35343 3062 35454 3064
+rect 35343 3006 35348 3062
+rect 35404 3006 35454 3062
+rect 35343 3001 35454 3006
+rect 4256 2696 4576 2697
+rect 4256 2632 4264 2696
+rect 4328 2632 4344 2696
+rect 4408 2632 4424 2696
+rect 4488 2632 4504 2696
+rect 4568 2632 4576 2696
+rect 4256 2631 4576 2632
+rect 34976 2696 35296 2697
+rect 34976 2632 34984 2696
+rect 35048 2632 35064 2696
+rect 35128 2632 35144 2696
+rect 35208 2632 35224 2696
+rect 35288 2632 35296 2696
+rect 34976 2631 35296 2632
+rect 35394 2472 35454 3001
+rect 35535 2472 35601 2475
+rect 35394 2470 35601 2472
+rect 35394 2414 35540 2470
+rect 35596 2414 35601 2470
+rect 35394 2412 35601 2414
+rect 35535 2409 35601 2412
+<< via3 >>
+rect 4264 57304 4328 57308
+rect 4264 57248 4268 57304
+rect 4268 57248 4324 57304
+rect 4324 57248 4328 57304
+rect 4264 57244 4328 57248
+rect 4344 57304 4408 57308
+rect 4344 57248 4348 57304
+rect 4348 57248 4404 57304
+rect 4404 57248 4408 57304
+rect 4344 57244 4408 57248
+rect 4424 57304 4488 57308
+rect 4424 57248 4428 57304
+rect 4428 57248 4484 57304
+rect 4484 57248 4488 57304
+rect 4424 57244 4488 57248
+rect 4504 57304 4568 57308
+rect 4504 57248 4508 57304
+rect 4508 57248 4564 57304
+rect 4564 57248 4568 57304
+rect 4504 57244 4568 57248
+rect 34984 57304 35048 57308
+rect 34984 57248 34988 57304
+rect 34988 57248 35044 57304
+rect 35044 57248 35048 57304
+rect 34984 57244 35048 57248
+rect 35064 57304 35128 57308
+rect 35064 57248 35068 57304
+rect 35068 57248 35124 57304
+rect 35124 57248 35128 57304
+rect 35064 57244 35128 57248
+rect 35144 57304 35208 57308
+rect 35144 57248 35148 57304
+rect 35148 57248 35204 57304
+rect 35204 57248 35208 57304
+rect 35144 57244 35208 57248
+rect 35224 57304 35288 57308
+rect 35224 57248 35228 57304
+rect 35228 57248 35284 57304
+rect 35284 57248 35288 57304
+rect 35224 57244 35288 57248
+rect 19624 56638 19688 56642
+rect 19624 56582 19628 56638
+rect 19628 56582 19684 56638
+rect 19684 56582 19688 56638
+rect 19624 56578 19688 56582
+rect 19704 56638 19768 56642
+rect 19704 56582 19708 56638
+rect 19708 56582 19764 56638
+rect 19764 56582 19768 56638
+rect 19704 56578 19768 56582
+rect 19784 56638 19848 56642
+rect 19784 56582 19788 56638
+rect 19788 56582 19844 56638
+rect 19844 56582 19848 56638
+rect 19784 56578 19848 56582
+rect 19864 56638 19928 56642
+rect 19864 56582 19868 56638
+rect 19868 56582 19924 56638
+rect 19924 56582 19928 56638
+rect 19864 56578 19928 56582
+rect 50344 56638 50408 56642
+rect 50344 56582 50348 56638
+rect 50348 56582 50404 56638
+rect 50404 56582 50408 56638
+rect 50344 56578 50408 56582
+rect 50424 56638 50488 56642
+rect 50424 56582 50428 56638
+rect 50428 56582 50484 56638
+rect 50484 56582 50488 56638
+rect 50424 56578 50488 56582
+rect 50504 56638 50568 56642
+rect 50504 56582 50508 56638
+rect 50508 56582 50564 56638
+rect 50564 56582 50568 56638
+rect 50504 56578 50568 56582
+rect 50584 56638 50648 56642
+rect 50584 56582 50588 56638
+rect 50588 56582 50644 56638
+rect 50644 56582 50648 56638
+rect 50584 56578 50648 56582
+rect 4264 55972 4328 55976
+rect 4264 55916 4268 55972
+rect 4268 55916 4324 55972
+rect 4324 55916 4328 55972
+rect 4264 55912 4328 55916
+rect 4344 55972 4408 55976
+rect 4344 55916 4348 55972
+rect 4348 55916 4404 55972
+rect 4404 55916 4408 55972
+rect 4344 55912 4408 55916
+rect 4424 55972 4488 55976
+rect 4424 55916 4428 55972
+rect 4428 55916 4484 55972
+rect 4484 55916 4488 55972
+rect 4424 55912 4488 55916
+rect 4504 55972 4568 55976
+rect 4504 55916 4508 55972
+rect 4508 55916 4564 55972
+rect 4564 55916 4568 55972
+rect 4504 55912 4568 55916
+rect 34984 55972 35048 55976
+rect 34984 55916 34988 55972
+rect 34988 55916 35044 55972
+rect 35044 55916 35048 55972
+rect 34984 55912 35048 55916
+rect 35064 55972 35128 55976
+rect 35064 55916 35068 55972
+rect 35068 55916 35124 55972
+rect 35124 55916 35128 55972
+rect 35064 55912 35128 55916
+rect 35144 55972 35208 55976
+rect 35144 55916 35148 55972
+rect 35148 55916 35204 55972
+rect 35204 55916 35208 55972
+rect 35144 55912 35208 55916
+rect 35224 55972 35288 55976
+rect 35224 55916 35228 55972
+rect 35228 55916 35284 55972
+rect 35284 55916 35288 55972
+rect 35224 55912 35288 55916
+rect 19624 55306 19688 55310
+rect 19624 55250 19628 55306
+rect 19628 55250 19684 55306
+rect 19684 55250 19688 55306
+rect 19624 55246 19688 55250
+rect 19704 55306 19768 55310
+rect 19704 55250 19708 55306
+rect 19708 55250 19764 55306
+rect 19764 55250 19768 55306
+rect 19704 55246 19768 55250
+rect 19784 55306 19848 55310
+rect 19784 55250 19788 55306
+rect 19788 55250 19844 55306
+rect 19844 55250 19848 55306
+rect 19784 55246 19848 55250
+rect 19864 55306 19928 55310
+rect 19864 55250 19868 55306
+rect 19868 55250 19924 55306
+rect 19924 55250 19928 55306
+rect 19864 55246 19928 55250
+rect 50344 55306 50408 55310
+rect 50344 55250 50348 55306
+rect 50348 55250 50404 55306
+rect 50404 55250 50408 55306
+rect 50344 55246 50408 55250
+rect 50424 55306 50488 55310
+rect 50424 55250 50428 55306
+rect 50428 55250 50484 55306
+rect 50484 55250 50488 55306
+rect 50424 55246 50488 55250
+rect 50504 55306 50568 55310
+rect 50504 55250 50508 55306
+rect 50508 55250 50564 55306
+rect 50564 55250 50568 55306
+rect 50504 55246 50568 55250
+rect 50584 55306 50648 55310
+rect 50584 55250 50588 55306
+rect 50588 55250 50644 55306
+rect 50644 55250 50648 55306
+rect 50584 55246 50648 55250
+rect 4264 54640 4328 54644
+rect 4264 54584 4268 54640
+rect 4268 54584 4324 54640
+rect 4324 54584 4328 54640
+rect 4264 54580 4328 54584
+rect 4344 54640 4408 54644
+rect 4344 54584 4348 54640
+rect 4348 54584 4404 54640
+rect 4404 54584 4408 54640
+rect 4344 54580 4408 54584
+rect 4424 54640 4488 54644
+rect 4424 54584 4428 54640
+rect 4428 54584 4484 54640
+rect 4484 54584 4488 54640
+rect 4424 54580 4488 54584
+rect 4504 54640 4568 54644
+rect 4504 54584 4508 54640
+rect 4508 54584 4564 54640
+rect 4564 54584 4568 54640
+rect 4504 54580 4568 54584
+rect 34984 54640 35048 54644
+rect 34984 54584 34988 54640
+rect 34988 54584 35044 54640
+rect 35044 54584 35048 54640
+rect 34984 54580 35048 54584
+rect 35064 54640 35128 54644
+rect 35064 54584 35068 54640
+rect 35068 54584 35124 54640
+rect 35124 54584 35128 54640
+rect 35064 54580 35128 54584
+rect 35144 54640 35208 54644
+rect 35144 54584 35148 54640
+rect 35148 54584 35204 54640
+rect 35204 54584 35208 54640
+rect 35144 54580 35208 54584
+rect 35224 54640 35288 54644
+rect 35224 54584 35228 54640
+rect 35228 54584 35284 54640
+rect 35284 54584 35288 54640
+rect 35224 54580 35288 54584
+rect 19624 53974 19688 53978
+rect 19624 53918 19628 53974
+rect 19628 53918 19684 53974
+rect 19684 53918 19688 53974
+rect 19624 53914 19688 53918
+rect 19704 53974 19768 53978
+rect 19704 53918 19708 53974
+rect 19708 53918 19764 53974
+rect 19764 53918 19768 53974
+rect 19704 53914 19768 53918
+rect 19784 53974 19848 53978
+rect 19784 53918 19788 53974
+rect 19788 53918 19844 53974
+rect 19844 53918 19848 53974
+rect 19784 53914 19848 53918
+rect 19864 53974 19928 53978
+rect 19864 53918 19868 53974
+rect 19868 53918 19924 53974
+rect 19924 53918 19928 53974
+rect 19864 53914 19928 53918
+rect 50344 53974 50408 53978
+rect 50344 53918 50348 53974
+rect 50348 53918 50404 53974
+rect 50404 53918 50408 53974
+rect 50344 53914 50408 53918
+rect 50424 53974 50488 53978
+rect 50424 53918 50428 53974
+rect 50428 53918 50484 53974
+rect 50484 53918 50488 53974
+rect 50424 53914 50488 53918
+rect 50504 53974 50568 53978
+rect 50504 53918 50508 53974
+rect 50508 53918 50564 53974
+rect 50564 53918 50568 53974
+rect 50504 53914 50568 53918
+rect 50584 53974 50648 53978
+rect 50584 53918 50588 53974
+rect 50588 53918 50644 53974
+rect 50644 53918 50648 53974
+rect 50584 53914 50648 53918
+rect 4264 53308 4328 53312
+rect 4264 53252 4268 53308
+rect 4268 53252 4324 53308
+rect 4324 53252 4328 53308
+rect 4264 53248 4328 53252
+rect 4344 53308 4408 53312
+rect 4344 53252 4348 53308
+rect 4348 53252 4404 53308
+rect 4404 53252 4408 53308
+rect 4344 53248 4408 53252
+rect 4424 53308 4488 53312
+rect 4424 53252 4428 53308
+rect 4428 53252 4484 53308
+rect 4484 53252 4488 53308
+rect 4424 53248 4488 53252
+rect 4504 53308 4568 53312
+rect 4504 53252 4508 53308
+rect 4508 53252 4564 53308
+rect 4564 53252 4568 53308
+rect 4504 53248 4568 53252
+rect 34984 53308 35048 53312
+rect 34984 53252 34988 53308
+rect 34988 53252 35044 53308
+rect 35044 53252 35048 53308
+rect 34984 53248 35048 53252
+rect 35064 53308 35128 53312
+rect 35064 53252 35068 53308
+rect 35068 53252 35124 53308
+rect 35124 53252 35128 53308
+rect 35064 53248 35128 53252
+rect 35144 53308 35208 53312
+rect 35144 53252 35148 53308
+rect 35148 53252 35204 53308
+rect 35204 53252 35208 53308
+rect 35144 53248 35208 53252
+rect 35224 53308 35288 53312
+rect 35224 53252 35228 53308
+rect 35228 53252 35284 53308
+rect 35284 53252 35288 53308
+rect 35224 53248 35288 53252
+rect 19624 52642 19688 52646
+rect 19624 52586 19628 52642
+rect 19628 52586 19684 52642
+rect 19684 52586 19688 52642
+rect 19624 52582 19688 52586
+rect 19704 52642 19768 52646
+rect 19704 52586 19708 52642
+rect 19708 52586 19764 52642
+rect 19764 52586 19768 52642
+rect 19704 52582 19768 52586
+rect 19784 52642 19848 52646
+rect 19784 52586 19788 52642
+rect 19788 52586 19844 52642
+rect 19844 52586 19848 52642
+rect 19784 52582 19848 52586
+rect 19864 52642 19928 52646
+rect 19864 52586 19868 52642
+rect 19868 52586 19924 52642
+rect 19924 52586 19928 52642
+rect 19864 52582 19928 52586
+rect 50344 52642 50408 52646
+rect 50344 52586 50348 52642
+rect 50348 52586 50404 52642
+rect 50404 52586 50408 52642
+rect 50344 52582 50408 52586
+rect 50424 52642 50488 52646
+rect 50424 52586 50428 52642
+rect 50428 52586 50484 52642
+rect 50484 52586 50488 52642
+rect 50424 52582 50488 52586
+rect 50504 52642 50568 52646
+rect 50504 52586 50508 52642
+rect 50508 52586 50564 52642
+rect 50564 52586 50568 52642
+rect 50504 52582 50568 52586
+rect 50584 52642 50648 52646
+rect 50584 52586 50588 52642
+rect 50588 52586 50644 52642
+rect 50644 52586 50648 52642
+rect 50584 52582 50648 52586
+rect 4264 51976 4328 51980
+rect 4264 51920 4268 51976
+rect 4268 51920 4324 51976
+rect 4324 51920 4328 51976
+rect 4264 51916 4328 51920
+rect 4344 51976 4408 51980
+rect 4344 51920 4348 51976
+rect 4348 51920 4404 51976
+rect 4404 51920 4408 51976
+rect 4344 51916 4408 51920
+rect 4424 51976 4488 51980
+rect 4424 51920 4428 51976
+rect 4428 51920 4484 51976
+rect 4484 51920 4488 51976
+rect 4424 51916 4488 51920
+rect 4504 51976 4568 51980
+rect 4504 51920 4508 51976
+rect 4508 51920 4564 51976
+rect 4564 51920 4568 51976
+rect 4504 51916 4568 51920
+rect 34984 51976 35048 51980
+rect 34984 51920 34988 51976
+rect 34988 51920 35044 51976
+rect 35044 51920 35048 51976
+rect 34984 51916 35048 51920
+rect 35064 51976 35128 51980
+rect 35064 51920 35068 51976
+rect 35068 51920 35124 51976
+rect 35124 51920 35128 51976
+rect 35064 51916 35128 51920
+rect 35144 51976 35208 51980
+rect 35144 51920 35148 51976
+rect 35148 51920 35204 51976
+rect 35204 51920 35208 51976
+rect 35144 51916 35208 51920
+rect 35224 51976 35288 51980
+rect 35224 51920 35228 51976
+rect 35228 51920 35284 51976
+rect 35284 51920 35288 51976
+rect 35224 51916 35288 51920
+rect 19624 51310 19688 51314
+rect 19624 51254 19628 51310
+rect 19628 51254 19684 51310
+rect 19684 51254 19688 51310
+rect 19624 51250 19688 51254
+rect 19704 51310 19768 51314
+rect 19704 51254 19708 51310
+rect 19708 51254 19764 51310
+rect 19764 51254 19768 51310
+rect 19704 51250 19768 51254
+rect 19784 51310 19848 51314
+rect 19784 51254 19788 51310
+rect 19788 51254 19844 51310
+rect 19844 51254 19848 51310
+rect 19784 51250 19848 51254
+rect 19864 51310 19928 51314
+rect 19864 51254 19868 51310
+rect 19868 51254 19924 51310
+rect 19924 51254 19928 51310
+rect 19864 51250 19928 51254
+rect 50344 51310 50408 51314
+rect 50344 51254 50348 51310
+rect 50348 51254 50404 51310
+rect 50404 51254 50408 51310
+rect 50344 51250 50408 51254
+rect 50424 51310 50488 51314
+rect 50424 51254 50428 51310
+rect 50428 51254 50484 51310
+rect 50484 51254 50488 51310
+rect 50424 51250 50488 51254
+rect 50504 51310 50568 51314
+rect 50504 51254 50508 51310
+rect 50508 51254 50564 51310
+rect 50564 51254 50568 51310
+rect 50504 51250 50568 51254
+rect 50584 51310 50648 51314
+rect 50584 51254 50588 51310
+rect 50588 51254 50644 51310
+rect 50644 51254 50648 51310
+rect 50584 51250 50648 51254
+rect 4264 50644 4328 50648
+rect 4264 50588 4268 50644
+rect 4268 50588 4324 50644
+rect 4324 50588 4328 50644
+rect 4264 50584 4328 50588
+rect 4344 50644 4408 50648
+rect 4344 50588 4348 50644
+rect 4348 50588 4404 50644
+rect 4404 50588 4408 50644
+rect 4344 50584 4408 50588
+rect 4424 50644 4488 50648
+rect 4424 50588 4428 50644
+rect 4428 50588 4484 50644
+rect 4484 50588 4488 50644
+rect 4424 50584 4488 50588
+rect 4504 50644 4568 50648
+rect 4504 50588 4508 50644
+rect 4508 50588 4564 50644
+rect 4564 50588 4568 50644
+rect 4504 50584 4568 50588
+rect 34984 50644 35048 50648
+rect 34984 50588 34988 50644
+rect 34988 50588 35044 50644
+rect 35044 50588 35048 50644
+rect 34984 50584 35048 50588
+rect 35064 50644 35128 50648
+rect 35064 50588 35068 50644
+rect 35068 50588 35124 50644
+rect 35124 50588 35128 50644
+rect 35064 50584 35128 50588
+rect 35144 50644 35208 50648
+rect 35144 50588 35148 50644
+rect 35148 50588 35204 50644
+rect 35204 50588 35208 50644
+rect 35144 50584 35208 50588
+rect 35224 50644 35288 50648
+rect 35224 50588 35228 50644
+rect 35228 50588 35284 50644
+rect 35284 50588 35288 50644
+rect 35224 50584 35288 50588
+rect 19624 49978 19688 49982
+rect 19624 49922 19628 49978
+rect 19628 49922 19684 49978
+rect 19684 49922 19688 49978
+rect 19624 49918 19688 49922
+rect 19704 49978 19768 49982
+rect 19704 49922 19708 49978
+rect 19708 49922 19764 49978
+rect 19764 49922 19768 49978
+rect 19704 49918 19768 49922
+rect 19784 49978 19848 49982
+rect 19784 49922 19788 49978
+rect 19788 49922 19844 49978
+rect 19844 49922 19848 49978
+rect 19784 49918 19848 49922
+rect 19864 49978 19928 49982
+rect 19864 49922 19868 49978
+rect 19868 49922 19924 49978
+rect 19924 49922 19928 49978
+rect 19864 49918 19928 49922
+rect 50344 49978 50408 49982
+rect 50344 49922 50348 49978
+rect 50348 49922 50404 49978
+rect 50404 49922 50408 49978
+rect 50344 49918 50408 49922
+rect 50424 49978 50488 49982
+rect 50424 49922 50428 49978
+rect 50428 49922 50484 49978
+rect 50484 49922 50488 49978
+rect 50424 49918 50488 49922
+rect 50504 49978 50568 49982
+rect 50504 49922 50508 49978
+rect 50508 49922 50564 49978
+rect 50564 49922 50568 49978
+rect 50504 49918 50568 49922
+rect 50584 49978 50648 49982
+rect 50584 49922 50588 49978
+rect 50588 49922 50644 49978
+rect 50644 49922 50648 49978
+rect 50584 49918 50648 49922
+rect 4264 49312 4328 49316
+rect 4264 49256 4268 49312
+rect 4268 49256 4324 49312
+rect 4324 49256 4328 49312
+rect 4264 49252 4328 49256
+rect 4344 49312 4408 49316
+rect 4344 49256 4348 49312
+rect 4348 49256 4404 49312
+rect 4404 49256 4408 49312
+rect 4344 49252 4408 49256
+rect 4424 49312 4488 49316
+rect 4424 49256 4428 49312
+rect 4428 49256 4484 49312
+rect 4484 49256 4488 49312
+rect 4424 49252 4488 49256
+rect 4504 49312 4568 49316
+rect 4504 49256 4508 49312
+rect 4508 49256 4564 49312
+rect 4564 49256 4568 49312
+rect 4504 49252 4568 49256
+rect 34984 49312 35048 49316
+rect 34984 49256 34988 49312
+rect 34988 49256 35044 49312
+rect 35044 49256 35048 49312
+rect 34984 49252 35048 49256
+rect 35064 49312 35128 49316
+rect 35064 49256 35068 49312
+rect 35068 49256 35124 49312
+rect 35124 49256 35128 49312
+rect 35064 49252 35128 49256
+rect 35144 49312 35208 49316
+rect 35144 49256 35148 49312
+rect 35148 49256 35204 49312
+rect 35204 49256 35208 49312
+rect 35144 49252 35208 49256
+rect 35224 49312 35288 49316
+rect 35224 49256 35228 49312
+rect 35228 49256 35284 49312
+rect 35284 49256 35288 49312
+rect 35224 49252 35288 49256
+rect 19624 48646 19688 48650
+rect 19624 48590 19628 48646
+rect 19628 48590 19684 48646
+rect 19684 48590 19688 48646
+rect 19624 48586 19688 48590
+rect 19704 48646 19768 48650
+rect 19704 48590 19708 48646
+rect 19708 48590 19764 48646
+rect 19764 48590 19768 48646
+rect 19704 48586 19768 48590
+rect 19784 48646 19848 48650
+rect 19784 48590 19788 48646
+rect 19788 48590 19844 48646
+rect 19844 48590 19848 48646
+rect 19784 48586 19848 48590
+rect 19864 48646 19928 48650
+rect 19864 48590 19868 48646
+rect 19868 48590 19924 48646
+rect 19924 48590 19928 48646
+rect 19864 48586 19928 48590
+rect 50344 48646 50408 48650
+rect 50344 48590 50348 48646
+rect 50348 48590 50404 48646
+rect 50404 48590 50408 48646
+rect 50344 48586 50408 48590
+rect 50424 48646 50488 48650
+rect 50424 48590 50428 48646
+rect 50428 48590 50484 48646
+rect 50484 48590 50488 48646
+rect 50424 48586 50488 48590
+rect 50504 48646 50568 48650
+rect 50504 48590 50508 48646
+rect 50508 48590 50564 48646
+rect 50564 48590 50568 48646
+rect 50504 48586 50568 48590
+rect 50584 48646 50648 48650
+rect 50584 48590 50588 48646
+rect 50588 48590 50644 48646
+rect 50644 48590 50648 48646
+rect 50584 48586 50648 48590
+rect 4264 47980 4328 47984
+rect 4264 47924 4268 47980
+rect 4268 47924 4324 47980
+rect 4324 47924 4328 47980
+rect 4264 47920 4328 47924
+rect 4344 47980 4408 47984
+rect 4344 47924 4348 47980
+rect 4348 47924 4404 47980
+rect 4404 47924 4408 47980
+rect 4344 47920 4408 47924
+rect 4424 47980 4488 47984
+rect 4424 47924 4428 47980
+rect 4428 47924 4484 47980
+rect 4484 47924 4488 47980
+rect 4424 47920 4488 47924
+rect 4504 47980 4568 47984
+rect 4504 47924 4508 47980
+rect 4508 47924 4564 47980
+rect 4564 47924 4568 47980
+rect 4504 47920 4568 47924
+rect 34984 47980 35048 47984
+rect 34984 47924 34988 47980
+rect 34988 47924 35044 47980
+rect 35044 47924 35048 47980
+rect 34984 47920 35048 47924
+rect 35064 47980 35128 47984
+rect 35064 47924 35068 47980
+rect 35068 47924 35124 47980
+rect 35124 47924 35128 47980
+rect 35064 47920 35128 47924
+rect 35144 47980 35208 47984
+rect 35144 47924 35148 47980
+rect 35148 47924 35204 47980
+rect 35204 47924 35208 47980
+rect 35144 47920 35208 47924
+rect 35224 47980 35288 47984
+rect 35224 47924 35228 47980
+rect 35228 47924 35284 47980
+rect 35284 47924 35288 47980
+rect 35224 47920 35288 47924
+rect 19624 47314 19688 47318
+rect 19624 47258 19628 47314
+rect 19628 47258 19684 47314
+rect 19684 47258 19688 47314
+rect 19624 47254 19688 47258
+rect 19704 47314 19768 47318
+rect 19704 47258 19708 47314
+rect 19708 47258 19764 47314
+rect 19764 47258 19768 47314
+rect 19704 47254 19768 47258
+rect 19784 47314 19848 47318
+rect 19784 47258 19788 47314
+rect 19788 47258 19844 47314
+rect 19844 47258 19848 47314
+rect 19784 47254 19848 47258
+rect 19864 47314 19928 47318
+rect 19864 47258 19868 47314
+rect 19868 47258 19924 47314
+rect 19924 47258 19928 47314
+rect 19864 47254 19928 47258
+rect 50344 47314 50408 47318
+rect 50344 47258 50348 47314
+rect 50348 47258 50404 47314
+rect 50404 47258 50408 47314
+rect 50344 47254 50408 47258
+rect 50424 47314 50488 47318
+rect 50424 47258 50428 47314
+rect 50428 47258 50484 47314
+rect 50484 47258 50488 47314
+rect 50424 47254 50488 47258
+rect 50504 47314 50568 47318
+rect 50504 47258 50508 47314
+rect 50508 47258 50564 47314
+rect 50564 47258 50568 47314
+rect 50504 47254 50568 47258
+rect 50584 47314 50648 47318
+rect 50584 47258 50588 47314
+rect 50588 47258 50644 47314
+rect 50644 47258 50648 47314
+rect 50584 47254 50648 47258
+rect 4264 46648 4328 46652
+rect 4264 46592 4268 46648
+rect 4268 46592 4324 46648
+rect 4324 46592 4328 46648
+rect 4264 46588 4328 46592
+rect 4344 46648 4408 46652
+rect 4344 46592 4348 46648
+rect 4348 46592 4404 46648
+rect 4404 46592 4408 46648
+rect 4344 46588 4408 46592
+rect 4424 46648 4488 46652
+rect 4424 46592 4428 46648
+rect 4428 46592 4484 46648
+rect 4484 46592 4488 46648
+rect 4424 46588 4488 46592
+rect 4504 46648 4568 46652
+rect 4504 46592 4508 46648
+rect 4508 46592 4564 46648
+rect 4564 46592 4568 46648
+rect 4504 46588 4568 46592
+rect 34984 46648 35048 46652
+rect 34984 46592 34988 46648
+rect 34988 46592 35044 46648
+rect 35044 46592 35048 46648
+rect 34984 46588 35048 46592
+rect 35064 46648 35128 46652
+rect 35064 46592 35068 46648
+rect 35068 46592 35124 46648
+rect 35124 46592 35128 46648
+rect 35064 46588 35128 46592
+rect 35144 46648 35208 46652
+rect 35144 46592 35148 46648
+rect 35148 46592 35204 46648
+rect 35204 46592 35208 46648
+rect 35144 46588 35208 46592
+rect 35224 46648 35288 46652
+rect 35224 46592 35228 46648
+rect 35228 46592 35284 46648
+rect 35284 46592 35288 46648
+rect 35224 46588 35288 46592
+rect 19624 45982 19688 45986
+rect 19624 45926 19628 45982
+rect 19628 45926 19684 45982
+rect 19684 45926 19688 45982
+rect 19624 45922 19688 45926
+rect 19704 45982 19768 45986
+rect 19704 45926 19708 45982
+rect 19708 45926 19764 45982
+rect 19764 45926 19768 45982
+rect 19704 45922 19768 45926
+rect 19784 45982 19848 45986
+rect 19784 45926 19788 45982
+rect 19788 45926 19844 45982
+rect 19844 45926 19848 45982
+rect 19784 45922 19848 45926
+rect 19864 45982 19928 45986
+rect 19864 45926 19868 45982
+rect 19868 45926 19924 45982
+rect 19924 45926 19928 45982
+rect 19864 45922 19928 45926
+rect 50344 45982 50408 45986
+rect 50344 45926 50348 45982
+rect 50348 45926 50404 45982
+rect 50404 45926 50408 45982
+rect 50344 45922 50408 45926
+rect 50424 45982 50488 45986
+rect 50424 45926 50428 45982
+rect 50428 45926 50484 45982
+rect 50484 45926 50488 45982
+rect 50424 45922 50488 45926
+rect 50504 45982 50568 45986
+rect 50504 45926 50508 45982
+rect 50508 45926 50564 45982
+rect 50564 45926 50568 45982
+rect 50504 45922 50568 45926
+rect 50584 45982 50648 45986
+rect 50584 45926 50588 45982
+rect 50588 45926 50644 45982
+rect 50644 45926 50648 45982
+rect 50584 45922 50648 45926
+rect 4264 45316 4328 45320
+rect 4264 45260 4268 45316
+rect 4268 45260 4324 45316
+rect 4324 45260 4328 45316
+rect 4264 45256 4328 45260
+rect 4344 45316 4408 45320
+rect 4344 45260 4348 45316
+rect 4348 45260 4404 45316
+rect 4404 45260 4408 45316
+rect 4344 45256 4408 45260
+rect 4424 45316 4488 45320
+rect 4424 45260 4428 45316
+rect 4428 45260 4484 45316
+rect 4484 45260 4488 45316
+rect 4424 45256 4488 45260
+rect 4504 45316 4568 45320
+rect 4504 45260 4508 45316
+rect 4508 45260 4564 45316
+rect 4564 45260 4568 45316
+rect 4504 45256 4568 45260
+rect 34984 45316 35048 45320
+rect 34984 45260 34988 45316
+rect 34988 45260 35044 45316
+rect 35044 45260 35048 45316
+rect 34984 45256 35048 45260
+rect 35064 45316 35128 45320
+rect 35064 45260 35068 45316
+rect 35068 45260 35124 45316
+rect 35124 45260 35128 45316
+rect 35064 45256 35128 45260
+rect 35144 45316 35208 45320
+rect 35144 45260 35148 45316
+rect 35148 45260 35204 45316
+rect 35204 45260 35208 45316
+rect 35144 45256 35208 45260
+rect 35224 45316 35288 45320
+rect 35224 45260 35228 45316
+rect 35228 45260 35284 45316
+rect 35284 45260 35288 45316
+rect 35224 45256 35288 45260
+rect 19624 44650 19688 44654
+rect 19624 44594 19628 44650
+rect 19628 44594 19684 44650
+rect 19684 44594 19688 44650
+rect 19624 44590 19688 44594
+rect 19704 44650 19768 44654
+rect 19704 44594 19708 44650
+rect 19708 44594 19764 44650
+rect 19764 44594 19768 44650
+rect 19704 44590 19768 44594
+rect 19784 44650 19848 44654
+rect 19784 44594 19788 44650
+rect 19788 44594 19844 44650
+rect 19844 44594 19848 44650
+rect 19784 44590 19848 44594
+rect 19864 44650 19928 44654
+rect 19864 44594 19868 44650
+rect 19868 44594 19924 44650
+rect 19924 44594 19928 44650
+rect 19864 44590 19928 44594
+rect 50344 44650 50408 44654
+rect 50344 44594 50348 44650
+rect 50348 44594 50404 44650
+rect 50404 44594 50408 44650
+rect 50344 44590 50408 44594
+rect 50424 44650 50488 44654
+rect 50424 44594 50428 44650
+rect 50428 44594 50484 44650
+rect 50484 44594 50488 44650
+rect 50424 44590 50488 44594
+rect 50504 44650 50568 44654
+rect 50504 44594 50508 44650
+rect 50508 44594 50564 44650
+rect 50564 44594 50568 44650
+rect 50504 44590 50568 44594
+rect 50584 44650 50648 44654
+rect 50584 44594 50588 44650
+rect 50588 44594 50644 44650
+rect 50644 44594 50648 44650
+rect 50584 44590 50648 44594
+rect 4264 43984 4328 43988
+rect 4264 43928 4268 43984
+rect 4268 43928 4324 43984
+rect 4324 43928 4328 43984
+rect 4264 43924 4328 43928
+rect 4344 43984 4408 43988
+rect 4344 43928 4348 43984
+rect 4348 43928 4404 43984
+rect 4404 43928 4408 43984
+rect 4344 43924 4408 43928
+rect 4424 43984 4488 43988
+rect 4424 43928 4428 43984
+rect 4428 43928 4484 43984
+rect 4484 43928 4488 43984
+rect 4424 43924 4488 43928
+rect 4504 43984 4568 43988
+rect 4504 43928 4508 43984
+rect 4508 43928 4564 43984
+rect 4564 43928 4568 43984
+rect 4504 43924 4568 43928
+rect 34984 43984 35048 43988
+rect 34984 43928 34988 43984
+rect 34988 43928 35044 43984
+rect 35044 43928 35048 43984
+rect 34984 43924 35048 43928
+rect 35064 43984 35128 43988
+rect 35064 43928 35068 43984
+rect 35068 43928 35124 43984
+rect 35124 43928 35128 43984
+rect 35064 43924 35128 43928
+rect 35144 43984 35208 43988
+rect 35144 43928 35148 43984
+rect 35148 43928 35204 43984
+rect 35204 43928 35208 43984
+rect 35144 43924 35208 43928
+rect 35224 43984 35288 43988
+rect 35224 43928 35228 43984
+rect 35228 43928 35284 43984
+rect 35284 43928 35288 43984
+rect 35224 43924 35288 43928
+rect 19624 43318 19688 43322
+rect 19624 43262 19628 43318
+rect 19628 43262 19684 43318
+rect 19684 43262 19688 43318
+rect 19624 43258 19688 43262
+rect 19704 43318 19768 43322
+rect 19704 43262 19708 43318
+rect 19708 43262 19764 43318
+rect 19764 43262 19768 43318
+rect 19704 43258 19768 43262
+rect 19784 43318 19848 43322
+rect 19784 43262 19788 43318
+rect 19788 43262 19844 43318
+rect 19844 43262 19848 43318
+rect 19784 43258 19848 43262
+rect 19864 43318 19928 43322
+rect 19864 43262 19868 43318
+rect 19868 43262 19924 43318
+rect 19924 43262 19928 43318
+rect 19864 43258 19928 43262
+rect 50344 43318 50408 43322
+rect 50344 43262 50348 43318
+rect 50348 43262 50404 43318
+rect 50404 43262 50408 43318
+rect 50344 43258 50408 43262
+rect 50424 43318 50488 43322
+rect 50424 43262 50428 43318
+rect 50428 43262 50484 43318
+rect 50484 43262 50488 43318
+rect 50424 43258 50488 43262
+rect 50504 43318 50568 43322
+rect 50504 43262 50508 43318
+rect 50508 43262 50564 43318
+rect 50564 43262 50568 43318
+rect 50504 43258 50568 43262
+rect 50584 43318 50648 43322
+rect 50584 43262 50588 43318
+rect 50588 43262 50644 43318
+rect 50644 43262 50648 43318
+rect 50584 43258 50648 43262
+rect 4264 42652 4328 42656
+rect 4264 42596 4268 42652
+rect 4268 42596 4324 42652
+rect 4324 42596 4328 42652
+rect 4264 42592 4328 42596
+rect 4344 42652 4408 42656
+rect 4344 42596 4348 42652
+rect 4348 42596 4404 42652
+rect 4404 42596 4408 42652
+rect 4344 42592 4408 42596
+rect 4424 42652 4488 42656
+rect 4424 42596 4428 42652
+rect 4428 42596 4484 42652
+rect 4484 42596 4488 42652
+rect 4424 42592 4488 42596
+rect 4504 42652 4568 42656
+rect 4504 42596 4508 42652
+rect 4508 42596 4564 42652
+rect 4564 42596 4568 42652
+rect 4504 42592 4568 42596
+rect 34984 42652 35048 42656
+rect 34984 42596 34988 42652
+rect 34988 42596 35044 42652
+rect 35044 42596 35048 42652
+rect 34984 42592 35048 42596
+rect 35064 42652 35128 42656
+rect 35064 42596 35068 42652
+rect 35068 42596 35124 42652
+rect 35124 42596 35128 42652
+rect 35064 42592 35128 42596
+rect 35144 42652 35208 42656
+rect 35144 42596 35148 42652
+rect 35148 42596 35204 42652
+rect 35204 42596 35208 42652
+rect 35144 42592 35208 42596
+rect 35224 42652 35288 42656
+rect 35224 42596 35228 42652
+rect 35228 42596 35284 42652
+rect 35284 42596 35288 42652
+rect 35224 42592 35288 42596
+rect 19624 41986 19688 41990
+rect 19624 41930 19628 41986
+rect 19628 41930 19684 41986
+rect 19684 41930 19688 41986
+rect 19624 41926 19688 41930
+rect 19704 41986 19768 41990
+rect 19704 41930 19708 41986
+rect 19708 41930 19764 41986
+rect 19764 41930 19768 41986
+rect 19704 41926 19768 41930
+rect 19784 41986 19848 41990
+rect 19784 41930 19788 41986
+rect 19788 41930 19844 41986
+rect 19844 41930 19848 41986
+rect 19784 41926 19848 41930
+rect 19864 41986 19928 41990
+rect 19864 41930 19868 41986
+rect 19868 41930 19924 41986
+rect 19924 41930 19928 41986
+rect 19864 41926 19928 41930
+rect 50344 41986 50408 41990
+rect 50344 41930 50348 41986
+rect 50348 41930 50404 41986
+rect 50404 41930 50408 41986
+rect 50344 41926 50408 41930
+rect 50424 41986 50488 41990
+rect 50424 41930 50428 41986
+rect 50428 41930 50484 41986
+rect 50484 41930 50488 41986
+rect 50424 41926 50488 41930
+rect 50504 41986 50568 41990
+rect 50504 41930 50508 41986
+rect 50508 41930 50564 41986
+rect 50564 41930 50568 41986
+rect 50504 41926 50568 41930
+rect 50584 41986 50648 41990
+rect 50584 41930 50588 41986
+rect 50588 41930 50644 41986
+rect 50644 41930 50648 41986
+rect 50584 41926 50648 41930
+rect 4264 41320 4328 41324
+rect 4264 41264 4268 41320
+rect 4268 41264 4324 41320
+rect 4324 41264 4328 41320
+rect 4264 41260 4328 41264
+rect 4344 41320 4408 41324
+rect 4344 41264 4348 41320
+rect 4348 41264 4404 41320
+rect 4404 41264 4408 41320
+rect 4344 41260 4408 41264
+rect 4424 41320 4488 41324
+rect 4424 41264 4428 41320
+rect 4428 41264 4484 41320
+rect 4484 41264 4488 41320
+rect 4424 41260 4488 41264
+rect 4504 41320 4568 41324
+rect 4504 41264 4508 41320
+rect 4508 41264 4564 41320
+rect 4564 41264 4568 41320
+rect 4504 41260 4568 41264
+rect 34984 41320 35048 41324
+rect 34984 41264 34988 41320
+rect 34988 41264 35044 41320
+rect 35044 41264 35048 41320
+rect 34984 41260 35048 41264
+rect 35064 41320 35128 41324
+rect 35064 41264 35068 41320
+rect 35068 41264 35124 41320
+rect 35124 41264 35128 41320
+rect 35064 41260 35128 41264
+rect 35144 41320 35208 41324
+rect 35144 41264 35148 41320
+rect 35148 41264 35204 41320
+rect 35204 41264 35208 41320
+rect 35144 41260 35208 41264
+rect 35224 41320 35288 41324
+rect 35224 41264 35228 41320
+rect 35228 41264 35284 41320
+rect 35284 41264 35288 41320
+rect 35224 41260 35288 41264
+rect 19624 40654 19688 40658
+rect 19624 40598 19628 40654
+rect 19628 40598 19684 40654
+rect 19684 40598 19688 40654
+rect 19624 40594 19688 40598
+rect 19704 40654 19768 40658
+rect 19704 40598 19708 40654
+rect 19708 40598 19764 40654
+rect 19764 40598 19768 40654
+rect 19704 40594 19768 40598
+rect 19784 40654 19848 40658
+rect 19784 40598 19788 40654
+rect 19788 40598 19844 40654
+rect 19844 40598 19848 40654
+rect 19784 40594 19848 40598
+rect 19864 40654 19928 40658
+rect 19864 40598 19868 40654
+rect 19868 40598 19924 40654
+rect 19924 40598 19928 40654
+rect 19864 40594 19928 40598
+rect 50344 40654 50408 40658
+rect 50344 40598 50348 40654
+rect 50348 40598 50404 40654
+rect 50404 40598 50408 40654
+rect 50344 40594 50408 40598
+rect 50424 40654 50488 40658
+rect 50424 40598 50428 40654
+rect 50428 40598 50484 40654
+rect 50484 40598 50488 40654
+rect 50424 40594 50488 40598
+rect 50504 40654 50568 40658
+rect 50504 40598 50508 40654
+rect 50508 40598 50564 40654
+rect 50564 40598 50568 40654
+rect 50504 40594 50568 40598
+rect 50584 40654 50648 40658
+rect 50584 40598 50588 40654
+rect 50588 40598 50644 40654
+rect 50644 40598 50648 40654
+rect 50584 40594 50648 40598
+rect 4264 39988 4328 39992
+rect 4264 39932 4268 39988
+rect 4268 39932 4324 39988
+rect 4324 39932 4328 39988
+rect 4264 39928 4328 39932
+rect 4344 39988 4408 39992
+rect 4344 39932 4348 39988
+rect 4348 39932 4404 39988
+rect 4404 39932 4408 39988
+rect 4344 39928 4408 39932
+rect 4424 39988 4488 39992
+rect 4424 39932 4428 39988
+rect 4428 39932 4484 39988
+rect 4484 39932 4488 39988
+rect 4424 39928 4488 39932
+rect 4504 39988 4568 39992
+rect 4504 39932 4508 39988
+rect 4508 39932 4564 39988
+rect 4564 39932 4568 39988
+rect 4504 39928 4568 39932
+rect 34984 39988 35048 39992
+rect 34984 39932 34988 39988
+rect 34988 39932 35044 39988
+rect 35044 39932 35048 39988
+rect 34984 39928 35048 39932
+rect 35064 39988 35128 39992
+rect 35064 39932 35068 39988
+rect 35068 39932 35124 39988
+rect 35124 39932 35128 39988
+rect 35064 39928 35128 39932
+rect 35144 39988 35208 39992
+rect 35144 39932 35148 39988
+rect 35148 39932 35204 39988
+rect 35204 39932 35208 39988
+rect 35144 39928 35208 39932
+rect 35224 39988 35288 39992
+rect 35224 39932 35228 39988
+rect 35228 39932 35284 39988
+rect 35284 39932 35288 39988
+rect 35224 39928 35288 39932
+rect 19624 39322 19688 39326
+rect 19624 39266 19628 39322
+rect 19628 39266 19684 39322
+rect 19684 39266 19688 39322
+rect 19624 39262 19688 39266
+rect 19704 39322 19768 39326
+rect 19704 39266 19708 39322
+rect 19708 39266 19764 39322
+rect 19764 39266 19768 39322
+rect 19704 39262 19768 39266
+rect 19784 39322 19848 39326
+rect 19784 39266 19788 39322
+rect 19788 39266 19844 39322
+rect 19844 39266 19848 39322
+rect 19784 39262 19848 39266
+rect 19864 39322 19928 39326
+rect 19864 39266 19868 39322
+rect 19868 39266 19924 39322
+rect 19924 39266 19928 39322
+rect 19864 39262 19928 39266
+rect 50344 39322 50408 39326
+rect 50344 39266 50348 39322
+rect 50348 39266 50404 39322
+rect 50404 39266 50408 39322
+rect 50344 39262 50408 39266
+rect 50424 39322 50488 39326
+rect 50424 39266 50428 39322
+rect 50428 39266 50484 39322
+rect 50484 39266 50488 39322
+rect 50424 39262 50488 39266
+rect 50504 39322 50568 39326
+rect 50504 39266 50508 39322
+rect 50508 39266 50564 39322
+rect 50564 39266 50568 39322
+rect 50504 39262 50568 39266
+rect 50584 39322 50648 39326
+rect 50584 39266 50588 39322
+rect 50588 39266 50644 39322
+rect 50644 39266 50648 39322
+rect 50584 39262 50648 39266
+rect 4264 38656 4328 38660
+rect 4264 38600 4268 38656
+rect 4268 38600 4324 38656
+rect 4324 38600 4328 38656
+rect 4264 38596 4328 38600
+rect 4344 38656 4408 38660
+rect 4344 38600 4348 38656
+rect 4348 38600 4404 38656
+rect 4404 38600 4408 38656
+rect 4344 38596 4408 38600
+rect 4424 38656 4488 38660
+rect 4424 38600 4428 38656
+rect 4428 38600 4484 38656
+rect 4484 38600 4488 38656
+rect 4424 38596 4488 38600
+rect 4504 38656 4568 38660
+rect 4504 38600 4508 38656
+rect 4508 38600 4564 38656
+rect 4564 38600 4568 38656
+rect 4504 38596 4568 38600
+rect 34984 38656 35048 38660
+rect 34984 38600 34988 38656
+rect 34988 38600 35044 38656
+rect 35044 38600 35048 38656
+rect 34984 38596 35048 38600
+rect 35064 38656 35128 38660
+rect 35064 38600 35068 38656
+rect 35068 38600 35124 38656
+rect 35124 38600 35128 38656
+rect 35064 38596 35128 38600
+rect 35144 38656 35208 38660
+rect 35144 38600 35148 38656
+rect 35148 38600 35204 38656
+rect 35204 38600 35208 38656
+rect 35144 38596 35208 38600
+rect 35224 38656 35288 38660
+rect 35224 38600 35228 38656
+rect 35228 38600 35284 38656
+rect 35284 38600 35288 38656
+rect 35224 38596 35288 38600
+rect 19624 37990 19688 37994
+rect 19624 37934 19628 37990
+rect 19628 37934 19684 37990
+rect 19684 37934 19688 37990
+rect 19624 37930 19688 37934
+rect 19704 37990 19768 37994
+rect 19704 37934 19708 37990
+rect 19708 37934 19764 37990
+rect 19764 37934 19768 37990
+rect 19704 37930 19768 37934
+rect 19784 37990 19848 37994
+rect 19784 37934 19788 37990
+rect 19788 37934 19844 37990
+rect 19844 37934 19848 37990
+rect 19784 37930 19848 37934
+rect 19864 37990 19928 37994
+rect 19864 37934 19868 37990
+rect 19868 37934 19924 37990
+rect 19924 37934 19928 37990
+rect 19864 37930 19928 37934
+rect 50344 37990 50408 37994
+rect 50344 37934 50348 37990
+rect 50348 37934 50404 37990
+rect 50404 37934 50408 37990
+rect 50344 37930 50408 37934
+rect 50424 37990 50488 37994
+rect 50424 37934 50428 37990
+rect 50428 37934 50484 37990
+rect 50484 37934 50488 37990
+rect 50424 37930 50488 37934
+rect 50504 37990 50568 37994
+rect 50504 37934 50508 37990
+rect 50508 37934 50564 37990
+rect 50564 37934 50568 37990
+rect 50504 37930 50568 37934
+rect 50584 37990 50648 37994
+rect 50584 37934 50588 37990
+rect 50588 37934 50644 37990
+rect 50644 37934 50648 37990
+rect 50584 37930 50648 37934
+rect 4264 37324 4328 37328
+rect 4264 37268 4268 37324
+rect 4268 37268 4324 37324
+rect 4324 37268 4328 37324
+rect 4264 37264 4328 37268
+rect 4344 37324 4408 37328
+rect 4344 37268 4348 37324
+rect 4348 37268 4404 37324
+rect 4404 37268 4408 37324
+rect 4344 37264 4408 37268
+rect 4424 37324 4488 37328
+rect 4424 37268 4428 37324
+rect 4428 37268 4484 37324
+rect 4484 37268 4488 37324
+rect 4424 37264 4488 37268
+rect 4504 37324 4568 37328
+rect 4504 37268 4508 37324
+rect 4508 37268 4564 37324
+rect 4564 37268 4568 37324
+rect 4504 37264 4568 37268
+rect 34984 37324 35048 37328
+rect 34984 37268 34988 37324
+rect 34988 37268 35044 37324
+rect 35044 37268 35048 37324
+rect 34984 37264 35048 37268
+rect 35064 37324 35128 37328
+rect 35064 37268 35068 37324
+rect 35068 37268 35124 37324
+rect 35124 37268 35128 37324
+rect 35064 37264 35128 37268
+rect 35144 37324 35208 37328
+rect 35144 37268 35148 37324
+rect 35148 37268 35204 37324
+rect 35204 37268 35208 37324
+rect 35144 37264 35208 37268
+rect 35224 37324 35288 37328
+rect 35224 37268 35228 37324
+rect 35228 37268 35284 37324
+rect 35284 37268 35288 37324
+rect 35224 37264 35288 37268
+rect 19624 36658 19688 36662
+rect 19624 36602 19628 36658
+rect 19628 36602 19684 36658
+rect 19684 36602 19688 36658
+rect 19624 36598 19688 36602
+rect 19704 36658 19768 36662
+rect 19704 36602 19708 36658
+rect 19708 36602 19764 36658
+rect 19764 36602 19768 36658
+rect 19704 36598 19768 36602
+rect 19784 36658 19848 36662
+rect 19784 36602 19788 36658
+rect 19788 36602 19844 36658
+rect 19844 36602 19848 36658
+rect 19784 36598 19848 36602
+rect 19864 36658 19928 36662
+rect 19864 36602 19868 36658
+rect 19868 36602 19924 36658
+rect 19924 36602 19928 36658
+rect 19864 36598 19928 36602
+rect 50344 36658 50408 36662
+rect 50344 36602 50348 36658
+rect 50348 36602 50404 36658
+rect 50404 36602 50408 36658
+rect 50344 36598 50408 36602
+rect 50424 36658 50488 36662
+rect 50424 36602 50428 36658
+rect 50428 36602 50484 36658
+rect 50484 36602 50488 36658
+rect 50424 36598 50488 36602
+rect 50504 36658 50568 36662
+rect 50504 36602 50508 36658
+rect 50508 36602 50564 36658
+rect 50564 36602 50568 36658
+rect 50504 36598 50568 36602
+rect 50584 36658 50648 36662
+rect 50584 36602 50588 36658
+rect 50588 36602 50644 36658
+rect 50644 36602 50648 36658
+rect 50584 36598 50648 36602
+rect 4264 35992 4328 35996
+rect 4264 35936 4268 35992
+rect 4268 35936 4324 35992
+rect 4324 35936 4328 35992
+rect 4264 35932 4328 35936
+rect 4344 35992 4408 35996
+rect 4344 35936 4348 35992
+rect 4348 35936 4404 35992
+rect 4404 35936 4408 35992
+rect 4344 35932 4408 35936
+rect 4424 35992 4488 35996
+rect 4424 35936 4428 35992
+rect 4428 35936 4484 35992
+rect 4484 35936 4488 35992
+rect 4424 35932 4488 35936
+rect 4504 35992 4568 35996
+rect 4504 35936 4508 35992
+rect 4508 35936 4564 35992
+rect 4564 35936 4568 35992
+rect 4504 35932 4568 35936
+rect 34984 35992 35048 35996
+rect 34984 35936 34988 35992
+rect 34988 35936 35044 35992
+rect 35044 35936 35048 35992
+rect 34984 35932 35048 35936
+rect 35064 35992 35128 35996
+rect 35064 35936 35068 35992
+rect 35068 35936 35124 35992
+rect 35124 35936 35128 35992
+rect 35064 35932 35128 35936
+rect 35144 35992 35208 35996
+rect 35144 35936 35148 35992
+rect 35148 35936 35204 35992
+rect 35204 35936 35208 35992
+rect 35144 35932 35208 35936
+rect 35224 35992 35288 35996
+rect 35224 35936 35228 35992
+rect 35228 35936 35284 35992
+rect 35284 35936 35288 35992
+rect 35224 35932 35288 35936
+rect 19624 35326 19688 35330
+rect 19624 35270 19628 35326
+rect 19628 35270 19684 35326
+rect 19684 35270 19688 35326
+rect 19624 35266 19688 35270
+rect 19704 35326 19768 35330
+rect 19704 35270 19708 35326
+rect 19708 35270 19764 35326
+rect 19764 35270 19768 35326
+rect 19704 35266 19768 35270
+rect 19784 35326 19848 35330
+rect 19784 35270 19788 35326
+rect 19788 35270 19844 35326
+rect 19844 35270 19848 35326
+rect 19784 35266 19848 35270
+rect 19864 35326 19928 35330
+rect 19864 35270 19868 35326
+rect 19868 35270 19924 35326
+rect 19924 35270 19928 35326
+rect 19864 35266 19928 35270
+rect 50344 35326 50408 35330
+rect 50344 35270 50348 35326
+rect 50348 35270 50404 35326
+rect 50404 35270 50408 35326
+rect 50344 35266 50408 35270
+rect 50424 35326 50488 35330
+rect 50424 35270 50428 35326
+rect 50428 35270 50484 35326
+rect 50484 35270 50488 35326
+rect 50424 35266 50488 35270
+rect 50504 35326 50568 35330
+rect 50504 35270 50508 35326
+rect 50508 35270 50564 35326
+rect 50564 35270 50568 35326
+rect 50504 35266 50568 35270
+rect 50584 35326 50648 35330
+rect 50584 35270 50588 35326
+rect 50588 35270 50644 35326
+rect 50644 35270 50648 35326
+rect 50584 35266 50648 35270
+rect 4264 34660 4328 34664
+rect 4264 34604 4268 34660
+rect 4268 34604 4324 34660
+rect 4324 34604 4328 34660
+rect 4264 34600 4328 34604
+rect 4344 34660 4408 34664
+rect 4344 34604 4348 34660
+rect 4348 34604 4404 34660
+rect 4404 34604 4408 34660
+rect 4344 34600 4408 34604
+rect 4424 34660 4488 34664
+rect 4424 34604 4428 34660
+rect 4428 34604 4484 34660
+rect 4484 34604 4488 34660
+rect 4424 34600 4488 34604
+rect 4504 34660 4568 34664
+rect 4504 34604 4508 34660
+rect 4508 34604 4564 34660
+rect 4564 34604 4568 34660
+rect 4504 34600 4568 34604
+rect 34984 34660 35048 34664
+rect 34984 34604 34988 34660
+rect 34988 34604 35044 34660
+rect 35044 34604 35048 34660
+rect 34984 34600 35048 34604
+rect 35064 34660 35128 34664
+rect 35064 34604 35068 34660
+rect 35068 34604 35124 34660
+rect 35124 34604 35128 34660
+rect 35064 34600 35128 34604
+rect 35144 34660 35208 34664
+rect 35144 34604 35148 34660
+rect 35148 34604 35204 34660
+rect 35204 34604 35208 34660
+rect 35144 34600 35208 34604
+rect 35224 34660 35288 34664
+rect 35224 34604 35228 34660
+rect 35228 34604 35284 34660
+rect 35284 34604 35288 34660
+rect 35224 34600 35288 34604
+rect 19624 33994 19688 33998
+rect 19624 33938 19628 33994
+rect 19628 33938 19684 33994
+rect 19684 33938 19688 33994
+rect 19624 33934 19688 33938
+rect 19704 33994 19768 33998
+rect 19704 33938 19708 33994
+rect 19708 33938 19764 33994
+rect 19764 33938 19768 33994
+rect 19704 33934 19768 33938
+rect 19784 33994 19848 33998
+rect 19784 33938 19788 33994
+rect 19788 33938 19844 33994
+rect 19844 33938 19848 33994
+rect 19784 33934 19848 33938
+rect 19864 33994 19928 33998
+rect 19864 33938 19868 33994
+rect 19868 33938 19924 33994
+rect 19924 33938 19928 33994
+rect 19864 33934 19928 33938
+rect 50344 33994 50408 33998
+rect 50344 33938 50348 33994
+rect 50348 33938 50404 33994
+rect 50404 33938 50408 33994
+rect 50344 33934 50408 33938
+rect 50424 33994 50488 33998
+rect 50424 33938 50428 33994
+rect 50428 33938 50484 33994
+rect 50484 33938 50488 33994
+rect 50424 33934 50488 33938
+rect 50504 33994 50568 33998
+rect 50504 33938 50508 33994
+rect 50508 33938 50564 33994
+rect 50564 33938 50568 33994
+rect 50504 33934 50568 33938
+rect 50584 33994 50648 33998
+rect 50584 33938 50588 33994
+rect 50588 33938 50644 33994
+rect 50644 33938 50648 33994
+rect 50584 33934 50648 33938
+rect 4264 33328 4328 33332
+rect 4264 33272 4268 33328
+rect 4268 33272 4324 33328
+rect 4324 33272 4328 33328
+rect 4264 33268 4328 33272
+rect 4344 33328 4408 33332
+rect 4344 33272 4348 33328
+rect 4348 33272 4404 33328
+rect 4404 33272 4408 33328
+rect 4344 33268 4408 33272
+rect 4424 33328 4488 33332
+rect 4424 33272 4428 33328
+rect 4428 33272 4484 33328
+rect 4484 33272 4488 33328
+rect 4424 33268 4488 33272
+rect 4504 33328 4568 33332
+rect 4504 33272 4508 33328
+rect 4508 33272 4564 33328
+rect 4564 33272 4568 33328
+rect 4504 33268 4568 33272
+rect 34984 33328 35048 33332
+rect 34984 33272 34988 33328
+rect 34988 33272 35044 33328
+rect 35044 33272 35048 33328
+rect 34984 33268 35048 33272
+rect 35064 33328 35128 33332
+rect 35064 33272 35068 33328
+rect 35068 33272 35124 33328
+rect 35124 33272 35128 33328
+rect 35064 33268 35128 33272
+rect 35144 33328 35208 33332
+rect 35144 33272 35148 33328
+rect 35148 33272 35204 33328
+rect 35204 33272 35208 33328
+rect 35144 33268 35208 33272
+rect 35224 33328 35288 33332
+rect 35224 33272 35228 33328
+rect 35228 33272 35284 33328
+rect 35284 33272 35288 33328
+rect 35224 33268 35288 33272
+rect 19624 32662 19688 32666
+rect 19624 32606 19628 32662
+rect 19628 32606 19684 32662
+rect 19684 32606 19688 32662
+rect 19624 32602 19688 32606
+rect 19704 32662 19768 32666
+rect 19704 32606 19708 32662
+rect 19708 32606 19764 32662
+rect 19764 32606 19768 32662
+rect 19704 32602 19768 32606
+rect 19784 32662 19848 32666
+rect 19784 32606 19788 32662
+rect 19788 32606 19844 32662
+rect 19844 32606 19848 32662
+rect 19784 32602 19848 32606
+rect 19864 32662 19928 32666
+rect 19864 32606 19868 32662
+rect 19868 32606 19924 32662
+rect 19924 32606 19928 32662
+rect 19864 32602 19928 32606
+rect 50344 32662 50408 32666
+rect 50344 32606 50348 32662
+rect 50348 32606 50404 32662
+rect 50404 32606 50408 32662
+rect 50344 32602 50408 32606
+rect 50424 32662 50488 32666
+rect 50424 32606 50428 32662
+rect 50428 32606 50484 32662
+rect 50484 32606 50488 32662
+rect 50424 32602 50488 32606
+rect 50504 32662 50568 32666
+rect 50504 32606 50508 32662
+rect 50508 32606 50564 32662
+rect 50564 32606 50568 32662
+rect 50504 32602 50568 32606
+rect 50584 32662 50648 32666
+rect 50584 32606 50588 32662
+rect 50588 32606 50644 32662
+rect 50644 32606 50648 32662
+rect 50584 32602 50648 32606
+rect 4264 31996 4328 32000
+rect 4264 31940 4268 31996
+rect 4268 31940 4324 31996
+rect 4324 31940 4328 31996
+rect 4264 31936 4328 31940
+rect 4344 31996 4408 32000
+rect 4344 31940 4348 31996
+rect 4348 31940 4404 31996
+rect 4404 31940 4408 31996
+rect 4344 31936 4408 31940
+rect 4424 31996 4488 32000
+rect 4424 31940 4428 31996
+rect 4428 31940 4484 31996
+rect 4484 31940 4488 31996
+rect 4424 31936 4488 31940
+rect 4504 31996 4568 32000
+rect 4504 31940 4508 31996
+rect 4508 31940 4564 31996
+rect 4564 31940 4568 31996
+rect 4504 31936 4568 31940
+rect 34984 31996 35048 32000
+rect 34984 31940 34988 31996
+rect 34988 31940 35044 31996
+rect 35044 31940 35048 31996
+rect 34984 31936 35048 31940
+rect 35064 31996 35128 32000
+rect 35064 31940 35068 31996
+rect 35068 31940 35124 31996
+rect 35124 31940 35128 31996
+rect 35064 31936 35128 31940
+rect 35144 31996 35208 32000
+rect 35144 31940 35148 31996
+rect 35148 31940 35204 31996
+rect 35204 31940 35208 31996
+rect 35144 31936 35208 31940
+rect 35224 31996 35288 32000
+rect 35224 31940 35228 31996
+rect 35228 31940 35284 31996
+rect 35284 31940 35288 31996
+rect 35224 31936 35288 31940
+rect 19624 31330 19688 31334
+rect 19624 31274 19628 31330
+rect 19628 31274 19684 31330
+rect 19684 31274 19688 31330
+rect 19624 31270 19688 31274
+rect 19704 31330 19768 31334
+rect 19704 31274 19708 31330
+rect 19708 31274 19764 31330
+rect 19764 31274 19768 31330
+rect 19704 31270 19768 31274
+rect 19784 31330 19848 31334
+rect 19784 31274 19788 31330
+rect 19788 31274 19844 31330
+rect 19844 31274 19848 31330
+rect 19784 31270 19848 31274
+rect 19864 31330 19928 31334
+rect 19864 31274 19868 31330
+rect 19868 31274 19924 31330
+rect 19924 31274 19928 31330
+rect 19864 31270 19928 31274
+rect 50344 31330 50408 31334
+rect 50344 31274 50348 31330
+rect 50348 31274 50404 31330
+rect 50404 31274 50408 31330
+rect 50344 31270 50408 31274
+rect 50424 31330 50488 31334
+rect 50424 31274 50428 31330
+rect 50428 31274 50484 31330
+rect 50484 31274 50488 31330
+rect 50424 31270 50488 31274
+rect 50504 31330 50568 31334
+rect 50504 31274 50508 31330
+rect 50508 31274 50564 31330
+rect 50564 31274 50568 31330
+rect 50504 31270 50568 31274
+rect 50584 31330 50648 31334
+rect 50584 31274 50588 31330
+rect 50588 31274 50644 31330
+rect 50644 31274 50648 31330
+rect 50584 31270 50648 31274
+rect 4264 30664 4328 30668
+rect 4264 30608 4268 30664
+rect 4268 30608 4324 30664
+rect 4324 30608 4328 30664
+rect 4264 30604 4328 30608
+rect 4344 30664 4408 30668
+rect 4344 30608 4348 30664
+rect 4348 30608 4404 30664
+rect 4404 30608 4408 30664
+rect 4344 30604 4408 30608
+rect 4424 30664 4488 30668
+rect 4424 30608 4428 30664
+rect 4428 30608 4484 30664
+rect 4484 30608 4488 30664
+rect 4424 30604 4488 30608
+rect 4504 30664 4568 30668
+rect 4504 30608 4508 30664
+rect 4508 30608 4564 30664
+rect 4564 30608 4568 30664
+rect 4504 30604 4568 30608
+rect 34984 30664 35048 30668
+rect 34984 30608 34988 30664
+rect 34988 30608 35044 30664
+rect 35044 30608 35048 30664
+rect 34984 30604 35048 30608
+rect 35064 30664 35128 30668
+rect 35064 30608 35068 30664
+rect 35068 30608 35124 30664
+rect 35124 30608 35128 30664
+rect 35064 30604 35128 30608
+rect 35144 30664 35208 30668
+rect 35144 30608 35148 30664
+rect 35148 30608 35204 30664
+rect 35204 30608 35208 30664
+rect 35144 30604 35208 30608
+rect 35224 30664 35288 30668
+rect 35224 30608 35228 30664
+rect 35228 30608 35284 30664
+rect 35284 30608 35288 30664
+rect 35224 30604 35288 30608
+rect 19624 29998 19688 30002
+rect 19624 29942 19628 29998
+rect 19628 29942 19684 29998
+rect 19684 29942 19688 29998
+rect 19624 29938 19688 29942
+rect 19704 29998 19768 30002
+rect 19704 29942 19708 29998
+rect 19708 29942 19764 29998
+rect 19764 29942 19768 29998
+rect 19704 29938 19768 29942
+rect 19784 29998 19848 30002
+rect 19784 29942 19788 29998
+rect 19788 29942 19844 29998
+rect 19844 29942 19848 29998
+rect 19784 29938 19848 29942
+rect 19864 29998 19928 30002
+rect 19864 29942 19868 29998
+rect 19868 29942 19924 29998
+rect 19924 29942 19928 29998
+rect 19864 29938 19928 29942
+rect 50344 29998 50408 30002
+rect 50344 29942 50348 29998
+rect 50348 29942 50404 29998
+rect 50404 29942 50408 29998
+rect 50344 29938 50408 29942
+rect 50424 29998 50488 30002
+rect 50424 29942 50428 29998
+rect 50428 29942 50484 29998
+rect 50484 29942 50488 29998
+rect 50424 29938 50488 29942
+rect 50504 29998 50568 30002
+rect 50504 29942 50508 29998
+rect 50508 29942 50564 29998
+rect 50564 29942 50568 29998
+rect 50504 29938 50568 29942
+rect 50584 29998 50648 30002
+rect 50584 29942 50588 29998
+rect 50588 29942 50644 29998
+rect 50644 29942 50648 29998
+rect 50584 29938 50648 29942
+rect 4264 29332 4328 29336
+rect 4264 29276 4268 29332
+rect 4268 29276 4324 29332
+rect 4324 29276 4328 29332
+rect 4264 29272 4328 29276
+rect 4344 29332 4408 29336
+rect 4344 29276 4348 29332
+rect 4348 29276 4404 29332
+rect 4404 29276 4408 29332
+rect 4344 29272 4408 29276
+rect 4424 29332 4488 29336
+rect 4424 29276 4428 29332
+rect 4428 29276 4484 29332
+rect 4484 29276 4488 29332
+rect 4424 29272 4488 29276
+rect 4504 29332 4568 29336
+rect 4504 29276 4508 29332
+rect 4508 29276 4564 29332
+rect 4564 29276 4568 29332
+rect 4504 29272 4568 29276
+rect 34984 29332 35048 29336
+rect 34984 29276 34988 29332
+rect 34988 29276 35044 29332
+rect 35044 29276 35048 29332
+rect 34984 29272 35048 29276
+rect 35064 29332 35128 29336
+rect 35064 29276 35068 29332
+rect 35068 29276 35124 29332
+rect 35124 29276 35128 29332
+rect 35064 29272 35128 29276
+rect 35144 29332 35208 29336
+rect 35144 29276 35148 29332
+rect 35148 29276 35204 29332
+rect 35204 29276 35208 29332
+rect 35144 29272 35208 29276
+rect 35224 29332 35288 29336
+rect 35224 29276 35228 29332
+rect 35228 29276 35284 29332
+rect 35284 29276 35288 29332
+rect 35224 29272 35288 29276
+rect 19624 28666 19688 28670
+rect 19624 28610 19628 28666
+rect 19628 28610 19684 28666
+rect 19684 28610 19688 28666
+rect 19624 28606 19688 28610
+rect 19704 28666 19768 28670
+rect 19704 28610 19708 28666
+rect 19708 28610 19764 28666
+rect 19764 28610 19768 28666
+rect 19704 28606 19768 28610
+rect 19784 28666 19848 28670
+rect 19784 28610 19788 28666
+rect 19788 28610 19844 28666
+rect 19844 28610 19848 28666
+rect 19784 28606 19848 28610
+rect 19864 28666 19928 28670
+rect 19864 28610 19868 28666
+rect 19868 28610 19924 28666
+rect 19924 28610 19928 28666
+rect 19864 28606 19928 28610
+rect 50344 28666 50408 28670
+rect 50344 28610 50348 28666
+rect 50348 28610 50404 28666
+rect 50404 28610 50408 28666
+rect 50344 28606 50408 28610
+rect 50424 28666 50488 28670
+rect 50424 28610 50428 28666
+rect 50428 28610 50484 28666
+rect 50484 28610 50488 28666
+rect 50424 28606 50488 28610
+rect 50504 28666 50568 28670
+rect 50504 28610 50508 28666
+rect 50508 28610 50564 28666
+rect 50564 28610 50568 28666
+rect 50504 28606 50568 28610
+rect 50584 28666 50648 28670
+rect 50584 28610 50588 28666
+rect 50588 28610 50644 28666
+rect 50644 28610 50648 28666
+rect 50584 28606 50648 28610
+rect 4264 28000 4328 28004
+rect 4264 27944 4268 28000
+rect 4268 27944 4324 28000
+rect 4324 27944 4328 28000
+rect 4264 27940 4328 27944
+rect 4344 28000 4408 28004
+rect 4344 27944 4348 28000
+rect 4348 27944 4404 28000
+rect 4404 27944 4408 28000
+rect 4344 27940 4408 27944
+rect 4424 28000 4488 28004
+rect 4424 27944 4428 28000
+rect 4428 27944 4484 28000
+rect 4484 27944 4488 28000
+rect 4424 27940 4488 27944
+rect 4504 28000 4568 28004
+rect 4504 27944 4508 28000
+rect 4508 27944 4564 28000
+rect 4564 27944 4568 28000
+rect 4504 27940 4568 27944
+rect 34984 28000 35048 28004
+rect 34984 27944 34988 28000
+rect 34988 27944 35044 28000
+rect 35044 27944 35048 28000
+rect 34984 27940 35048 27944
+rect 35064 28000 35128 28004
+rect 35064 27944 35068 28000
+rect 35068 27944 35124 28000
+rect 35124 27944 35128 28000
+rect 35064 27940 35128 27944
+rect 35144 28000 35208 28004
+rect 35144 27944 35148 28000
+rect 35148 27944 35204 28000
+rect 35204 27944 35208 28000
+rect 35144 27940 35208 27944
+rect 35224 28000 35288 28004
+rect 35224 27944 35228 28000
+rect 35228 27944 35284 28000
+rect 35284 27944 35288 28000
+rect 35224 27940 35288 27944
+rect 19624 27334 19688 27338
+rect 19624 27278 19628 27334
+rect 19628 27278 19684 27334
+rect 19684 27278 19688 27334
+rect 19624 27274 19688 27278
+rect 19704 27334 19768 27338
+rect 19704 27278 19708 27334
+rect 19708 27278 19764 27334
+rect 19764 27278 19768 27334
+rect 19704 27274 19768 27278
+rect 19784 27334 19848 27338
+rect 19784 27278 19788 27334
+rect 19788 27278 19844 27334
+rect 19844 27278 19848 27334
+rect 19784 27274 19848 27278
+rect 19864 27334 19928 27338
+rect 19864 27278 19868 27334
+rect 19868 27278 19924 27334
+rect 19924 27278 19928 27334
+rect 19864 27274 19928 27278
+rect 50344 27334 50408 27338
+rect 50344 27278 50348 27334
+rect 50348 27278 50404 27334
+rect 50404 27278 50408 27334
+rect 50344 27274 50408 27278
+rect 50424 27334 50488 27338
+rect 50424 27278 50428 27334
+rect 50428 27278 50484 27334
+rect 50484 27278 50488 27334
+rect 50424 27274 50488 27278
+rect 50504 27334 50568 27338
+rect 50504 27278 50508 27334
+rect 50508 27278 50564 27334
+rect 50564 27278 50568 27334
+rect 50504 27274 50568 27278
+rect 50584 27334 50648 27338
+rect 50584 27278 50588 27334
+rect 50588 27278 50644 27334
+rect 50644 27278 50648 27334
+rect 50584 27274 50648 27278
+rect 4264 26668 4328 26672
+rect 4264 26612 4268 26668
+rect 4268 26612 4324 26668
+rect 4324 26612 4328 26668
+rect 4264 26608 4328 26612
+rect 4344 26668 4408 26672
+rect 4344 26612 4348 26668
+rect 4348 26612 4404 26668
+rect 4404 26612 4408 26668
+rect 4344 26608 4408 26612
+rect 4424 26668 4488 26672
+rect 4424 26612 4428 26668
+rect 4428 26612 4484 26668
+rect 4484 26612 4488 26668
+rect 4424 26608 4488 26612
+rect 4504 26668 4568 26672
+rect 4504 26612 4508 26668
+rect 4508 26612 4564 26668
+rect 4564 26612 4568 26668
+rect 4504 26608 4568 26612
+rect 34984 26668 35048 26672
+rect 34984 26612 34988 26668
+rect 34988 26612 35044 26668
+rect 35044 26612 35048 26668
+rect 34984 26608 35048 26612
+rect 35064 26668 35128 26672
+rect 35064 26612 35068 26668
+rect 35068 26612 35124 26668
+rect 35124 26612 35128 26668
+rect 35064 26608 35128 26612
+rect 35144 26668 35208 26672
+rect 35144 26612 35148 26668
+rect 35148 26612 35204 26668
+rect 35204 26612 35208 26668
+rect 35144 26608 35208 26612
+rect 35224 26668 35288 26672
+rect 35224 26612 35228 26668
+rect 35228 26612 35284 26668
+rect 35284 26612 35288 26668
+rect 35224 26608 35288 26612
+rect 19624 26002 19688 26006
+rect 19624 25946 19628 26002
+rect 19628 25946 19684 26002
+rect 19684 25946 19688 26002
+rect 19624 25942 19688 25946
+rect 19704 26002 19768 26006
+rect 19704 25946 19708 26002
+rect 19708 25946 19764 26002
+rect 19764 25946 19768 26002
+rect 19704 25942 19768 25946
+rect 19784 26002 19848 26006
+rect 19784 25946 19788 26002
+rect 19788 25946 19844 26002
+rect 19844 25946 19848 26002
+rect 19784 25942 19848 25946
+rect 19864 26002 19928 26006
+rect 19864 25946 19868 26002
+rect 19868 25946 19924 26002
+rect 19924 25946 19928 26002
+rect 19864 25942 19928 25946
+rect 50344 26002 50408 26006
+rect 50344 25946 50348 26002
+rect 50348 25946 50404 26002
+rect 50404 25946 50408 26002
+rect 50344 25942 50408 25946
+rect 50424 26002 50488 26006
+rect 50424 25946 50428 26002
+rect 50428 25946 50484 26002
+rect 50484 25946 50488 26002
+rect 50424 25942 50488 25946
+rect 50504 26002 50568 26006
+rect 50504 25946 50508 26002
+rect 50508 25946 50564 26002
+rect 50564 25946 50568 26002
+rect 50504 25942 50568 25946
+rect 50584 26002 50648 26006
+rect 50584 25946 50588 26002
+rect 50588 25946 50644 26002
+rect 50644 25946 50648 26002
+rect 50584 25942 50648 25946
+rect 4264 25336 4328 25340
+rect 4264 25280 4268 25336
+rect 4268 25280 4324 25336
+rect 4324 25280 4328 25336
+rect 4264 25276 4328 25280
+rect 4344 25336 4408 25340
+rect 4344 25280 4348 25336
+rect 4348 25280 4404 25336
+rect 4404 25280 4408 25336
+rect 4344 25276 4408 25280
+rect 4424 25336 4488 25340
+rect 4424 25280 4428 25336
+rect 4428 25280 4484 25336
+rect 4484 25280 4488 25336
+rect 4424 25276 4488 25280
+rect 4504 25336 4568 25340
+rect 4504 25280 4508 25336
+rect 4508 25280 4564 25336
+rect 4564 25280 4568 25336
+rect 4504 25276 4568 25280
+rect 34984 25336 35048 25340
+rect 34984 25280 34988 25336
+rect 34988 25280 35044 25336
+rect 35044 25280 35048 25336
+rect 34984 25276 35048 25280
+rect 35064 25336 35128 25340
+rect 35064 25280 35068 25336
+rect 35068 25280 35124 25336
+rect 35124 25280 35128 25336
+rect 35064 25276 35128 25280
+rect 35144 25336 35208 25340
+rect 35144 25280 35148 25336
+rect 35148 25280 35204 25336
+rect 35204 25280 35208 25336
+rect 35144 25276 35208 25280
+rect 35224 25336 35288 25340
+rect 35224 25280 35228 25336
+rect 35228 25280 35284 25336
+rect 35284 25280 35288 25336
+rect 35224 25276 35288 25280
+rect 19624 24670 19688 24674
+rect 19624 24614 19628 24670
+rect 19628 24614 19684 24670
+rect 19684 24614 19688 24670
+rect 19624 24610 19688 24614
+rect 19704 24670 19768 24674
+rect 19704 24614 19708 24670
+rect 19708 24614 19764 24670
+rect 19764 24614 19768 24670
+rect 19704 24610 19768 24614
+rect 19784 24670 19848 24674
+rect 19784 24614 19788 24670
+rect 19788 24614 19844 24670
+rect 19844 24614 19848 24670
+rect 19784 24610 19848 24614
+rect 19864 24670 19928 24674
+rect 19864 24614 19868 24670
+rect 19868 24614 19924 24670
+rect 19924 24614 19928 24670
+rect 19864 24610 19928 24614
+rect 50344 24670 50408 24674
+rect 50344 24614 50348 24670
+rect 50348 24614 50404 24670
+rect 50404 24614 50408 24670
+rect 50344 24610 50408 24614
+rect 50424 24670 50488 24674
+rect 50424 24614 50428 24670
+rect 50428 24614 50484 24670
+rect 50484 24614 50488 24670
+rect 50424 24610 50488 24614
+rect 50504 24670 50568 24674
+rect 50504 24614 50508 24670
+rect 50508 24614 50564 24670
+rect 50564 24614 50568 24670
+rect 50504 24610 50568 24614
+rect 50584 24670 50648 24674
+rect 50584 24614 50588 24670
+rect 50588 24614 50644 24670
+rect 50644 24614 50648 24670
+rect 50584 24610 50648 24614
+rect 4264 24004 4328 24008
+rect 4264 23948 4268 24004
+rect 4268 23948 4324 24004
+rect 4324 23948 4328 24004
+rect 4264 23944 4328 23948
+rect 4344 24004 4408 24008
+rect 4344 23948 4348 24004
+rect 4348 23948 4404 24004
+rect 4404 23948 4408 24004
+rect 4344 23944 4408 23948
+rect 4424 24004 4488 24008
+rect 4424 23948 4428 24004
+rect 4428 23948 4484 24004
+rect 4484 23948 4488 24004
+rect 4424 23944 4488 23948
+rect 4504 24004 4568 24008
+rect 4504 23948 4508 24004
+rect 4508 23948 4564 24004
+rect 4564 23948 4568 24004
+rect 4504 23944 4568 23948
+rect 34984 24004 35048 24008
+rect 34984 23948 34988 24004
+rect 34988 23948 35044 24004
+rect 35044 23948 35048 24004
+rect 34984 23944 35048 23948
+rect 35064 24004 35128 24008
+rect 35064 23948 35068 24004
+rect 35068 23948 35124 24004
+rect 35124 23948 35128 24004
+rect 35064 23944 35128 23948
+rect 35144 24004 35208 24008
+rect 35144 23948 35148 24004
+rect 35148 23948 35204 24004
+rect 35204 23948 35208 24004
+rect 35144 23944 35208 23948
+rect 35224 24004 35288 24008
+rect 35224 23948 35228 24004
+rect 35228 23948 35284 24004
+rect 35284 23948 35288 24004
+rect 35224 23944 35288 23948
+rect 19624 23338 19688 23342
+rect 19624 23282 19628 23338
+rect 19628 23282 19684 23338
+rect 19684 23282 19688 23338
+rect 19624 23278 19688 23282
+rect 19704 23338 19768 23342
+rect 19704 23282 19708 23338
+rect 19708 23282 19764 23338
+rect 19764 23282 19768 23338
+rect 19704 23278 19768 23282
+rect 19784 23338 19848 23342
+rect 19784 23282 19788 23338
+rect 19788 23282 19844 23338
+rect 19844 23282 19848 23338
+rect 19784 23278 19848 23282
+rect 19864 23338 19928 23342
+rect 19864 23282 19868 23338
+rect 19868 23282 19924 23338
+rect 19924 23282 19928 23338
+rect 19864 23278 19928 23282
+rect 50344 23338 50408 23342
+rect 50344 23282 50348 23338
+rect 50348 23282 50404 23338
+rect 50404 23282 50408 23338
+rect 50344 23278 50408 23282
+rect 50424 23338 50488 23342
+rect 50424 23282 50428 23338
+rect 50428 23282 50484 23338
+rect 50484 23282 50488 23338
+rect 50424 23278 50488 23282
+rect 50504 23338 50568 23342
+rect 50504 23282 50508 23338
+rect 50508 23282 50564 23338
+rect 50564 23282 50568 23338
+rect 50504 23278 50568 23282
+rect 50584 23338 50648 23342
+rect 50584 23282 50588 23338
+rect 50588 23282 50644 23338
+rect 50644 23282 50648 23338
+rect 50584 23278 50648 23282
+rect 4264 22672 4328 22676
+rect 4264 22616 4268 22672
+rect 4268 22616 4324 22672
+rect 4324 22616 4328 22672
+rect 4264 22612 4328 22616
+rect 4344 22672 4408 22676
+rect 4344 22616 4348 22672
+rect 4348 22616 4404 22672
+rect 4404 22616 4408 22672
+rect 4344 22612 4408 22616
+rect 4424 22672 4488 22676
+rect 4424 22616 4428 22672
+rect 4428 22616 4484 22672
+rect 4484 22616 4488 22672
+rect 4424 22612 4488 22616
+rect 4504 22672 4568 22676
+rect 4504 22616 4508 22672
+rect 4508 22616 4564 22672
+rect 4564 22616 4568 22672
+rect 4504 22612 4568 22616
+rect 34984 22672 35048 22676
+rect 34984 22616 34988 22672
+rect 34988 22616 35044 22672
+rect 35044 22616 35048 22672
+rect 34984 22612 35048 22616
+rect 35064 22672 35128 22676
+rect 35064 22616 35068 22672
+rect 35068 22616 35124 22672
+rect 35124 22616 35128 22672
+rect 35064 22612 35128 22616
+rect 35144 22672 35208 22676
+rect 35144 22616 35148 22672
+rect 35148 22616 35204 22672
+rect 35204 22616 35208 22672
+rect 35144 22612 35208 22616
+rect 35224 22672 35288 22676
+rect 35224 22616 35228 22672
+rect 35228 22616 35284 22672
+rect 35284 22616 35288 22672
+rect 35224 22612 35288 22616
+rect 19624 22006 19688 22010
+rect 19624 21950 19628 22006
+rect 19628 21950 19684 22006
+rect 19684 21950 19688 22006
+rect 19624 21946 19688 21950
+rect 19704 22006 19768 22010
+rect 19704 21950 19708 22006
+rect 19708 21950 19764 22006
+rect 19764 21950 19768 22006
+rect 19704 21946 19768 21950
+rect 19784 22006 19848 22010
+rect 19784 21950 19788 22006
+rect 19788 21950 19844 22006
+rect 19844 21950 19848 22006
+rect 19784 21946 19848 21950
+rect 19864 22006 19928 22010
+rect 19864 21950 19868 22006
+rect 19868 21950 19924 22006
+rect 19924 21950 19928 22006
+rect 19864 21946 19928 21950
+rect 50344 22006 50408 22010
+rect 50344 21950 50348 22006
+rect 50348 21950 50404 22006
+rect 50404 21950 50408 22006
+rect 50344 21946 50408 21950
+rect 50424 22006 50488 22010
+rect 50424 21950 50428 22006
+rect 50428 21950 50484 22006
+rect 50484 21950 50488 22006
+rect 50424 21946 50488 21950
+rect 50504 22006 50568 22010
+rect 50504 21950 50508 22006
+rect 50508 21950 50564 22006
+rect 50564 21950 50568 22006
+rect 50504 21946 50568 21950
+rect 50584 22006 50648 22010
+rect 50584 21950 50588 22006
+rect 50588 21950 50644 22006
+rect 50644 21950 50648 22006
+rect 50584 21946 50648 21950
+rect 4264 21340 4328 21344
+rect 4264 21284 4268 21340
+rect 4268 21284 4324 21340
+rect 4324 21284 4328 21340
+rect 4264 21280 4328 21284
+rect 4344 21340 4408 21344
+rect 4344 21284 4348 21340
+rect 4348 21284 4404 21340
+rect 4404 21284 4408 21340
+rect 4344 21280 4408 21284
+rect 4424 21340 4488 21344
+rect 4424 21284 4428 21340
+rect 4428 21284 4484 21340
+rect 4484 21284 4488 21340
+rect 4424 21280 4488 21284
+rect 4504 21340 4568 21344
+rect 4504 21284 4508 21340
+rect 4508 21284 4564 21340
+rect 4564 21284 4568 21340
+rect 4504 21280 4568 21284
+rect 34984 21340 35048 21344
+rect 34984 21284 34988 21340
+rect 34988 21284 35044 21340
+rect 35044 21284 35048 21340
+rect 34984 21280 35048 21284
+rect 35064 21340 35128 21344
+rect 35064 21284 35068 21340
+rect 35068 21284 35124 21340
+rect 35124 21284 35128 21340
+rect 35064 21280 35128 21284
+rect 35144 21340 35208 21344
+rect 35144 21284 35148 21340
+rect 35148 21284 35204 21340
+rect 35204 21284 35208 21340
+rect 35144 21280 35208 21284
+rect 35224 21340 35288 21344
+rect 35224 21284 35228 21340
+rect 35228 21284 35284 21340
+rect 35284 21284 35288 21340
+rect 35224 21280 35288 21284
+rect 19624 20674 19688 20678
+rect 19624 20618 19628 20674
+rect 19628 20618 19684 20674
+rect 19684 20618 19688 20674
+rect 19624 20614 19688 20618
+rect 19704 20674 19768 20678
+rect 19704 20618 19708 20674
+rect 19708 20618 19764 20674
+rect 19764 20618 19768 20674
+rect 19704 20614 19768 20618
+rect 19784 20674 19848 20678
+rect 19784 20618 19788 20674
+rect 19788 20618 19844 20674
+rect 19844 20618 19848 20674
+rect 19784 20614 19848 20618
+rect 19864 20674 19928 20678
+rect 19864 20618 19868 20674
+rect 19868 20618 19924 20674
+rect 19924 20618 19928 20674
+rect 19864 20614 19928 20618
+rect 50344 20674 50408 20678
+rect 50344 20618 50348 20674
+rect 50348 20618 50404 20674
+rect 50404 20618 50408 20674
+rect 50344 20614 50408 20618
+rect 50424 20674 50488 20678
+rect 50424 20618 50428 20674
+rect 50428 20618 50484 20674
+rect 50484 20618 50488 20674
+rect 50424 20614 50488 20618
+rect 50504 20674 50568 20678
+rect 50504 20618 50508 20674
+rect 50508 20618 50564 20674
+rect 50564 20618 50568 20674
+rect 50504 20614 50568 20618
+rect 50584 20674 50648 20678
+rect 50584 20618 50588 20674
+rect 50588 20618 50644 20674
+rect 50644 20618 50648 20674
+rect 50584 20614 50648 20618
+rect 4264 20008 4328 20012
+rect 4264 19952 4268 20008
+rect 4268 19952 4324 20008
+rect 4324 19952 4328 20008
+rect 4264 19948 4328 19952
+rect 4344 20008 4408 20012
+rect 4344 19952 4348 20008
+rect 4348 19952 4404 20008
+rect 4404 19952 4408 20008
+rect 4344 19948 4408 19952
+rect 4424 20008 4488 20012
+rect 4424 19952 4428 20008
+rect 4428 19952 4484 20008
+rect 4484 19952 4488 20008
+rect 4424 19948 4488 19952
+rect 4504 20008 4568 20012
+rect 4504 19952 4508 20008
+rect 4508 19952 4564 20008
+rect 4564 19952 4568 20008
+rect 4504 19948 4568 19952
+rect 34984 20008 35048 20012
+rect 34984 19952 34988 20008
+rect 34988 19952 35044 20008
+rect 35044 19952 35048 20008
+rect 34984 19948 35048 19952
+rect 35064 20008 35128 20012
+rect 35064 19952 35068 20008
+rect 35068 19952 35124 20008
+rect 35124 19952 35128 20008
+rect 35064 19948 35128 19952
+rect 35144 20008 35208 20012
+rect 35144 19952 35148 20008
+rect 35148 19952 35204 20008
+rect 35204 19952 35208 20008
+rect 35144 19948 35208 19952
+rect 35224 20008 35288 20012
+rect 35224 19952 35228 20008
+rect 35228 19952 35284 20008
+rect 35284 19952 35288 20008
+rect 35224 19948 35288 19952
+rect 19624 19342 19688 19346
+rect 19624 19286 19628 19342
+rect 19628 19286 19684 19342
+rect 19684 19286 19688 19342
+rect 19624 19282 19688 19286
+rect 19704 19342 19768 19346
+rect 19704 19286 19708 19342
+rect 19708 19286 19764 19342
+rect 19764 19286 19768 19342
+rect 19704 19282 19768 19286
+rect 19784 19342 19848 19346
+rect 19784 19286 19788 19342
+rect 19788 19286 19844 19342
+rect 19844 19286 19848 19342
+rect 19784 19282 19848 19286
+rect 19864 19342 19928 19346
+rect 19864 19286 19868 19342
+rect 19868 19286 19924 19342
+rect 19924 19286 19928 19342
+rect 19864 19282 19928 19286
+rect 50344 19342 50408 19346
+rect 50344 19286 50348 19342
+rect 50348 19286 50404 19342
+rect 50404 19286 50408 19342
+rect 50344 19282 50408 19286
+rect 50424 19342 50488 19346
+rect 50424 19286 50428 19342
+rect 50428 19286 50484 19342
+rect 50484 19286 50488 19342
+rect 50424 19282 50488 19286
+rect 50504 19342 50568 19346
+rect 50504 19286 50508 19342
+rect 50508 19286 50564 19342
+rect 50564 19286 50568 19342
+rect 50504 19282 50568 19286
+rect 50584 19342 50648 19346
+rect 50584 19286 50588 19342
+rect 50588 19286 50644 19342
+rect 50644 19286 50648 19342
+rect 50584 19282 50648 19286
+rect 4264 18676 4328 18680
+rect 4264 18620 4268 18676
+rect 4268 18620 4324 18676
+rect 4324 18620 4328 18676
+rect 4264 18616 4328 18620
+rect 4344 18676 4408 18680
+rect 4344 18620 4348 18676
+rect 4348 18620 4404 18676
+rect 4404 18620 4408 18676
+rect 4344 18616 4408 18620
+rect 4424 18676 4488 18680
+rect 4424 18620 4428 18676
+rect 4428 18620 4484 18676
+rect 4484 18620 4488 18676
+rect 4424 18616 4488 18620
+rect 4504 18676 4568 18680
+rect 4504 18620 4508 18676
+rect 4508 18620 4564 18676
+rect 4564 18620 4568 18676
+rect 4504 18616 4568 18620
+rect 34984 18676 35048 18680
+rect 34984 18620 34988 18676
+rect 34988 18620 35044 18676
+rect 35044 18620 35048 18676
+rect 34984 18616 35048 18620
+rect 35064 18676 35128 18680
+rect 35064 18620 35068 18676
+rect 35068 18620 35124 18676
+rect 35124 18620 35128 18676
+rect 35064 18616 35128 18620
+rect 35144 18676 35208 18680
+rect 35144 18620 35148 18676
+rect 35148 18620 35204 18676
+rect 35204 18620 35208 18676
+rect 35144 18616 35208 18620
+rect 35224 18676 35288 18680
+rect 35224 18620 35228 18676
+rect 35228 18620 35284 18676
+rect 35284 18620 35288 18676
+rect 35224 18616 35288 18620
+rect 19624 18010 19688 18014
+rect 19624 17954 19628 18010
+rect 19628 17954 19684 18010
+rect 19684 17954 19688 18010
+rect 19624 17950 19688 17954
+rect 19704 18010 19768 18014
+rect 19704 17954 19708 18010
+rect 19708 17954 19764 18010
+rect 19764 17954 19768 18010
+rect 19704 17950 19768 17954
+rect 19784 18010 19848 18014
+rect 19784 17954 19788 18010
+rect 19788 17954 19844 18010
+rect 19844 17954 19848 18010
+rect 19784 17950 19848 17954
+rect 19864 18010 19928 18014
+rect 19864 17954 19868 18010
+rect 19868 17954 19924 18010
+rect 19924 17954 19928 18010
+rect 19864 17950 19928 17954
+rect 50344 18010 50408 18014
+rect 50344 17954 50348 18010
+rect 50348 17954 50404 18010
+rect 50404 17954 50408 18010
+rect 50344 17950 50408 17954
+rect 50424 18010 50488 18014
+rect 50424 17954 50428 18010
+rect 50428 17954 50484 18010
+rect 50484 17954 50488 18010
+rect 50424 17950 50488 17954
+rect 50504 18010 50568 18014
+rect 50504 17954 50508 18010
+rect 50508 17954 50564 18010
+rect 50564 17954 50568 18010
+rect 50504 17950 50568 17954
+rect 50584 18010 50648 18014
+rect 50584 17954 50588 18010
+rect 50588 17954 50644 18010
+rect 50644 17954 50648 18010
+rect 50584 17950 50648 17954
+rect 4264 17344 4328 17348
+rect 4264 17288 4268 17344
+rect 4268 17288 4324 17344
+rect 4324 17288 4328 17344
+rect 4264 17284 4328 17288
+rect 4344 17344 4408 17348
+rect 4344 17288 4348 17344
+rect 4348 17288 4404 17344
+rect 4404 17288 4408 17344
+rect 4344 17284 4408 17288
+rect 4424 17344 4488 17348
+rect 4424 17288 4428 17344
+rect 4428 17288 4484 17344
+rect 4484 17288 4488 17344
+rect 4424 17284 4488 17288
+rect 4504 17344 4568 17348
+rect 4504 17288 4508 17344
+rect 4508 17288 4564 17344
+rect 4564 17288 4568 17344
+rect 4504 17284 4568 17288
+rect 34984 17344 35048 17348
+rect 34984 17288 34988 17344
+rect 34988 17288 35044 17344
+rect 35044 17288 35048 17344
+rect 34984 17284 35048 17288
+rect 35064 17344 35128 17348
+rect 35064 17288 35068 17344
+rect 35068 17288 35124 17344
+rect 35124 17288 35128 17344
+rect 35064 17284 35128 17288
+rect 35144 17344 35208 17348
+rect 35144 17288 35148 17344
+rect 35148 17288 35204 17344
+rect 35204 17288 35208 17344
+rect 35144 17284 35208 17288
+rect 35224 17344 35288 17348
+rect 35224 17288 35228 17344
+rect 35228 17288 35284 17344
+rect 35284 17288 35288 17344
+rect 35224 17284 35288 17288
+rect 19624 16678 19688 16682
+rect 19624 16622 19628 16678
+rect 19628 16622 19684 16678
+rect 19684 16622 19688 16678
+rect 19624 16618 19688 16622
+rect 19704 16678 19768 16682
+rect 19704 16622 19708 16678
+rect 19708 16622 19764 16678
+rect 19764 16622 19768 16678
+rect 19704 16618 19768 16622
+rect 19784 16678 19848 16682
+rect 19784 16622 19788 16678
+rect 19788 16622 19844 16678
+rect 19844 16622 19848 16678
+rect 19784 16618 19848 16622
+rect 19864 16678 19928 16682
+rect 19864 16622 19868 16678
+rect 19868 16622 19924 16678
+rect 19924 16622 19928 16678
+rect 19864 16618 19928 16622
+rect 50344 16678 50408 16682
+rect 50344 16622 50348 16678
+rect 50348 16622 50404 16678
+rect 50404 16622 50408 16678
+rect 50344 16618 50408 16622
+rect 50424 16678 50488 16682
+rect 50424 16622 50428 16678
+rect 50428 16622 50484 16678
+rect 50484 16622 50488 16678
+rect 50424 16618 50488 16622
+rect 50504 16678 50568 16682
+rect 50504 16622 50508 16678
+rect 50508 16622 50564 16678
+rect 50564 16622 50568 16678
+rect 50504 16618 50568 16622
+rect 50584 16678 50648 16682
+rect 50584 16622 50588 16678
+rect 50588 16622 50644 16678
+rect 50644 16622 50648 16678
+rect 50584 16618 50648 16622
+rect 4264 16012 4328 16016
+rect 4264 15956 4268 16012
+rect 4268 15956 4324 16012
+rect 4324 15956 4328 16012
+rect 4264 15952 4328 15956
+rect 4344 16012 4408 16016
+rect 4344 15956 4348 16012
+rect 4348 15956 4404 16012
+rect 4404 15956 4408 16012
+rect 4344 15952 4408 15956
+rect 4424 16012 4488 16016
+rect 4424 15956 4428 16012
+rect 4428 15956 4484 16012
+rect 4484 15956 4488 16012
+rect 4424 15952 4488 15956
+rect 4504 16012 4568 16016
+rect 4504 15956 4508 16012
+rect 4508 15956 4564 16012
+rect 4564 15956 4568 16012
+rect 4504 15952 4568 15956
+rect 34984 16012 35048 16016
+rect 34984 15956 34988 16012
+rect 34988 15956 35044 16012
+rect 35044 15956 35048 16012
+rect 34984 15952 35048 15956
+rect 35064 16012 35128 16016
+rect 35064 15956 35068 16012
+rect 35068 15956 35124 16012
+rect 35124 15956 35128 16012
+rect 35064 15952 35128 15956
+rect 35144 16012 35208 16016
+rect 35144 15956 35148 16012
+rect 35148 15956 35204 16012
+rect 35204 15956 35208 16012
+rect 35144 15952 35208 15956
+rect 35224 16012 35288 16016
+rect 35224 15956 35228 16012
+rect 35228 15956 35284 16012
+rect 35284 15956 35288 16012
+rect 35224 15952 35288 15956
+rect 19624 15346 19688 15350
+rect 19624 15290 19628 15346
+rect 19628 15290 19684 15346
+rect 19684 15290 19688 15346
+rect 19624 15286 19688 15290
+rect 19704 15346 19768 15350
+rect 19704 15290 19708 15346
+rect 19708 15290 19764 15346
+rect 19764 15290 19768 15346
+rect 19704 15286 19768 15290
+rect 19784 15346 19848 15350
+rect 19784 15290 19788 15346
+rect 19788 15290 19844 15346
+rect 19844 15290 19848 15346
+rect 19784 15286 19848 15290
+rect 19864 15346 19928 15350
+rect 19864 15290 19868 15346
+rect 19868 15290 19924 15346
+rect 19924 15290 19928 15346
+rect 19864 15286 19928 15290
+rect 50344 15346 50408 15350
+rect 50344 15290 50348 15346
+rect 50348 15290 50404 15346
+rect 50404 15290 50408 15346
+rect 50344 15286 50408 15290
+rect 50424 15346 50488 15350
+rect 50424 15290 50428 15346
+rect 50428 15290 50484 15346
+rect 50484 15290 50488 15346
+rect 50424 15286 50488 15290
+rect 50504 15346 50568 15350
+rect 50504 15290 50508 15346
+rect 50508 15290 50564 15346
+rect 50564 15290 50568 15346
+rect 50504 15286 50568 15290
+rect 50584 15346 50648 15350
+rect 50584 15290 50588 15346
+rect 50588 15290 50644 15346
+rect 50644 15290 50648 15346
+rect 50584 15286 50648 15290
+rect 4264 14680 4328 14684
+rect 4264 14624 4268 14680
+rect 4268 14624 4324 14680
+rect 4324 14624 4328 14680
+rect 4264 14620 4328 14624
+rect 4344 14680 4408 14684
+rect 4344 14624 4348 14680
+rect 4348 14624 4404 14680
+rect 4404 14624 4408 14680
+rect 4344 14620 4408 14624
+rect 4424 14680 4488 14684
+rect 4424 14624 4428 14680
+rect 4428 14624 4484 14680
+rect 4484 14624 4488 14680
+rect 4424 14620 4488 14624
+rect 4504 14680 4568 14684
+rect 4504 14624 4508 14680
+rect 4508 14624 4564 14680
+rect 4564 14624 4568 14680
+rect 4504 14620 4568 14624
+rect 34984 14680 35048 14684
+rect 34984 14624 34988 14680
+rect 34988 14624 35044 14680
+rect 35044 14624 35048 14680
+rect 34984 14620 35048 14624
+rect 35064 14680 35128 14684
+rect 35064 14624 35068 14680
+rect 35068 14624 35124 14680
+rect 35124 14624 35128 14680
+rect 35064 14620 35128 14624
+rect 35144 14680 35208 14684
+rect 35144 14624 35148 14680
+rect 35148 14624 35204 14680
+rect 35204 14624 35208 14680
+rect 35144 14620 35208 14624
+rect 35224 14680 35288 14684
+rect 35224 14624 35228 14680
+rect 35228 14624 35284 14680
+rect 35284 14624 35288 14680
+rect 35224 14620 35288 14624
+rect 19624 14014 19688 14018
+rect 19624 13958 19628 14014
+rect 19628 13958 19684 14014
+rect 19684 13958 19688 14014
+rect 19624 13954 19688 13958
+rect 19704 14014 19768 14018
+rect 19704 13958 19708 14014
+rect 19708 13958 19764 14014
+rect 19764 13958 19768 14014
+rect 19704 13954 19768 13958
+rect 19784 14014 19848 14018
+rect 19784 13958 19788 14014
+rect 19788 13958 19844 14014
+rect 19844 13958 19848 14014
+rect 19784 13954 19848 13958
+rect 19864 14014 19928 14018
+rect 19864 13958 19868 14014
+rect 19868 13958 19924 14014
+rect 19924 13958 19928 14014
+rect 19864 13954 19928 13958
+rect 50344 14014 50408 14018
+rect 50344 13958 50348 14014
+rect 50348 13958 50404 14014
+rect 50404 13958 50408 14014
+rect 50344 13954 50408 13958
+rect 50424 14014 50488 14018
+rect 50424 13958 50428 14014
+rect 50428 13958 50484 14014
+rect 50484 13958 50488 14014
+rect 50424 13954 50488 13958
+rect 50504 14014 50568 14018
+rect 50504 13958 50508 14014
+rect 50508 13958 50564 14014
+rect 50564 13958 50568 14014
+rect 50504 13954 50568 13958
+rect 50584 14014 50648 14018
+rect 50584 13958 50588 14014
+rect 50588 13958 50644 14014
+rect 50644 13958 50648 14014
+rect 50584 13954 50648 13958
+rect 4264 13348 4328 13352
+rect 4264 13292 4268 13348
+rect 4268 13292 4324 13348
+rect 4324 13292 4328 13348
+rect 4264 13288 4328 13292
+rect 4344 13348 4408 13352
+rect 4344 13292 4348 13348
+rect 4348 13292 4404 13348
+rect 4404 13292 4408 13348
+rect 4344 13288 4408 13292
+rect 4424 13348 4488 13352
+rect 4424 13292 4428 13348
+rect 4428 13292 4484 13348
+rect 4484 13292 4488 13348
+rect 4424 13288 4488 13292
+rect 4504 13348 4568 13352
+rect 4504 13292 4508 13348
+rect 4508 13292 4564 13348
+rect 4564 13292 4568 13348
+rect 4504 13288 4568 13292
+rect 34984 13348 35048 13352
+rect 34984 13292 34988 13348
+rect 34988 13292 35044 13348
+rect 35044 13292 35048 13348
+rect 34984 13288 35048 13292
+rect 35064 13348 35128 13352
+rect 35064 13292 35068 13348
+rect 35068 13292 35124 13348
+rect 35124 13292 35128 13348
+rect 35064 13288 35128 13292
+rect 35144 13348 35208 13352
+rect 35144 13292 35148 13348
+rect 35148 13292 35204 13348
+rect 35204 13292 35208 13348
+rect 35144 13288 35208 13292
+rect 35224 13348 35288 13352
+rect 35224 13292 35228 13348
+rect 35228 13292 35284 13348
+rect 35284 13292 35288 13348
+rect 35224 13288 35288 13292
+rect 19624 12682 19688 12686
+rect 19624 12626 19628 12682
+rect 19628 12626 19684 12682
+rect 19684 12626 19688 12682
+rect 19624 12622 19688 12626
+rect 19704 12682 19768 12686
+rect 19704 12626 19708 12682
+rect 19708 12626 19764 12682
+rect 19764 12626 19768 12682
+rect 19704 12622 19768 12626
+rect 19784 12682 19848 12686
+rect 19784 12626 19788 12682
+rect 19788 12626 19844 12682
+rect 19844 12626 19848 12682
+rect 19784 12622 19848 12626
+rect 19864 12682 19928 12686
+rect 19864 12626 19868 12682
+rect 19868 12626 19924 12682
+rect 19924 12626 19928 12682
+rect 19864 12622 19928 12626
+rect 50344 12682 50408 12686
+rect 50344 12626 50348 12682
+rect 50348 12626 50404 12682
+rect 50404 12626 50408 12682
+rect 50344 12622 50408 12626
+rect 50424 12682 50488 12686
+rect 50424 12626 50428 12682
+rect 50428 12626 50484 12682
+rect 50484 12626 50488 12682
+rect 50424 12622 50488 12626
+rect 50504 12682 50568 12686
+rect 50504 12626 50508 12682
+rect 50508 12626 50564 12682
+rect 50564 12626 50568 12682
+rect 50504 12622 50568 12626
+rect 50584 12682 50648 12686
+rect 50584 12626 50588 12682
+rect 50588 12626 50644 12682
+rect 50644 12626 50648 12682
+rect 50584 12622 50648 12626
+rect 4264 12016 4328 12020
+rect 4264 11960 4268 12016
+rect 4268 11960 4324 12016
+rect 4324 11960 4328 12016
+rect 4264 11956 4328 11960
+rect 4344 12016 4408 12020
+rect 4344 11960 4348 12016
+rect 4348 11960 4404 12016
+rect 4404 11960 4408 12016
+rect 4344 11956 4408 11960
+rect 4424 12016 4488 12020
+rect 4424 11960 4428 12016
+rect 4428 11960 4484 12016
+rect 4484 11960 4488 12016
+rect 4424 11956 4488 11960
+rect 4504 12016 4568 12020
+rect 4504 11960 4508 12016
+rect 4508 11960 4564 12016
+rect 4564 11960 4568 12016
+rect 4504 11956 4568 11960
+rect 34984 12016 35048 12020
+rect 34984 11960 34988 12016
+rect 34988 11960 35044 12016
+rect 35044 11960 35048 12016
+rect 34984 11956 35048 11960
+rect 35064 12016 35128 12020
+rect 35064 11960 35068 12016
+rect 35068 11960 35124 12016
+rect 35124 11960 35128 12016
+rect 35064 11956 35128 11960
+rect 35144 12016 35208 12020
+rect 35144 11960 35148 12016
+rect 35148 11960 35204 12016
+rect 35204 11960 35208 12016
+rect 35144 11956 35208 11960
+rect 35224 12016 35288 12020
+rect 35224 11960 35228 12016
+rect 35228 11960 35284 12016
+rect 35284 11960 35288 12016
+rect 35224 11956 35288 11960
+rect 19624 11350 19688 11354
+rect 19624 11294 19628 11350
+rect 19628 11294 19684 11350
+rect 19684 11294 19688 11350
+rect 19624 11290 19688 11294
+rect 19704 11350 19768 11354
+rect 19704 11294 19708 11350
+rect 19708 11294 19764 11350
+rect 19764 11294 19768 11350
+rect 19704 11290 19768 11294
+rect 19784 11350 19848 11354
+rect 19784 11294 19788 11350
+rect 19788 11294 19844 11350
+rect 19844 11294 19848 11350
+rect 19784 11290 19848 11294
+rect 19864 11350 19928 11354
+rect 19864 11294 19868 11350
+rect 19868 11294 19924 11350
+rect 19924 11294 19928 11350
+rect 19864 11290 19928 11294
+rect 50344 11350 50408 11354
+rect 50344 11294 50348 11350
+rect 50348 11294 50404 11350
+rect 50404 11294 50408 11350
+rect 50344 11290 50408 11294
+rect 50424 11350 50488 11354
+rect 50424 11294 50428 11350
+rect 50428 11294 50484 11350
+rect 50484 11294 50488 11350
+rect 50424 11290 50488 11294
+rect 50504 11350 50568 11354
+rect 50504 11294 50508 11350
+rect 50508 11294 50564 11350
+rect 50564 11294 50568 11350
+rect 50504 11290 50568 11294
+rect 50584 11350 50648 11354
+rect 50584 11294 50588 11350
+rect 50588 11294 50644 11350
+rect 50644 11294 50648 11350
+rect 50584 11290 50648 11294
+rect 4264 10684 4328 10688
+rect 4264 10628 4268 10684
+rect 4268 10628 4324 10684
+rect 4324 10628 4328 10684
+rect 4264 10624 4328 10628
+rect 4344 10684 4408 10688
+rect 4344 10628 4348 10684
+rect 4348 10628 4404 10684
+rect 4404 10628 4408 10684
+rect 4344 10624 4408 10628
+rect 4424 10684 4488 10688
+rect 4424 10628 4428 10684
+rect 4428 10628 4484 10684
+rect 4484 10628 4488 10684
+rect 4424 10624 4488 10628
+rect 4504 10684 4568 10688
+rect 4504 10628 4508 10684
+rect 4508 10628 4564 10684
+rect 4564 10628 4568 10684
+rect 4504 10624 4568 10628
+rect 34984 10684 35048 10688
+rect 34984 10628 34988 10684
+rect 34988 10628 35044 10684
+rect 35044 10628 35048 10684
+rect 34984 10624 35048 10628
+rect 35064 10684 35128 10688
+rect 35064 10628 35068 10684
+rect 35068 10628 35124 10684
+rect 35124 10628 35128 10684
+rect 35064 10624 35128 10628
+rect 35144 10684 35208 10688
+rect 35144 10628 35148 10684
+rect 35148 10628 35204 10684
+rect 35204 10628 35208 10684
+rect 35144 10624 35208 10628
+rect 35224 10684 35288 10688
+rect 35224 10628 35228 10684
+rect 35228 10628 35284 10684
+rect 35284 10628 35288 10684
+rect 35224 10624 35288 10628
+rect 19624 10018 19688 10022
+rect 19624 9962 19628 10018
+rect 19628 9962 19684 10018
+rect 19684 9962 19688 10018
+rect 19624 9958 19688 9962
+rect 19704 10018 19768 10022
+rect 19704 9962 19708 10018
+rect 19708 9962 19764 10018
+rect 19764 9962 19768 10018
+rect 19704 9958 19768 9962
+rect 19784 10018 19848 10022
+rect 19784 9962 19788 10018
+rect 19788 9962 19844 10018
+rect 19844 9962 19848 10018
+rect 19784 9958 19848 9962
+rect 19864 10018 19928 10022
+rect 19864 9962 19868 10018
+rect 19868 9962 19924 10018
+rect 19924 9962 19928 10018
+rect 19864 9958 19928 9962
+rect 50344 10018 50408 10022
+rect 50344 9962 50348 10018
+rect 50348 9962 50404 10018
+rect 50404 9962 50408 10018
+rect 50344 9958 50408 9962
+rect 50424 10018 50488 10022
+rect 50424 9962 50428 10018
+rect 50428 9962 50484 10018
+rect 50484 9962 50488 10018
+rect 50424 9958 50488 9962
+rect 50504 10018 50568 10022
+rect 50504 9962 50508 10018
+rect 50508 9962 50564 10018
+rect 50564 9962 50568 10018
+rect 50504 9958 50568 9962
+rect 50584 10018 50648 10022
+rect 50584 9962 50588 10018
+rect 50588 9962 50644 10018
+rect 50644 9962 50648 10018
+rect 50584 9958 50648 9962
+rect 4264 9352 4328 9356
+rect 4264 9296 4268 9352
+rect 4268 9296 4324 9352
+rect 4324 9296 4328 9352
+rect 4264 9292 4328 9296
+rect 4344 9352 4408 9356
+rect 4344 9296 4348 9352
+rect 4348 9296 4404 9352
+rect 4404 9296 4408 9352
+rect 4344 9292 4408 9296
+rect 4424 9352 4488 9356
+rect 4424 9296 4428 9352
+rect 4428 9296 4484 9352
+rect 4484 9296 4488 9352
+rect 4424 9292 4488 9296
+rect 4504 9352 4568 9356
+rect 4504 9296 4508 9352
+rect 4508 9296 4564 9352
+rect 4564 9296 4568 9352
+rect 4504 9292 4568 9296
+rect 34984 9352 35048 9356
+rect 34984 9296 34988 9352
+rect 34988 9296 35044 9352
+rect 35044 9296 35048 9352
+rect 34984 9292 35048 9296
+rect 35064 9352 35128 9356
+rect 35064 9296 35068 9352
+rect 35068 9296 35124 9352
+rect 35124 9296 35128 9352
+rect 35064 9292 35128 9296
+rect 35144 9352 35208 9356
+rect 35144 9296 35148 9352
+rect 35148 9296 35204 9352
+rect 35204 9296 35208 9352
+rect 35144 9292 35208 9296
+rect 35224 9352 35288 9356
+rect 35224 9296 35228 9352
+rect 35228 9296 35284 9352
+rect 35284 9296 35288 9352
+rect 35224 9292 35288 9296
+rect 19624 8686 19688 8690
+rect 19624 8630 19628 8686
+rect 19628 8630 19684 8686
+rect 19684 8630 19688 8686
+rect 19624 8626 19688 8630
+rect 19704 8686 19768 8690
+rect 19704 8630 19708 8686
+rect 19708 8630 19764 8686
+rect 19764 8630 19768 8686
+rect 19704 8626 19768 8630
+rect 19784 8686 19848 8690
+rect 19784 8630 19788 8686
+rect 19788 8630 19844 8686
+rect 19844 8630 19848 8686
+rect 19784 8626 19848 8630
+rect 19864 8686 19928 8690
+rect 19864 8630 19868 8686
+rect 19868 8630 19924 8686
+rect 19924 8630 19928 8686
+rect 19864 8626 19928 8630
+rect 50344 8686 50408 8690
+rect 50344 8630 50348 8686
+rect 50348 8630 50404 8686
+rect 50404 8630 50408 8686
+rect 50344 8626 50408 8630
+rect 50424 8686 50488 8690
+rect 50424 8630 50428 8686
+rect 50428 8630 50484 8686
+rect 50484 8630 50488 8686
+rect 50424 8626 50488 8630
+rect 50504 8686 50568 8690
+rect 50504 8630 50508 8686
+rect 50508 8630 50564 8686
+rect 50564 8630 50568 8686
+rect 50504 8626 50568 8630
+rect 50584 8686 50648 8690
+rect 50584 8630 50588 8686
+rect 50588 8630 50644 8686
+rect 50644 8630 50648 8686
+rect 50584 8626 50648 8630
+rect 4264 8020 4328 8024
+rect 4264 7964 4268 8020
+rect 4268 7964 4324 8020
+rect 4324 7964 4328 8020
+rect 4264 7960 4328 7964
+rect 4344 8020 4408 8024
+rect 4344 7964 4348 8020
+rect 4348 7964 4404 8020
+rect 4404 7964 4408 8020
+rect 4344 7960 4408 7964
+rect 4424 8020 4488 8024
+rect 4424 7964 4428 8020
+rect 4428 7964 4484 8020
+rect 4484 7964 4488 8020
+rect 4424 7960 4488 7964
+rect 4504 8020 4568 8024
+rect 4504 7964 4508 8020
+rect 4508 7964 4564 8020
+rect 4564 7964 4568 8020
+rect 4504 7960 4568 7964
+rect 34984 8020 35048 8024
+rect 34984 7964 34988 8020
+rect 34988 7964 35044 8020
+rect 35044 7964 35048 8020
+rect 34984 7960 35048 7964
+rect 35064 8020 35128 8024
+rect 35064 7964 35068 8020
+rect 35068 7964 35124 8020
+rect 35124 7964 35128 8020
+rect 35064 7960 35128 7964
+rect 35144 8020 35208 8024
+rect 35144 7964 35148 8020
+rect 35148 7964 35204 8020
+rect 35204 7964 35208 8020
+rect 35144 7960 35208 7964
+rect 35224 8020 35288 8024
+rect 35224 7964 35228 8020
+rect 35228 7964 35284 8020
+rect 35284 7964 35288 8020
+rect 35224 7960 35288 7964
+rect 19624 7354 19688 7358
+rect 19624 7298 19628 7354
+rect 19628 7298 19684 7354
+rect 19684 7298 19688 7354
+rect 19624 7294 19688 7298
+rect 19704 7354 19768 7358
+rect 19704 7298 19708 7354
+rect 19708 7298 19764 7354
+rect 19764 7298 19768 7354
+rect 19704 7294 19768 7298
+rect 19784 7354 19848 7358
+rect 19784 7298 19788 7354
+rect 19788 7298 19844 7354
+rect 19844 7298 19848 7354
+rect 19784 7294 19848 7298
+rect 19864 7354 19928 7358
+rect 19864 7298 19868 7354
+rect 19868 7298 19924 7354
+rect 19924 7298 19928 7354
+rect 19864 7294 19928 7298
+rect 50344 7354 50408 7358
+rect 50344 7298 50348 7354
+rect 50348 7298 50404 7354
+rect 50404 7298 50408 7354
+rect 50344 7294 50408 7298
+rect 50424 7354 50488 7358
+rect 50424 7298 50428 7354
+rect 50428 7298 50484 7354
+rect 50484 7298 50488 7354
+rect 50424 7294 50488 7298
+rect 50504 7354 50568 7358
+rect 50504 7298 50508 7354
+rect 50508 7298 50564 7354
+rect 50564 7298 50568 7354
+rect 50504 7294 50568 7298
+rect 50584 7354 50648 7358
+rect 50584 7298 50588 7354
+rect 50588 7298 50644 7354
+rect 50644 7298 50648 7354
+rect 50584 7294 50648 7298
+rect 4264 6688 4328 6692
+rect 4264 6632 4268 6688
+rect 4268 6632 4324 6688
+rect 4324 6632 4328 6688
+rect 4264 6628 4328 6632
+rect 4344 6688 4408 6692
+rect 4344 6632 4348 6688
+rect 4348 6632 4404 6688
+rect 4404 6632 4408 6688
+rect 4344 6628 4408 6632
+rect 4424 6688 4488 6692
+rect 4424 6632 4428 6688
+rect 4428 6632 4484 6688
+rect 4484 6632 4488 6688
+rect 4424 6628 4488 6632
+rect 4504 6688 4568 6692
+rect 4504 6632 4508 6688
+rect 4508 6632 4564 6688
+rect 4564 6632 4568 6688
+rect 4504 6628 4568 6632
+rect 34984 6688 35048 6692
+rect 34984 6632 34988 6688
+rect 34988 6632 35044 6688
+rect 35044 6632 35048 6688
+rect 34984 6628 35048 6632
+rect 35064 6688 35128 6692
+rect 35064 6632 35068 6688
+rect 35068 6632 35124 6688
+rect 35124 6632 35128 6688
+rect 35064 6628 35128 6632
+rect 35144 6688 35208 6692
+rect 35144 6632 35148 6688
+rect 35148 6632 35204 6688
+rect 35204 6632 35208 6688
+rect 35144 6628 35208 6632
+rect 35224 6688 35288 6692
+rect 35224 6632 35228 6688
+rect 35228 6632 35284 6688
+rect 35284 6632 35288 6688
+rect 35224 6628 35288 6632
+rect 19624 6022 19688 6026
+rect 19624 5966 19628 6022
+rect 19628 5966 19684 6022
+rect 19684 5966 19688 6022
+rect 19624 5962 19688 5966
+rect 19704 6022 19768 6026
+rect 19704 5966 19708 6022
+rect 19708 5966 19764 6022
+rect 19764 5966 19768 6022
+rect 19704 5962 19768 5966
+rect 19784 6022 19848 6026
+rect 19784 5966 19788 6022
+rect 19788 5966 19844 6022
+rect 19844 5966 19848 6022
+rect 19784 5962 19848 5966
+rect 19864 6022 19928 6026
+rect 19864 5966 19868 6022
+rect 19868 5966 19924 6022
+rect 19924 5966 19928 6022
+rect 19864 5962 19928 5966
+rect 50344 6022 50408 6026
+rect 50344 5966 50348 6022
+rect 50348 5966 50404 6022
+rect 50404 5966 50408 6022
+rect 50344 5962 50408 5966
+rect 50424 6022 50488 6026
+rect 50424 5966 50428 6022
+rect 50428 5966 50484 6022
+rect 50484 5966 50488 6022
+rect 50424 5962 50488 5966
+rect 50504 6022 50568 6026
+rect 50504 5966 50508 6022
+rect 50508 5966 50564 6022
+rect 50564 5966 50568 6022
+rect 50504 5962 50568 5966
+rect 50584 6022 50648 6026
+rect 50584 5966 50588 6022
+rect 50588 5966 50644 6022
+rect 50644 5966 50648 6022
+rect 50584 5962 50648 5966
+rect 4264 5356 4328 5360
+rect 4264 5300 4268 5356
+rect 4268 5300 4324 5356
+rect 4324 5300 4328 5356
+rect 4264 5296 4328 5300
+rect 4344 5356 4408 5360
+rect 4344 5300 4348 5356
+rect 4348 5300 4404 5356
+rect 4404 5300 4408 5356
+rect 4344 5296 4408 5300
+rect 4424 5356 4488 5360
+rect 4424 5300 4428 5356
+rect 4428 5300 4484 5356
+rect 4484 5300 4488 5356
+rect 4424 5296 4488 5300
+rect 4504 5356 4568 5360
+rect 4504 5300 4508 5356
+rect 4508 5300 4564 5356
+rect 4564 5300 4568 5356
+rect 4504 5296 4568 5300
+rect 34984 5356 35048 5360
+rect 34984 5300 34988 5356
+rect 34988 5300 35044 5356
+rect 35044 5300 35048 5356
+rect 34984 5296 35048 5300
+rect 35064 5356 35128 5360
+rect 35064 5300 35068 5356
+rect 35068 5300 35124 5356
+rect 35124 5300 35128 5356
+rect 35064 5296 35128 5300
+rect 35144 5356 35208 5360
+rect 35144 5300 35148 5356
+rect 35148 5300 35204 5356
+rect 35204 5300 35208 5356
+rect 35144 5296 35208 5300
+rect 35224 5356 35288 5360
+rect 35224 5300 35228 5356
+rect 35228 5300 35284 5356
+rect 35284 5300 35288 5356
+rect 35224 5296 35288 5300
+rect 19624 4690 19688 4694
+rect 19624 4634 19628 4690
+rect 19628 4634 19684 4690
+rect 19684 4634 19688 4690
+rect 19624 4630 19688 4634
+rect 19704 4690 19768 4694
+rect 19704 4634 19708 4690
+rect 19708 4634 19764 4690
+rect 19764 4634 19768 4690
+rect 19704 4630 19768 4634
+rect 19784 4690 19848 4694
+rect 19784 4634 19788 4690
+rect 19788 4634 19844 4690
+rect 19844 4634 19848 4690
+rect 19784 4630 19848 4634
+rect 19864 4690 19928 4694
+rect 19864 4634 19868 4690
+rect 19868 4634 19924 4690
+rect 19924 4634 19928 4690
+rect 19864 4630 19928 4634
+rect 50344 4690 50408 4694
+rect 50344 4634 50348 4690
+rect 50348 4634 50404 4690
+rect 50404 4634 50408 4690
+rect 50344 4630 50408 4634
+rect 50424 4690 50488 4694
+rect 50424 4634 50428 4690
+rect 50428 4634 50484 4690
+rect 50484 4634 50488 4690
+rect 50424 4630 50488 4634
+rect 50504 4690 50568 4694
+rect 50504 4634 50508 4690
+rect 50508 4634 50564 4690
+rect 50564 4634 50568 4690
+rect 50504 4630 50568 4634
+rect 50584 4690 50648 4694
+rect 50584 4634 50588 4690
+rect 50588 4634 50644 4690
+rect 50644 4634 50648 4690
+rect 50584 4630 50648 4634
+rect 4264 4024 4328 4028
+rect 4264 3968 4268 4024
+rect 4268 3968 4324 4024
+rect 4324 3968 4328 4024
+rect 4264 3964 4328 3968
+rect 4344 4024 4408 4028
+rect 4344 3968 4348 4024
+rect 4348 3968 4404 4024
+rect 4404 3968 4408 4024
+rect 4344 3964 4408 3968
+rect 4424 4024 4488 4028
+rect 4424 3968 4428 4024
+rect 4428 3968 4484 4024
+rect 4484 3968 4488 4024
+rect 4424 3964 4488 3968
+rect 4504 4024 4568 4028
+rect 4504 3968 4508 4024
+rect 4508 3968 4564 4024
+rect 4564 3968 4568 4024
+rect 4504 3964 4568 3968
+rect 34984 4024 35048 4028
+rect 34984 3968 34988 4024
+rect 34988 3968 35044 4024
+rect 35044 3968 35048 4024
+rect 34984 3964 35048 3968
+rect 35064 4024 35128 4028
+rect 35064 3968 35068 4024
+rect 35068 3968 35124 4024
+rect 35124 3968 35128 4024
+rect 35064 3964 35128 3968
+rect 35144 4024 35208 4028
+rect 35144 3968 35148 4024
+rect 35148 3968 35204 4024
+rect 35204 3968 35208 4024
+rect 35144 3964 35208 3968
+rect 35224 4024 35288 4028
+rect 35224 3968 35228 4024
+rect 35228 3968 35284 4024
+rect 35284 3968 35288 4024
+rect 35224 3964 35288 3968
+rect 19624 3358 19688 3362
+rect 19624 3302 19628 3358
+rect 19628 3302 19684 3358
+rect 19684 3302 19688 3358
+rect 19624 3298 19688 3302
+rect 19704 3358 19768 3362
+rect 19704 3302 19708 3358
+rect 19708 3302 19764 3358
+rect 19764 3302 19768 3358
+rect 19704 3298 19768 3302
+rect 19784 3358 19848 3362
+rect 19784 3302 19788 3358
+rect 19788 3302 19844 3358
+rect 19844 3302 19848 3358
+rect 19784 3298 19848 3302
+rect 19864 3358 19928 3362
+rect 19864 3302 19868 3358
+rect 19868 3302 19924 3358
+rect 19924 3302 19928 3358
+rect 19864 3298 19928 3302
+rect 50344 3358 50408 3362
+rect 50344 3302 50348 3358
+rect 50348 3302 50404 3358
+rect 50404 3302 50408 3358
+rect 50344 3298 50408 3302
+rect 50424 3358 50488 3362
+rect 50424 3302 50428 3358
+rect 50428 3302 50484 3358
+rect 50484 3302 50488 3358
+rect 50424 3298 50488 3302
+rect 50504 3358 50568 3362
+rect 50504 3302 50508 3358
+rect 50508 3302 50564 3358
+rect 50564 3302 50568 3358
+rect 50504 3298 50568 3302
+rect 50584 3358 50648 3362
+rect 50584 3302 50588 3358
+rect 50588 3302 50644 3358
+rect 50644 3302 50648 3358
+rect 50584 3298 50648 3302
+rect 4264 2692 4328 2696
+rect 4264 2636 4268 2692
+rect 4268 2636 4324 2692
+rect 4324 2636 4328 2692
+rect 4264 2632 4328 2636
+rect 4344 2692 4408 2696
+rect 4344 2636 4348 2692
+rect 4348 2636 4404 2692
+rect 4404 2636 4408 2692
+rect 4344 2632 4408 2636
+rect 4424 2692 4488 2696
+rect 4424 2636 4428 2692
+rect 4428 2636 4484 2692
+rect 4484 2636 4488 2692
+rect 4424 2632 4488 2636
+rect 4504 2692 4568 2696
+rect 4504 2636 4508 2692
+rect 4508 2636 4564 2692
+rect 4564 2636 4568 2692
+rect 4504 2632 4568 2636
+rect 34984 2692 35048 2696
+rect 34984 2636 34988 2692
+rect 34988 2636 35044 2692
+rect 35044 2636 35048 2692
+rect 34984 2632 35048 2636
+rect 35064 2692 35128 2696
+rect 35064 2636 35068 2692
+rect 35068 2636 35124 2692
+rect 35124 2636 35128 2692
+rect 35064 2632 35128 2636
+rect 35144 2692 35208 2696
+rect 35144 2636 35148 2692
+rect 35148 2636 35204 2692
+rect 35204 2636 35208 2692
+rect 35144 2632 35208 2636
+rect 35224 2692 35288 2696
+rect 35224 2636 35228 2692
+rect 35228 2636 35284 2692
+rect 35284 2636 35288 2692
+rect 35224 2632 35288 2636
+<< metal4 >>
+rect 4256 57308 4576 57324
+rect 4256 57244 4264 57308
+rect 4328 57244 4344 57308
+rect 4408 57244 4424 57308
+rect 4488 57244 4504 57308
+rect 4568 57244 4576 57308
+rect 4256 55976 4576 57244
+rect 4256 55912 4264 55976
+rect 4328 55912 4344 55976
+rect 4408 55912 4424 55976
+rect 4488 55912 4504 55976
+rect 4568 55912 4576 55976
+rect 4256 54644 4576 55912
+rect 4256 54580 4264 54644
+rect 4328 54580 4344 54644
+rect 4408 54580 4424 54644
+rect 4488 54580 4504 54644
+rect 4568 54580 4576 54644
+rect 4256 53312 4576 54580
+rect 4256 53248 4264 53312
+rect 4328 53248 4344 53312
+rect 4408 53248 4424 53312
+rect 4488 53248 4504 53312
+rect 4568 53248 4576 53312
+rect 4256 51980 4576 53248
+rect 4256 51916 4264 51980
+rect 4328 51916 4344 51980
+rect 4408 51916 4424 51980
+rect 4488 51916 4504 51980
+rect 4568 51916 4576 51980
+rect 4256 50648 4576 51916
+rect 4256 50584 4264 50648
+rect 4328 50584 4344 50648
+rect 4408 50584 4424 50648
+rect 4488 50584 4504 50648
+rect 4568 50584 4576 50648
+rect 4256 49316 4576 50584
+rect 4256 49252 4264 49316
+rect 4328 49252 4344 49316
+rect 4408 49252 4424 49316
+rect 4488 49252 4504 49316
+rect 4568 49252 4576 49316
+rect 4256 47984 4576 49252
+rect 4256 47920 4264 47984
+rect 4328 47920 4344 47984
+rect 4408 47920 4424 47984
+rect 4488 47920 4504 47984
+rect 4568 47920 4576 47984
+rect 4256 46652 4576 47920
+rect 4256 46588 4264 46652
+rect 4328 46588 4344 46652
+rect 4408 46588 4424 46652
+rect 4488 46588 4504 46652
+rect 4568 46588 4576 46652
+rect 4256 45320 4576 46588
+rect 4256 45256 4264 45320
+rect 4328 45256 4344 45320
+rect 4408 45256 4424 45320
+rect 4488 45256 4504 45320
+rect 4568 45256 4576 45320
+rect 4256 43988 4576 45256
+rect 4256 43924 4264 43988
+rect 4328 43924 4344 43988
+rect 4408 43924 4424 43988
+rect 4488 43924 4504 43988
+rect 4568 43924 4576 43988
+rect 4256 42656 4576 43924
+rect 4256 42592 4264 42656
+rect 4328 42592 4344 42656
+rect 4408 42592 4424 42656
+rect 4488 42592 4504 42656
+rect 4568 42592 4576 42656
+rect 4256 41324 4576 42592
+rect 4256 41260 4264 41324
+rect 4328 41260 4344 41324
+rect 4408 41260 4424 41324
+rect 4488 41260 4504 41324
+rect 4568 41260 4576 41324
+rect 4256 39992 4576 41260
+rect 4256 39928 4264 39992
+rect 4328 39928 4344 39992
+rect 4408 39928 4424 39992
+rect 4488 39928 4504 39992
+rect 4568 39928 4576 39992
+rect 4256 38660 4576 39928
+rect 4256 38596 4264 38660
+rect 4328 38596 4344 38660
+rect 4408 38596 4424 38660
+rect 4488 38596 4504 38660
+rect 4568 38596 4576 38660
+rect 4256 37328 4576 38596
+rect 4256 37264 4264 37328
+rect 4328 37264 4344 37328
+rect 4408 37264 4424 37328
+rect 4488 37264 4504 37328
+rect 4568 37264 4576 37328
+rect 4256 35996 4576 37264
+rect 4256 35932 4264 35996
+rect 4328 35932 4344 35996
+rect 4408 35932 4424 35996
+rect 4488 35932 4504 35996
+rect 4568 35932 4576 35996
+rect 4256 34664 4576 35932
+rect 4256 34600 4264 34664
+rect 4328 34600 4344 34664
+rect 4408 34600 4424 34664
+rect 4488 34600 4504 34664
+rect 4568 34600 4576 34664
+rect 4256 33332 4576 34600
+rect 4256 33268 4264 33332
+rect 4328 33268 4344 33332
+rect 4408 33268 4424 33332
+rect 4488 33268 4504 33332
+rect 4568 33268 4576 33332
+rect 4256 32000 4576 33268
+rect 4256 31936 4264 32000
+rect 4328 31936 4344 32000
+rect 4408 31936 4424 32000
+rect 4488 31936 4504 32000
+rect 4568 31936 4576 32000
+rect 4256 30668 4576 31936
+rect 4256 30604 4264 30668
+rect 4328 30604 4344 30668
+rect 4408 30604 4424 30668
+rect 4488 30604 4504 30668
+rect 4568 30604 4576 30668
+rect 4256 29336 4576 30604
+rect 4256 29272 4264 29336
+rect 4328 29272 4344 29336
+rect 4408 29272 4424 29336
+rect 4488 29272 4504 29336
+rect 4568 29272 4576 29336
+rect 4256 28004 4576 29272
+rect 4256 27940 4264 28004
+rect 4328 27940 4344 28004
+rect 4408 27940 4424 28004
+rect 4488 27940 4504 28004
+rect 4568 27940 4576 28004
+rect 4256 26672 4576 27940
+rect 4256 26608 4264 26672
+rect 4328 26608 4344 26672
+rect 4408 26608 4424 26672
+rect 4488 26608 4504 26672
+rect 4568 26608 4576 26672
+rect 4256 25340 4576 26608
+rect 4256 25276 4264 25340
+rect 4328 25276 4344 25340
+rect 4408 25276 4424 25340
+rect 4488 25276 4504 25340
+rect 4568 25276 4576 25340
+rect 4256 24008 4576 25276
+rect 4256 23944 4264 24008
+rect 4328 23944 4344 24008
+rect 4408 23944 4424 24008
+rect 4488 23944 4504 24008
+rect 4568 23944 4576 24008
+rect 4256 22676 4576 23944
+rect 4256 22612 4264 22676
+rect 4328 22612 4344 22676
+rect 4408 22612 4424 22676
+rect 4488 22612 4504 22676
+rect 4568 22612 4576 22676
+rect 4256 21344 4576 22612
+rect 4256 21280 4264 21344
+rect 4328 21280 4344 21344
+rect 4408 21280 4424 21344
+rect 4488 21280 4504 21344
+rect 4568 21280 4576 21344
+rect 4256 20012 4576 21280
+rect 4256 19948 4264 20012
+rect 4328 19948 4344 20012
+rect 4408 19948 4424 20012
+rect 4488 19948 4504 20012
+rect 4568 19948 4576 20012
+rect 4256 18680 4576 19948
+rect 4256 18616 4264 18680
+rect 4328 18616 4344 18680
+rect 4408 18616 4424 18680
+rect 4488 18616 4504 18680
+rect 4568 18616 4576 18680
+rect 4256 17348 4576 18616
+rect 4256 17284 4264 17348
+rect 4328 17284 4344 17348
+rect 4408 17284 4424 17348
+rect 4488 17284 4504 17348
+rect 4568 17284 4576 17348
+rect 4256 16016 4576 17284
+rect 4256 15952 4264 16016
+rect 4328 15952 4344 16016
+rect 4408 15952 4424 16016
+rect 4488 15952 4504 16016
+rect 4568 15952 4576 16016
+rect 4256 14684 4576 15952
+rect 4256 14620 4264 14684
+rect 4328 14620 4344 14684
+rect 4408 14620 4424 14684
+rect 4488 14620 4504 14684
+rect 4568 14620 4576 14684
+rect 4256 13352 4576 14620
+rect 4256 13288 4264 13352
+rect 4328 13288 4344 13352
+rect 4408 13288 4424 13352
+rect 4488 13288 4504 13352
+rect 4568 13288 4576 13352
+rect 4256 12020 4576 13288
+rect 4256 11956 4264 12020
+rect 4328 11956 4344 12020
+rect 4408 11956 4424 12020
+rect 4488 11956 4504 12020
+rect 4568 11956 4576 12020
+rect 4256 10688 4576 11956
+rect 4256 10624 4264 10688
+rect 4328 10624 4344 10688
+rect 4408 10624 4424 10688
+rect 4488 10624 4504 10688
+rect 4568 10624 4576 10688
+rect 4256 9356 4576 10624
+rect 4256 9292 4264 9356
+rect 4328 9292 4344 9356
+rect 4408 9292 4424 9356
+rect 4488 9292 4504 9356
+rect 4568 9292 4576 9356
+rect 4256 8024 4576 9292
+rect 4256 7960 4264 8024
+rect 4328 7960 4344 8024
+rect 4408 7960 4424 8024
+rect 4488 7960 4504 8024
+rect 4568 7960 4576 8024
+rect 4256 6692 4576 7960
+rect 4256 6628 4264 6692
+rect 4328 6628 4344 6692
+rect 4408 6628 4424 6692
+rect 4488 6628 4504 6692
+rect 4568 6628 4576 6692
+rect 4256 5360 4576 6628
+rect 4256 5296 4264 5360
+rect 4328 5296 4344 5360
+rect 4408 5296 4424 5360
+rect 4488 5296 4504 5360
+rect 4568 5296 4576 5360
+rect 4256 4028 4576 5296
+rect 4256 3964 4264 4028
+rect 4328 3964 4344 4028
+rect 4408 3964 4424 4028
+rect 4488 3964 4504 4028
+rect 4568 3964 4576 4028
+rect 4256 2696 4576 3964
+rect 4256 2632 4264 2696
+rect 4328 2632 4344 2696
+rect 4408 2632 4424 2696
+rect 4488 2632 4504 2696
+rect 4568 2632 4576 2696
+rect 4916 2664 5236 57276
+rect 5576 2664 5896 57276
+rect 6236 2664 6556 57276
+rect 19616 56642 19936 57324
+rect 34976 57308 35296 57324
+rect 19616 56578 19624 56642
+rect 19688 56578 19704 56642
+rect 19768 56578 19784 56642
+rect 19848 56578 19864 56642
+rect 19928 56578 19936 56642
+rect 19616 55310 19936 56578
+rect 19616 55246 19624 55310
+rect 19688 55246 19704 55310
+rect 19768 55246 19784 55310
+rect 19848 55246 19864 55310
+rect 19928 55246 19936 55310
+rect 19616 53978 19936 55246
+rect 19616 53914 19624 53978
+rect 19688 53914 19704 53978
+rect 19768 53914 19784 53978
+rect 19848 53914 19864 53978
+rect 19928 53914 19936 53978
+rect 19616 52646 19936 53914
+rect 19616 52582 19624 52646
+rect 19688 52582 19704 52646
+rect 19768 52582 19784 52646
+rect 19848 52582 19864 52646
+rect 19928 52582 19936 52646
+rect 19616 51314 19936 52582
+rect 19616 51250 19624 51314
+rect 19688 51250 19704 51314
+rect 19768 51250 19784 51314
+rect 19848 51250 19864 51314
+rect 19928 51250 19936 51314
+rect 19616 49982 19936 51250
+rect 19616 49918 19624 49982
+rect 19688 49918 19704 49982
+rect 19768 49918 19784 49982
+rect 19848 49918 19864 49982
+rect 19928 49918 19936 49982
+rect 19616 48650 19936 49918
+rect 19616 48586 19624 48650
+rect 19688 48586 19704 48650
+rect 19768 48586 19784 48650
+rect 19848 48586 19864 48650
+rect 19928 48586 19936 48650
+rect 19616 47318 19936 48586
+rect 19616 47254 19624 47318
+rect 19688 47254 19704 47318
+rect 19768 47254 19784 47318
+rect 19848 47254 19864 47318
+rect 19928 47254 19936 47318
+rect 19616 45986 19936 47254
+rect 19616 45922 19624 45986
+rect 19688 45922 19704 45986
+rect 19768 45922 19784 45986
+rect 19848 45922 19864 45986
+rect 19928 45922 19936 45986
+rect 19616 44654 19936 45922
+rect 19616 44590 19624 44654
+rect 19688 44590 19704 44654
+rect 19768 44590 19784 44654
+rect 19848 44590 19864 44654
+rect 19928 44590 19936 44654
+rect 19616 43322 19936 44590
+rect 19616 43258 19624 43322
+rect 19688 43258 19704 43322
+rect 19768 43258 19784 43322
+rect 19848 43258 19864 43322
+rect 19928 43258 19936 43322
+rect 19616 41990 19936 43258
+rect 19616 41926 19624 41990
+rect 19688 41926 19704 41990
+rect 19768 41926 19784 41990
+rect 19848 41926 19864 41990
+rect 19928 41926 19936 41990
+rect 19616 40658 19936 41926
+rect 19616 40594 19624 40658
+rect 19688 40594 19704 40658
+rect 19768 40594 19784 40658
+rect 19848 40594 19864 40658
+rect 19928 40594 19936 40658
+rect 19616 39326 19936 40594
+rect 19616 39262 19624 39326
+rect 19688 39262 19704 39326
+rect 19768 39262 19784 39326
+rect 19848 39262 19864 39326
+rect 19928 39262 19936 39326
+rect 19616 37994 19936 39262
+rect 19616 37930 19624 37994
+rect 19688 37930 19704 37994
+rect 19768 37930 19784 37994
+rect 19848 37930 19864 37994
+rect 19928 37930 19936 37994
+rect 19616 36662 19936 37930
+rect 19616 36598 19624 36662
+rect 19688 36598 19704 36662
+rect 19768 36598 19784 36662
+rect 19848 36598 19864 36662
+rect 19928 36598 19936 36662
+rect 19616 35330 19936 36598
+rect 19616 35266 19624 35330
+rect 19688 35266 19704 35330
+rect 19768 35266 19784 35330
+rect 19848 35266 19864 35330
+rect 19928 35266 19936 35330
+rect 19616 33998 19936 35266
+rect 19616 33934 19624 33998
+rect 19688 33934 19704 33998
+rect 19768 33934 19784 33998
+rect 19848 33934 19864 33998
+rect 19928 33934 19936 33998
+rect 19616 32666 19936 33934
+rect 19616 32602 19624 32666
+rect 19688 32602 19704 32666
+rect 19768 32602 19784 32666
+rect 19848 32602 19864 32666
+rect 19928 32602 19936 32666
+rect 19616 31334 19936 32602
+rect 19616 31270 19624 31334
+rect 19688 31270 19704 31334
+rect 19768 31270 19784 31334
+rect 19848 31270 19864 31334
+rect 19928 31270 19936 31334
+rect 19616 30002 19936 31270
+rect 19616 29938 19624 30002
+rect 19688 29938 19704 30002
+rect 19768 29938 19784 30002
+rect 19848 29938 19864 30002
+rect 19928 29938 19936 30002
+rect 19616 28670 19936 29938
+rect 19616 28606 19624 28670
+rect 19688 28606 19704 28670
+rect 19768 28606 19784 28670
+rect 19848 28606 19864 28670
+rect 19928 28606 19936 28670
+rect 19616 27338 19936 28606
+rect 19616 27274 19624 27338
+rect 19688 27274 19704 27338
+rect 19768 27274 19784 27338
+rect 19848 27274 19864 27338
+rect 19928 27274 19936 27338
+rect 19616 26006 19936 27274
+rect 19616 25942 19624 26006
+rect 19688 25942 19704 26006
+rect 19768 25942 19784 26006
+rect 19848 25942 19864 26006
+rect 19928 25942 19936 26006
+rect 19616 24674 19936 25942
+rect 19616 24610 19624 24674
+rect 19688 24610 19704 24674
+rect 19768 24610 19784 24674
+rect 19848 24610 19864 24674
+rect 19928 24610 19936 24674
+rect 19616 23342 19936 24610
+rect 19616 23278 19624 23342
+rect 19688 23278 19704 23342
+rect 19768 23278 19784 23342
+rect 19848 23278 19864 23342
+rect 19928 23278 19936 23342
+rect 19616 22010 19936 23278
+rect 19616 21946 19624 22010
+rect 19688 21946 19704 22010
+rect 19768 21946 19784 22010
+rect 19848 21946 19864 22010
+rect 19928 21946 19936 22010
+rect 19616 20678 19936 21946
+rect 19616 20614 19624 20678
+rect 19688 20614 19704 20678
+rect 19768 20614 19784 20678
+rect 19848 20614 19864 20678
+rect 19928 20614 19936 20678
+rect 19616 19346 19936 20614
+rect 19616 19282 19624 19346
+rect 19688 19282 19704 19346
+rect 19768 19282 19784 19346
+rect 19848 19282 19864 19346
+rect 19928 19282 19936 19346
+rect 19616 18014 19936 19282
+rect 19616 17950 19624 18014
+rect 19688 17950 19704 18014
+rect 19768 17950 19784 18014
+rect 19848 17950 19864 18014
+rect 19928 17950 19936 18014
+rect 19616 16682 19936 17950
+rect 19616 16618 19624 16682
+rect 19688 16618 19704 16682
+rect 19768 16618 19784 16682
+rect 19848 16618 19864 16682
+rect 19928 16618 19936 16682
+rect 19616 15350 19936 16618
+rect 19616 15286 19624 15350
+rect 19688 15286 19704 15350
+rect 19768 15286 19784 15350
+rect 19848 15286 19864 15350
+rect 19928 15286 19936 15350
+rect 19616 14018 19936 15286
+rect 19616 13954 19624 14018
+rect 19688 13954 19704 14018
+rect 19768 13954 19784 14018
+rect 19848 13954 19864 14018
+rect 19928 13954 19936 14018
+rect 19616 12686 19936 13954
+rect 19616 12622 19624 12686
+rect 19688 12622 19704 12686
+rect 19768 12622 19784 12686
+rect 19848 12622 19864 12686
+rect 19928 12622 19936 12686
+rect 19616 11354 19936 12622
+rect 19616 11290 19624 11354
+rect 19688 11290 19704 11354
+rect 19768 11290 19784 11354
+rect 19848 11290 19864 11354
+rect 19928 11290 19936 11354
+rect 19616 10022 19936 11290
+rect 19616 9958 19624 10022
+rect 19688 9958 19704 10022
+rect 19768 9958 19784 10022
+rect 19848 9958 19864 10022
+rect 19928 9958 19936 10022
+rect 19616 8690 19936 9958
+rect 19616 8626 19624 8690
+rect 19688 8626 19704 8690
+rect 19768 8626 19784 8690
+rect 19848 8626 19864 8690
+rect 19928 8626 19936 8690
+rect 19616 7358 19936 8626
+rect 19616 7294 19624 7358
+rect 19688 7294 19704 7358
+rect 19768 7294 19784 7358
+rect 19848 7294 19864 7358
+rect 19928 7294 19936 7358
+rect 19616 6026 19936 7294
+rect 19616 5962 19624 6026
+rect 19688 5962 19704 6026
+rect 19768 5962 19784 6026
+rect 19848 5962 19864 6026
+rect 19928 5962 19936 6026
+rect 19616 4694 19936 5962
+rect 19616 4630 19624 4694
+rect 19688 4630 19704 4694
+rect 19768 4630 19784 4694
+rect 19848 4630 19864 4694
+rect 19928 4630 19936 4694
+rect 19616 3362 19936 4630
+rect 19616 3298 19624 3362
+rect 19688 3298 19704 3362
+rect 19768 3298 19784 3362
+rect 19848 3298 19864 3362
+rect 19928 3298 19936 3362
+rect 4256 2616 4576 2632
+rect 19616 2616 19936 3298
+rect 20276 2664 20596 57276
+rect 20936 2664 21256 57276
+rect 21596 2664 21916 57276
+rect 34976 57244 34984 57308
+rect 35048 57244 35064 57308
+rect 35128 57244 35144 57308
+rect 35208 57244 35224 57308
+rect 35288 57244 35296 57308
+rect 34976 55976 35296 57244
+rect 34976 55912 34984 55976
+rect 35048 55912 35064 55976
+rect 35128 55912 35144 55976
+rect 35208 55912 35224 55976
+rect 35288 55912 35296 55976
+rect 34976 54644 35296 55912
+rect 34976 54580 34984 54644
+rect 35048 54580 35064 54644
+rect 35128 54580 35144 54644
+rect 35208 54580 35224 54644
+rect 35288 54580 35296 54644
+rect 34976 53312 35296 54580
+rect 34976 53248 34984 53312
+rect 35048 53248 35064 53312
+rect 35128 53248 35144 53312
+rect 35208 53248 35224 53312
+rect 35288 53248 35296 53312
+rect 34976 51980 35296 53248
+rect 34976 51916 34984 51980
+rect 35048 51916 35064 51980
+rect 35128 51916 35144 51980
+rect 35208 51916 35224 51980
+rect 35288 51916 35296 51980
+rect 34976 50648 35296 51916
+rect 34976 50584 34984 50648
+rect 35048 50584 35064 50648
+rect 35128 50584 35144 50648
+rect 35208 50584 35224 50648
+rect 35288 50584 35296 50648
+rect 34976 49316 35296 50584
+rect 34976 49252 34984 49316
+rect 35048 49252 35064 49316
+rect 35128 49252 35144 49316
+rect 35208 49252 35224 49316
+rect 35288 49252 35296 49316
+rect 34976 47984 35296 49252
+rect 34976 47920 34984 47984
+rect 35048 47920 35064 47984
+rect 35128 47920 35144 47984
+rect 35208 47920 35224 47984
+rect 35288 47920 35296 47984
+rect 34976 46652 35296 47920
+rect 34976 46588 34984 46652
+rect 35048 46588 35064 46652
+rect 35128 46588 35144 46652
+rect 35208 46588 35224 46652
+rect 35288 46588 35296 46652
+rect 34976 45320 35296 46588
+rect 34976 45256 34984 45320
+rect 35048 45256 35064 45320
+rect 35128 45256 35144 45320
+rect 35208 45256 35224 45320
+rect 35288 45256 35296 45320
+rect 34976 43988 35296 45256
+rect 34976 43924 34984 43988
+rect 35048 43924 35064 43988
+rect 35128 43924 35144 43988
+rect 35208 43924 35224 43988
+rect 35288 43924 35296 43988
+rect 34976 42656 35296 43924
+rect 34976 42592 34984 42656
+rect 35048 42592 35064 42656
+rect 35128 42592 35144 42656
+rect 35208 42592 35224 42656
+rect 35288 42592 35296 42656
+rect 34976 41324 35296 42592
+rect 34976 41260 34984 41324
+rect 35048 41260 35064 41324
+rect 35128 41260 35144 41324
+rect 35208 41260 35224 41324
+rect 35288 41260 35296 41324
+rect 34976 39992 35296 41260
+rect 34976 39928 34984 39992
+rect 35048 39928 35064 39992
+rect 35128 39928 35144 39992
+rect 35208 39928 35224 39992
+rect 35288 39928 35296 39992
+rect 34976 38660 35296 39928
+rect 34976 38596 34984 38660
+rect 35048 38596 35064 38660
+rect 35128 38596 35144 38660
+rect 35208 38596 35224 38660
+rect 35288 38596 35296 38660
+rect 34976 37328 35296 38596
+rect 34976 37264 34984 37328
+rect 35048 37264 35064 37328
+rect 35128 37264 35144 37328
+rect 35208 37264 35224 37328
+rect 35288 37264 35296 37328
+rect 34976 35996 35296 37264
+rect 34976 35932 34984 35996
+rect 35048 35932 35064 35996
+rect 35128 35932 35144 35996
+rect 35208 35932 35224 35996
+rect 35288 35932 35296 35996
+rect 34976 34664 35296 35932
+rect 34976 34600 34984 34664
+rect 35048 34600 35064 34664
+rect 35128 34600 35144 34664
+rect 35208 34600 35224 34664
+rect 35288 34600 35296 34664
+rect 34976 33332 35296 34600
+rect 34976 33268 34984 33332
+rect 35048 33268 35064 33332
+rect 35128 33268 35144 33332
+rect 35208 33268 35224 33332
+rect 35288 33268 35296 33332
+rect 34976 32000 35296 33268
+rect 34976 31936 34984 32000
+rect 35048 31936 35064 32000
+rect 35128 31936 35144 32000
+rect 35208 31936 35224 32000
+rect 35288 31936 35296 32000
+rect 34976 30668 35296 31936
+rect 34976 30604 34984 30668
+rect 35048 30604 35064 30668
+rect 35128 30604 35144 30668
+rect 35208 30604 35224 30668
+rect 35288 30604 35296 30668
+rect 34976 29336 35296 30604
+rect 34976 29272 34984 29336
+rect 35048 29272 35064 29336
+rect 35128 29272 35144 29336
+rect 35208 29272 35224 29336
+rect 35288 29272 35296 29336
+rect 34976 28004 35296 29272
+rect 34976 27940 34984 28004
+rect 35048 27940 35064 28004
+rect 35128 27940 35144 28004
+rect 35208 27940 35224 28004
+rect 35288 27940 35296 28004
+rect 34976 26672 35296 27940
+rect 34976 26608 34984 26672
+rect 35048 26608 35064 26672
+rect 35128 26608 35144 26672
+rect 35208 26608 35224 26672
+rect 35288 26608 35296 26672
+rect 34976 25340 35296 26608
+rect 34976 25276 34984 25340
+rect 35048 25276 35064 25340
+rect 35128 25276 35144 25340
+rect 35208 25276 35224 25340
+rect 35288 25276 35296 25340
+rect 34976 24008 35296 25276
+rect 34976 23944 34984 24008
+rect 35048 23944 35064 24008
+rect 35128 23944 35144 24008
+rect 35208 23944 35224 24008
+rect 35288 23944 35296 24008
+rect 34976 22676 35296 23944
+rect 34976 22612 34984 22676
+rect 35048 22612 35064 22676
+rect 35128 22612 35144 22676
+rect 35208 22612 35224 22676
+rect 35288 22612 35296 22676
+rect 34976 21344 35296 22612
+rect 34976 21280 34984 21344
+rect 35048 21280 35064 21344
+rect 35128 21280 35144 21344
+rect 35208 21280 35224 21344
+rect 35288 21280 35296 21344
+rect 34976 20012 35296 21280
+rect 34976 19948 34984 20012
+rect 35048 19948 35064 20012
+rect 35128 19948 35144 20012
+rect 35208 19948 35224 20012
+rect 35288 19948 35296 20012
+rect 34976 18680 35296 19948
+rect 34976 18616 34984 18680
+rect 35048 18616 35064 18680
+rect 35128 18616 35144 18680
+rect 35208 18616 35224 18680
+rect 35288 18616 35296 18680
+rect 34976 17348 35296 18616
+rect 34976 17284 34984 17348
+rect 35048 17284 35064 17348
+rect 35128 17284 35144 17348
+rect 35208 17284 35224 17348
+rect 35288 17284 35296 17348
+rect 34976 16016 35296 17284
+rect 34976 15952 34984 16016
+rect 35048 15952 35064 16016
+rect 35128 15952 35144 16016
+rect 35208 15952 35224 16016
+rect 35288 15952 35296 16016
+rect 34976 14684 35296 15952
+rect 34976 14620 34984 14684
+rect 35048 14620 35064 14684
+rect 35128 14620 35144 14684
+rect 35208 14620 35224 14684
+rect 35288 14620 35296 14684
+rect 34976 13352 35296 14620
+rect 34976 13288 34984 13352
+rect 35048 13288 35064 13352
+rect 35128 13288 35144 13352
+rect 35208 13288 35224 13352
+rect 35288 13288 35296 13352
+rect 34976 12020 35296 13288
+rect 34976 11956 34984 12020
+rect 35048 11956 35064 12020
+rect 35128 11956 35144 12020
+rect 35208 11956 35224 12020
+rect 35288 11956 35296 12020
+rect 34976 10688 35296 11956
+rect 34976 10624 34984 10688
+rect 35048 10624 35064 10688
+rect 35128 10624 35144 10688
+rect 35208 10624 35224 10688
+rect 35288 10624 35296 10688
+rect 34976 9356 35296 10624
+rect 34976 9292 34984 9356
+rect 35048 9292 35064 9356
+rect 35128 9292 35144 9356
+rect 35208 9292 35224 9356
+rect 35288 9292 35296 9356
+rect 34976 8024 35296 9292
+rect 34976 7960 34984 8024
+rect 35048 7960 35064 8024
+rect 35128 7960 35144 8024
+rect 35208 7960 35224 8024
+rect 35288 7960 35296 8024
+rect 34976 6692 35296 7960
+rect 34976 6628 34984 6692
+rect 35048 6628 35064 6692
+rect 35128 6628 35144 6692
+rect 35208 6628 35224 6692
+rect 35288 6628 35296 6692
+rect 34976 5360 35296 6628
+rect 34976 5296 34984 5360
+rect 35048 5296 35064 5360
+rect 35128 5296 35144 5360
+rect 35208 5296 35224 5360
+rect 35288 5296 35296 5360
+rect 34976 4028 35296 5296
+rect 34976 3964 34984 4028
+rect 35048 3964 35064 4028
+rect 35128 3964 35144 4028
+rect 35208 3964 35224 4028
+rect 35288 3964 35296 4028
+rect 34976 2696 35296 3964
+rect 34976 2632 34984 2696
+rect 35048 2632 35064 2696
+rect 35128 2632 35144 2696
+rect 35208 2632 35224 2696
+rect 35288 2632 35296 2696
+rect 35636 2664 35956 57276
+rect 36296 2664 36616 57276
+rect 36956 2664 37276 57276
+rect 50336 56642 50656 57324
+rect 50336 56578 50344 56642
+rect 50408 56578 50424 56642
+rect 50488 56578 50504 56642
+rect 50568 56578 50584 56642
+rect 50648 56578 50656 56642
+rect 50336 55310 50656 56578
+rect 50336 55246 50344 55310
+rect 50408 55246 50424 55310
+rect 50488 55246 50504 55310
+rect 50568 55246 50584 55310
+rect 50648 55246 50656 55310
+rect 50336 53978 50656 55246
+rect 50336 53914 50344 53978
+rect 50408 53914 50424 53978
+rect 50488 53914 50504 53978
+rect 50568 53914 50584 53978
+rect 50648 53914 50656 53978
+rect 50336 52646 50656 53914
+rect 50336 52582 50344 52646
+rect 50408 52582 50424 52646
+rect 50488 52582 50504 52646
+rect 50568 52582 50584 52646
+rect 50648 52582 50656 52646
+rect 50336 51314 50656 52582
+rect 50336 51250 50344 51314
+rect 50408 51250 50424 51314
+rect 50488 51250 50504 51314
+rect 50568 51250 50584 51314
+rect 50648 51250 50656 51314
+rect 50336 49982 50656 51250
+rect 50336 49918 50344 49982
+rect 50408 49918 50424 49982
+rect 50488 49918 50504 49982
+rect 50568 49918 50584 49982
+rect 50648 49918 50656 49982
+rect 50336 48650 50656 49918
+rect 50336 48586 50344 48650
+rect 50408 48586 50424 48650
+rect 50488 48586 50504 48650
+rect 50568 48586 50584 48650
+rect 50648 48586 50656 48650
+rect 50336 47318 50656 48586
+rect 50336 47254 50344 47318
+rect 50408 47254 50424 47318
+rect 50488 47254 50504 47318
+rect 50568 47254 50584 47318
+rect 50648 47254 50656 47318
+rect 50336 45986 50656 47254
+rect 50336 45922 50344 45986
+rect 50408 45922 50424 45986
+rect 50488 45922 50504 45986
+rect 50568 45922 50584 45986
+rect 50648 45922 50656 45986
+rect 50336 44654 50656 45922
+rect 50336 44590 50344 44654
+rect 50408 44590 50424 44654
+rect 50488 44590 50504 44654
+rect 50568 44590 50584 44654
+rect 50648 44590 50656 44654
+rect 50336 43322 50656 44590
+rect 50336 43258 50344 43322
+rect 50408 43258 50424 43322
+rect 50488 43258 50504 43322
+rect 50568 43258 50584 43322
+rect 50648 43258 50656 43322
+rect 50336 41990 50656 43258
+rect 50336 41926 50344 41990
+rect 50408 41926 50424 41990
+rect 50488 41926 50504 41990
+rect 50568 41926 50584 41990
+rect 50648 41926 50656 41990
+rect 50336 40658 50656 41926
+rect 50336 40594 50344 40658
+rect 50408 40594 50424 40658
+rect 50488 40594 50504 40658
+rect 50568 40594 50584 40658
+rect 50648 40594 50656 40658
+rect 50336 39326 50656 40594
+rect 50336 39262 50344 39326
+rect 50408 39262 50424 39326
+rect 50488 39262 50504 39326
+rect 50568 39262 50584 39326
+rect 50648 39262 50656 39326
+rect 50336 37994 50656 39262
+rect 50336 37930 50344 37994
+rect 50408 37930 50424 37994
+rect 50488 37930 50504 37994
+rect 50568 37930 50584 37994
+rect 50648 37930 50656 37994
+rect 50336 36662 50656 37930
+rect 50336 36598 50344 36662
+rect 50408 36598 50424 36662
+rect 50488 36598 50504 36662
+rect 50568 36598 50584 36662
+rect 50648 36598 50656 36662
+rect 50336 35330 50656 36598
+rect 50336 35266 50344 35330
+rect 50408 35266 50424 35330
+rect 50488 35266 50504 35330
+rect 50568 35266 50584 35330
+rect 50648 35266 50656 35330
+rect 50336 33998 50656 35266
+rect 50336 33934 50344 33998
+rect 50408 33934 50424 33998
+rect 50488 33934 50504 33998
+rect 50568 33934 50584 33998
+rect 50648 33934 50656 33998
+rect 50336 32666 50656 33934
+rect 50336 32602 50344 32666
+rect 50408 32602 50424 32666
+rect 50488 32602 50504 32666
+rect 50568 32602 50584 32666
+rect 50648 32602 50656 32666
+rect 50336 31334 50656 32602
+rect 50336 31270 50344 31334
+rect 50408 31270 50424 31334
+rect 50488 31270 50504 31334
+rect 50568 31270 50584 31334
+rect 50648 31270 50656 31334
+rect 50336 30002 50656 31270
+rect 50336 29938 50344 30002
+rect 50408 29938 50424 30002
+rect 50488 29938 50504 30002
+rect 50568 29938 50584 30002
+rect 50648 29938 50656 30002
+rect 50336 28670 50656 29938
+rect 50336 28606 50344 28670
+rect 50408 28606 50424 28670
+rect 50488 28606 50504 28670
+rect 50568 28606 50584 28670
+rect 50648 28606 50656 28670
+rect 50336 27338 50656 28606
+rect 50336 27274 50344 27338
+rect 50408 27274 50424 27338
+rect 50488 27274 50504 27338
+rect 50568 27274 50584 27338
+rect 50648 27274 50656 27338
+rect 50336 26006 50656 27274
+rect 50336 25942 50344 26006
+rect 50408 25942 50424 26006
+rect 50488 25942 50504 26006
+rect 50568 25942 50584 26006
+rect 50648 25942 50656 26006
+rect 50336 24674 50656 25942
+rect 50336 24610 50344 24674
+rect 50408 24610 50424 24674
+rect 50488 24610 50504 24674
+rect 50568 24610 50584 24674
+rect 50648 24610 50656 24674
+rect 50336 23342 50656 24610
+rect 50336 23278 50344 23342
+rect 50408 23278 50424 23342
+rect 50488 23278 50504 23342
+rect 50568 23278 50584 23342
+rect 50648 23278 50656 23342
+rect 50336 22010 50656 23278
+rect 50336 21946 50344 22010
+rect 50408 21946 50424 22010
+rect 50488 21946 50504 22010
+rect 50568 21946 50584 22010
+rect 50648 21946 50656 22010
+rect 50336 20678 50656 21946
+rect 50336 20614 50344 20678
+rect 50408 20614 50424 20678
+rect 50488 20614 50504 20678
+rect 50568 20614 50584 20678
+rect 50648 20614 50656 20678
+rect 50336 19346 50656 20614
+rect 50336 19282 50344 19346
+rect 50408 19282 50424 19346
+rect 50488 19282 50504 19346
+rect 50568 19282 50584 19346
+rect 50648 19282 50656 19346
+rect 50336 18014 50656 19282
+rect 50336 17950 50344 18014
+rect 50408 17950 50424 18014
+rect 50488 17950 50504 18014
+rect 50568 17950 50584 18014
+rect 50648 17950 50656 18014
+rect 50336 16682 50656 17950
+rect 50336 16618 50344 16682
+rect 50408 16618 50424 16682
+rect 50488 16618 50504 16682
+rect 50568 16618 50584 16682
+rect 50648 16618 50656 16682
+rect 50336 15350 50656 16618
+rect 50336 15286 50344 15350
+rect 50408 15286 50424 15350
+rect 50488 15286 50504 15350
+rect 50568 15286 50584 15350
+rect 50648 15286 50656 15350
+rect 50336 14018 50656 15286
+rect 50336 13954 50344 14018
+rect 50408 13954 50424 14018
+rect 50488 13954 50504 14018
+rect 50568 13954 50584 14018
+rect 50648 13954 50656 14018
+rect 50336 12686 50656 13954
+rect 50336 12622 50344 12686
+rect 50408 12622 50424 12686
+rect 50488 12622 50504 12686
+rect 50568 12622 50584 12686
+rect 50648 12622 50656 12686
+rect 50336 11354 50656 12622
+rect 50336 11290 50344 11354
+rect 50408 11290 50424 11354
+rect 50488 11290 50504 11354
+rect 50568 11290 50584 11354
+rect 50648 11290 50656 11354
+rect 50336 10022 50656 11290
+rect 50336 9958 50344 10022
+rect 50408 9958 50424 10022
+rect 50488 9958 50504 10022
+rect 50568 9958 50584 10022
+rect 50648 9958 50656 10022
+rect 50336 8690 50656 9958
+rect 50336 8626 50344 8690
+rect 50408 8626 50424 8690
+rect 50488 8626 50504 8690
+rect 50568 8626 50584 8690
+rect 50648 8626 50656 8690
+rect 50336 7358 50656 8626
+rect 50336 7294 50344 7358
+rect 50408 7294 50424 7358
+rect 50488 7294 50504 7358
+rect 50568 7294 50584 7358
+rect 50648 7294 50656 7358
+rect 50336 6026 50656 7294
+rect 50336 5962 50344 6026
+rect 50408 5962 50424 6026
+rect 50488 5962 50504 6026
+rect 50568 5962 50584 6026
+rect 50648 5962 50656 6026
+rect 50336 4694 50656 5962
+rect 50336 4630 50344 4694
+rect 50408 4630 50424 4694
+rect 50488 4630 50504 4694
+rect 50568 4630 50584 4694
+rect 50648 4630 50656 4694
+rect 50336 3362 50656 4630
+rect 50336 3298 50344 3362
+rect 50408 3298 50424 3362
+rect 50488 3298 50504 3362
+rect 50568 3298 50584 3362
+rect 50648 3298 50656 3362
+rect 34976 2616 35296 2632
+rect 50336 2616 50656 3298
+rect 50996 2664 51316 57276
+rect 51656 2664 51976 57276
+rect 52316 2664 52636 57276
+use sky130_fd_sc_ls__clkbuf_1  input296 $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform 1 0 1536 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input295
+timestamp 1621261055
+transform 1 0 1536 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_2 $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform 1 0 1152 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_0
+timestamp 1621261055
+transform 1 0 1152 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_8
+timestamp 1621261055
+transform 1 0 1920 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_8
+timestamp 1621261055
+transform 1 0 1920 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input319
+timestamp 1621261055
+transform 1 0 2304 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input297
+timestamp 1621261055
+transform 1 0 2304 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_16
+timestamp 1621261055
+transform 1 0 2688 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_16
+timestamp 1621261055
+transform 1 0 2688 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_24
+timestamp 1621261055
+transform 1 0 3456 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_24
+timestamp 1621261055
+transform 1 0 3456 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input323
+timestamp 1621261055
+transform 1 0 3072 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input322
+timestamp 1621261055
+transform 1 0 3072 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_32
+timestamp 1621261055
+transform 1 0 4224 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_29 $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform 1 0 3936 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input324
+timestamp 1621261055
+transform 1 0 3840 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_164 $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform 1 0 3840 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_1_44 $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform 1 0 5376 0 1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_40
+timestamp 1621261055
+transform 1 0 4992 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_43
+timestamp 1621261055
+transform 1 0 5280 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input325
+timestamp 1621261055
+transform 1 0 4608 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input298
+timestamp 1621261055
+transform 1 0 4896 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_50
+timestamp 1621261055
+transform 1 0 5952 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_51
+timestamp 1621261055
+transform 1 0 6048 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input300
+timestamp 1621261055
+transform 1 0 5568 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input299
+timestamp 1621261055
+transform 1 0 5664 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_1_54 $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform 1 0 6336 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_56
+timestamp 1621261055
+transform 1 0 6528 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_57
+timestamp 1621261055
+transform 1 0 6624 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_55
+timestamp 1621261055
+transform 1 0 6432 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input302
+timestamp 1621261055
+transform 1 0 6912 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input301
+timestamp 1621261055
+transform 1 0 7008 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_185
+timestamp 1621261055
+transform 1 0 6432 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_165
+timestamp 1621261055
+transform 1 0 6528 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_64
+timestamp 1621261055
+transform 1 0 7296 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_65
+timestamp 1621261055
+transform 1 0 7392 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input304
+timestamp 1621261055
+transform 1 0 7680 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input303
+timestamp 1621261055
+transform 1 0 7776 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_80
+timestamp 1621261055
+transform 1 0 8832 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_72
+timestamp 1621261055
+transform 1 0 8064 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_73
+timestamp 1621261055
+transform 1 0 8160 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input306
+timestamp 1621261055
+transform 1 0 8448 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_88
+timestamp 1621261055
+transform 1 0 9600 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_85
+timestamp 1621261055
+transform 1 0 9312 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_83
+timestamp 1621261055
+transform 1 0 9120 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input309
+timestamp 1621261055
+transform 1 0 9216 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input307
+timestamp 1621261055
+transform 1 0 9696 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_166
+timestamp 1621261055
+transform 1 0 9216 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_96
+timestamp 1621261055
+transform 1 0 10368 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_93
+timestamp 1621261055
+transform 1 0 10080 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input311
+timestamp 1621261055
+transform 1 0 9984 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input310
+timestamp 1621261055
+transform 1 0 10464 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_104
+timestamp 1621261055
+transform 1 0 11136 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_101
+timestamp 1621261055
+transform 1 0 10848 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input313
+timestamp 1621261055
+transform 1 0 10752 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_1_108
+timestamp 1621261055
+transform 1 0 11520 0 1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_111
+timestamp 1621261055
+transform 1 0 11808 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_113
+timestamp 1621261055
+transform 1 0 12000 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_111
+timestamp 1621261055
+transform 1 0 11808 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_109
+timestamp 1621261055
+transform 1 0 11616 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_186
+timestamp 1621261055
+transform 1 0 11712 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_167
+timestamp 1621261055
+transform 1 0 11904 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _130_ $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform 1 0 12192 0 1 3330
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_118
+timestamp 1621261055
+transform 1 0 12480 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_121
+timestamp 1621261055
+transform 1 0 12768 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input167
+timestamp 1621261055
+transform 1 0 12864 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input39
+timestamp 1621261055
+transform 1 0 12960 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_134
+timestamp 1621261055
+transform 1 0 14016 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_126
+timestamp 1621261055
+transform 1 0 13248 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_127
+timestamp 1621261055
+transform 1 0 13344 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input89
+timestamp 1621261055
+transform 1 0 13632 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input78
+timestamp 1621261055
+transform 1 0 13728 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_135
+timestamp 1621261055
+transform 1 0 14112 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_142
+timestamp 1621261055
+transform 1 0 14784 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_141
+timestamp 1621261055
+transform 1 0 14688 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input100
+timestamp 1621261055
+transform 1 0 14400 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_168
+timestamp 1621261055
+transform 1 0 14592 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_150
+timestamp 1621261055
+transform 1 0 15552 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_149
+timestamp 1621261055
+transform 1 0 15456 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__buf_1  input122 $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform 1 0 15168 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__buf_1  input111
+timestamp 1621261055
+transform 1 0 15072 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_158
+timestamp 1621261055
+transform 1 0 16320 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_159
+timestamp 1621261055
+transform 1 0 16416 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_157
+timestamp 1621261055
+transform 1 0 16224 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input133
+timestamp 1621261055
+transform 1 0 15936 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__buf_1  input50
+timestamp 1621261055
+transform 1 0 16512 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_1_162
+timestamp 1621261055
+transform 1 0 16704 0 1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_166
+timestamp 1621261055
+transform 1 0 17088 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_1_164
+timestamp 1621261055
+transform 1 0 16896 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_169
+timestamp 1621261055
+transform 1 0 17376 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_164
+timestamp 1621261055
+transform 1 0 16896 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input70
+timestamp 1621261055
+transform 1 0 17472 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_187
+timestamp 1621261055
+transform 1 0 16992 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_169
+timestamp 1621261055
+transform 1 0 17280 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_174
+timestamp 1621261055
+transform 1 0 17856 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_177
+timestamp 1621261055
+transform 1 0 18144 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__buf_1  input72
+timestamp 1621261055
+transform 1 0 18240 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__buf_1  input61
+timestamp 1621261055
+transform 1 0 17760 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_182
+timestamp 1621261055
+transform 1 0 18624 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_185
+timestamp 1621261055
+transform 1 0 18912 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input73
+timestamp 1621261055
+transform 1 0 19008 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__buf_1  input71
+timestamp 1621261055
+transform 1 0 18528 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_190
+timestamp 1621261055
+transform 1 0 19392 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_197
+timestamp 1621261055
+transform 1 0 20064 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_195
+timestamp 1621261055
+transform 1 0 19872 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_193
+timestamp 1621261055
+transform 1 0 19680 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input75
+timestamp 1621261055
+transform 1 0 19776 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_170
+timestamp 1621261055
+transform 1 0 19968 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_206
+timestamp 1621261055
+transform 1 0 20928 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_198
+timestamp 1621261055
+transform 1 0 20160 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_205
+timestamp 1621261055
+transform 1 0 20832 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input77
+timestamp 1621261055
+transform 1 0 20544 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input74
+timestamp 1621261055
+transform 1 0 20448 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_214
+timestamp 1621261055
+transform 1 0 21696 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_213
+timestamp 1621261055
+transform 1 0 21600 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input80
+timestamp 1621261055
+transform 1 0 21312 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input76
+timestamp 1621261055
+transform 1 0 21216 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_221
+timestamp 1621261055
+transform 1 0 22368 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_1_218
+timestamp 1621261055
+transform 1 0 22080 0 1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_223
+timestamp 1621261055
+transform 1 0 22560 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_221
+timestamp 1621261055
+transform 1 0 22368 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_188
+timestamp 1621261055
+transform 1 0 22272 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_171
+timestamp 1621261055
+transform 1 0 22656 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_229
+timestamp 1621261055
+transform 1 0 23136 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_233
+timestamp 1621261055
+transform 1 0 23520 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_225
+timestamp 1621261055
+transform 1 0 22752 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input86
+timestamp 1621261055
+transform 1 0 23520 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input84
+timestamp 1621261055
+transform 1 0 22752 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input82
+timestamp 1621261055
+transform 1 0 23136 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_237
+timestamp 1621261055
+transform 1 0 23904 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_241
+timestamp 1621261055
+transform 1 0 24288 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input88
+timestamp 1621261055
+transform 1 0 24288 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input85
+timestamp 1621261055
+transform 1 0 23904 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_245
+timestamp 1621261055
+transform 1 0 24672 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_251
+timestamp 1621261055
+transform 1 0 25248 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input91
+timestamp 1621261055
+transform 1 0 25056 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_253
+timestamp 1621261055
+transform 1 0 25440 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_253
+timestamp 1621261055
+transform 1 0 25440 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input92
+timestamp 1621261055
+transform 1 0 25824 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input90
+timestamp 1621261055
+transform 1 0 25824 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_172
+timestamp 1621261055
+transform 1 0 25344 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_269
+timestamp 1621261055
+transform 1 0 26976 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_261
+timestamp 1621261055
+transform 1 0 26208 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_269
+timestamp 1621261055
+transform 1 0 26976 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_261
+timestamp 1621261055
+transform 1 0 26208 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input95
+timestamp 1621261055
+transform 1 0 26592 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input93
+timestamp 1621261055
+transform 1 0 26592 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_1_273
+timestamp 1621261055
+transform 1 0 27360 0 1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_276
+timestamp 1621261055
+transform 1 0 27648 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_279
+timestamp 1621261055
+transform 1 0 27936 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_277
+timestamp 1621261055
+transform 1 0 27744 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_189
+timestamp 1621261055
+transform 1 0 27552 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_284
+timestamp 1621261055
+transform 1 0 28416 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input99
+timestamp 1621261055
+transform 1 0 28032 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_173
+timestamp 1621261055
+transform 1 0 28032 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input102
+timestamp 1621261055
+transform 1 0 28800 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input98
+timestamp 1621261055
+transform 1 0 28512 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_292
+timestamp 1621261055
+transform 1 0 29184 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input101
+timestamp 1621261055
+transform 1 0 29280 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input104
+timestamp 1621261055
+transform 1 0 29568 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_300
+timestamp 1621261055
+transform 1 0 29952 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _064_
+timestamp 1621261055
+transform 1 0 30048 0 -1 3330
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input106
+timestamp 1621261055
+transform 1 0 30336 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_308
+timestamp 1621261055
+transform 1 0 30720 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_309
+timestamp 1621261055
+transform 1 0 30816 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_174
+timestamp 1621261055
+transform 1 0 30720 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_316
+timestamp 1621261055
+transform 1 0 31488 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input108
+timestamp 1621261055
+transform 1 0 31104 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input107
+timestamp 1621261055
+transform 1 0 31200 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_317
+timestamp 1621261055
+transform 1 0 31584 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input112
+timestamp 1621261055
+transform 1 0 31872 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input109
+timestamp 1621261055
+transform 1 0 31968 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_324
+timestamp 1621261055
+transform 1 0 32256 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_331
+timestamp 1621261055
+transform 1 0 32928 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_1_328
+timestamp 1621261055
+transform 1 0 32640 0 1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_325
+timestamp 1621261055
+transform 1 0 32352 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_190
+timestamp 1621261055
+transform 1 0 32832 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_339
+timestamp 1621261055
+transform 1 0 33696 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_337
+timestamp 1621261055
+transform 1 0 33504 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_335
+timestamp 1621261055
+transform 1 0 33312 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_333
+timestamp 1621261055
+transform 1 0 33120 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input115
+timestamp 1621261055
+transform 1 0 33312 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input114
+timestamp 1621261055
+transform 1 0 33888 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_175
+timestamp 1621261055
+transform 1 0 33408 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_347
+timestamp 1621261055
+transform 1 0 34464 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_345
+timestamp 1621261055
+transform 1 0 34272 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input118
+timestamp 1621261055
+transform 1 0 34080 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input117
+timestamp 1621261055
+transform 1 0 34656 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input120
+timestamp 1621261055
+transform 1 0 34848 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_355
+timestamp 1621261055
+transform 1 0 35232 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_353
+timestamp 1621261055
+transform 1 0 35040 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input123
+timestamp 1621261055
+transform 1 0 35616 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_363
+timestamp 1621261055
+transform 1 0 36000 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_365
+timestamp 1621261055
+transform 1 0 36192 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_363
+timestamp 1621261055
+transform 1 0 36000 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_361
+timestamp 1621261055
+transform 1 0 35808 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input125
+timestamp 1621261055
+transform 1 0 36384 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_176
+timestamp 1621261055
+transform 1 0 36096 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_371
+timestamp 1621261055
+transform 1 0 36768 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_373
+timestamp 1621261055
+transform 1 0 36960 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input127
+timestamp 1621261055
+transform 1 0 37152 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input126
+timestamp 1621261055
+transform 1 0 37344 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input124
+timestamp 1621261055
+transform 1 0 36576 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_386
+timestamp 1621261055
+transform 1 0 38208 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_1_383
+timestamp 1621261055
+transform 1 0 37920 0 1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_379
+timestamp 1621261055
+transform 1 0 37536 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_381
+timestamp 1621261055
+transform 1 0 37728 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_191
+timestamp 1621261055
+transform 1 0 38112 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_394
+timestamp 1621261055
+transform 1 0 38976 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_393
+timestamp 1621261055
+transform 1 0 38880 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_389
+timestamp 1621261055
+transform 1 0 38496 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input131
+timestamp 1621261055
+transform 1 0 38592 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_177
+timestamp 1621261055
+transform 1 0 38784 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_402
+timestamp 1621261055
+transform 1 0 39744 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_401
+timestamp 1621261055
+transform 1 0 39648 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input134
+timestamp 1621261055
+transform 1 0 39360 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input130
+timestamp 1621261055
+transform 1 0 39264 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input132
+timestamp 1621261055
+transform 1 0 40032 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_409
+timestamp 1621261055
+transform 1 0 40416 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input136
+timestamp 1621261055
+transform 1 0 40128 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_410
+timestamp 1621261055
+transform 1 0 40512 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input138
+timestamp 1621261055
+transform 1 0 40896 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _171_
+timestamp 1621261055
+transform 1 0 40800 0 -1 3330
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_418
+timestamp 1621261055
+transform 1 0 41280 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_416
+timestamp 1621261055
+transform 1 0 41088 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_421
+timestamp 1621261055
+transform 1 0 41568 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input140
+timestamp 1621261055
+transform 1 0 41664 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_178
+timestamp 1621261055
+transform 1 0 41472 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_426
+timestamp 1621261055
+transform 1 0 42048 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_429
+timestamp 1621261055
+transform 1 0 42336 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input139
+timestamp 1621261055
+transform 1 0 41952 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input142
+timestamp 1621261055
+transform 1 0 42432 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_1_438
+timestamp 1621261055
+transform 1 0 43200 0 1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_434
+timestamp 1621261055
+transform 1 0 42816 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_437
+timestamp 1621261055
+transform 1 0 43104 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input141
+timestamp 1621261055
+transform 1 0 42720 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_192
+timestamp 1621261055
+transform 1 0 43392 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_449
+timestamp 1621261055
+transform 1 0 44256 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_441
+timestamp 1621261055
+transform 1 0 43488 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_449
+timestamp 1621261055
+transform 1 0 44256 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_447
+timestamp 1621261055
+transform 1 0 44064 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_445
+timestamp 1621261055
+transform 1 0 43872 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input146
+timestamp 1621261055
+transform 1 0 43872 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_179
+timestamp 1621261055
+transform 1 0 44160 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_457
+timestamp 1621261055
+transform 1 0 45024 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_457
+timestamp 1621261055
+transform 1 0 45024 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input149
+timestamp 1621261055
+transform 1 0 44640 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input147
+timestamp 1621261055
+transform 1 0 44640 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_465
+timestamp 1621261055
+transform 1 0 45792 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_465
+timestamp 1621261055
+transform 1 0 45792 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input151
+timestamp 1621261055
+transform 1 0 45408 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input150
+timestamp 1621261055
+transform 1 0 45408 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_473
+timestamp 1621261055
+transform 1 0 46560 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_475
+timestamp 1621261055
+transform 1 0 46752 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_473
+timestamp 1621261055
+transform 1 0 46560 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input153
+timestamp 1621261055
+transform 1 0 46176 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_180
+timestamp 1621261055
+transform 1 0 46848 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_481
+timestamp 1621261055
+transform 1 0 47328 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input159
+timestamp 1621261055
+transform 1 0 47712 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input156
+timestamp 1621261055
+transform 1 0 46944 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input154
+timestamp 1621261055
+transform 1 0 47328 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_1_493
+timestamp 1621261055
+transform 1 0 48480 0 1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_489
+timestamp 1621261055
+transform 1 0 48096 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input157
+timestamp 1621261055
+transform 1 0 48096 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_496
+timestamp 1621261055
+transform 1 0 48768 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_503
+timestamp 1621261055
+transform 1 0 49440 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input162
+timestamp 1621261055
+transform 1 0 49152 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_193
+timestamp 1621261055
+transform 1 0 48672 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_1_512
+timestamp 1621261055
+transform 1 0 50304 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_1_504
+timestamp 1621261055
+transform 1 0 49536 0 1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_505
+timestamp 1621261055
+transform 1 0 49632 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input40
+timestamp 1621261055
+transform 1 0 50016 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_181
+timestamp 1621261055
+transform 1 0 49536 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_513
+timestamp 1621261055
+transform 1 0 50400 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input42
+timestamp 1621261055
+transform 1 0 50400 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_517
+timestamp 1621261055
+transform 1 0 50784 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input43
+timestamp 1621261055
+transform 1 0 51168 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input41
+timestamp 1621261055
+transform 1 0 50784 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_525
+timestamp 1621261055
+transform 1 0 51552 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_529
+timestamp 1621261055
+transform 1 0 51936 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input44
+timestamp 1621261055
+transform 1 0 51936 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_533
+timestamp 1621261055
+transform 1 0 52320 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_533
+timestamp 1621261055
+transform 1 0 52320 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_531
+timestamp 1621261055
+transform 1 0 52128 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input46
+timestamp 1621261055
+transform 1 0 52704 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input45
+timestamp 1621261055
+transform 1 0 52704 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_182
+timestamp 1621261055
+transform 1 0 52224 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_1_549
+timestamp 1621261055
+transform 1 0 53856 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_1_541
+timestamp 1621261055
+transform 1 0 53088 0 1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_549
+timestamp 1621261055
+transform 1 0 53856 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_541
+timestamp 1621261055
+transform 1 0 53088 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input47
+timestamp 1621261055
+transform 1 0 53472 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_551
+timestamp 1621261055
+transform 1 0 54048 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_557
+timestamp 1621261055
+transform 1 0 54624 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input51
+timestamp 1621261055
+transform 1 0 54432 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_194
+timestamp 1621261055
+transform 1 0 53952 0 1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_559
+timestamp 1621261055
+transform 1 0 54816 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_561
+timestamp 1621261055
+transform 1 0 55008 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_559
+timestamp 1621261055
+transform 1 0 54816 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input54
+timestamp 1621261055
+transform 1 0 55200 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input52
+timestamp 1621261055
+transform 1 0 55392 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_183
+timestamp 1621261055
+transform 1 0 54912 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_567
+timestamp 1621261055
+transform 1 0 55584 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_575
+timestamp 1621261055
+transform 1 0 56352 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_0_569
+timestamp 1621261055
+transform 1 0 55776 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input56
+timestamp 1621261055
+transform 1 0 55968 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input55
+timestamp 1621261055
+transform 1 0 56160 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_583
+timestamp 1621261055
+transform 1 0 57120 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_577
+timestamp 1621261055
+transform 1 0 56544 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input58
+timestamp 1621261055
+transform 1 0 56736 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_1_591
+timestamp 1621261055
+transform 1 0 57888 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_0_589
+timestamp 1621261055
+transform 1 0 57696 0 -1 3330
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_0_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_0_585
+timestamp 1621261055
+transform 1 0 57312 0 -1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input59
+timestamp 1621261055
+transform 1 0 57504 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_184
+timestamp 1621261055
+transform 1 0 57600 0 -1 3330
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  PHY_1
+timestamp 1621261055
+transform -1 0 58848 0 -1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_3
+timestamp 1621261055
+transform -1 0 58848 0 1 3330
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_1_595
+timestamp 1621261055
+transform 1 0 58272 0 1 3330
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_4
+timestamp 1621261055
+transform 1 0 1152 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input308
+timestamp 1621261055
+transform 1 0 1536 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input330
+timestamp 1621261055
+transform 1 0 2304 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input341
+timestamp 1621261055
+transform 1 0 3072 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_8
+timestamp 1621261055
+transform 1 0 1920 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_16
+timestamp 1621261055
+transform 1 0 2688 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_24
+timestamp 1621261055
+transform 1 0 3456 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_195
+timestamp 1621261055
+transform 1 0 3840 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input326
+timestamp 1621261055
+transform 1 0 4320 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input328
+timestamp 1621261055
+transform 1 0 5088 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input331
+timestamp 1621261055
+transform 1 0 5856 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input305
+timestamp 1621261055
+transform 1 0 7392 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input333
+timestamp 1621261055
+transform 1 0 6624 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input335
+timestamp 1621261055
+transform 1 0 8160 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_196
+timestamp 1621261055
+transform 1 0 9120 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input312
+timestamp 1621261055
+transform 1 0 9600 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input314
+timestamp 1621261055
+transform 1 0 10368 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input315
+timestamp 1621261055
+transform 1 0 11136 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input206
+timestamp 1621261055
+transform 1 0 13536 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input316
+timestamp 1621261055
+transform 1 0 11904 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input317
+timestamp 1621261055
+transform 1 0 12672 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_2_128
+timestamp 1621261055
+transform 1 0 13440 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_133
+timestamp 1621261055
+transform 1 0 13920 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_197
+timestamp 1621261055
+transform 1 0 14400 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__buf_1  input144
+timestamp 1621261055
+transform 1 0 15456 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__buf_1  input155
+timestamp 1621261055
+transform 1 0 16224 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_2_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_2_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 4662
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_153
+timestamp 1621261055
+transform 1 0 15840 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_161
+timestamp 1621261055
+transform 1 0 16608 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input166
+timestamp 1621261055
+transform 1 0 16992 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input178
+timestamp 1621261055
+transform 1 0 17760 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input198
+timestamp 1621261055
+transform 1 0 18528 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_169
+timestamp 1621261055
+transform 1 0 17376 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_177
+timestamp 1621261055
+transform 1 0 18144 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_2_185
+timestamp 1621261055
+transform 1 0 18912 0 -1 4662
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_198
+timestamp 1621261055
+transform 1 0 19680 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input79
+timestamp 1621261055
+transform 1 0 20256 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input81
+timestamp 1621261055
+transform 1 0 21024 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input83
+timestamp 1621261055
+transform 1 0 21792 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_2_198
+timestamp 1621261055
+transform 1 0 20160 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_203
+timestamp 1621261055
+transform 1 0 20640 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_211
+timestamp 1621261055
+transform 1 0 21408 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _003_
+timestamp 1621261055
+transform -1 0 22848 0 -1 4662
+box -38 -49 326 715
+use sky130_fd_sc_ls__clkbuf_1  input87
+timestamp 1621261055
+transform 1 0 23232 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input211
+timestamp 1621261055
+transform 1 0 24000 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_8 $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform -1 0 22560 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_219
+timestamp 1621261055
+transform 1 0 22176 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_199
+timestamp 1621261055
+transform 1 0 24960 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input94
+timestamp 1621261055
+transform 1 0 25440 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input96
+timestamp 1621261055
+transform 1 0 26208 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input97
+timestamp 1621261055
+transform 1 0 26976 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input103
+timestamp 1621261055
+transform 1 0 28320 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input105
+timestamp 1621261055
+transform 1 0 29088 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_2_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 4662
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_287
+timestamp 1621261055
+transform 1 0 28704 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_2_295
+timestamp 1621261055
+transform 1 0 29472 0 -1 4662
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_200
+timestamp 1621261055
+transform 1 0 30240 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input110
+timestamp 1621261055
+transform 1 0 30912 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input113
+timestamp 1621261055
+transform 1 0 31680 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_308
+timestamp 1621261055
+transform 1 0 30720 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_314
+timestamp 1621261055
+transform 1 0 31296 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_322
+timestamp 1621261055
+transform 1 0 32064 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input116
+timestamp 1621261055
+transform 1 0 32736 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input119
+timestamp 1621261055
+transform 1 0 33888 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input121
+timestamp 1621261055
+transform 1 0 34656 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_326
+timestamp 1621261055
+transform 1 0 32448 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_2_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_2_333
+timestamp 1621261055
+transform 1 0 33120 0 -1 4662
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_345
+timestamp 1621261055
+transform 1 0 34272 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_201
+timestamp 1621261055
+transform 1 0 35520 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input128
+timestamp 1621261055
+transform 1 0 36768 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input247
+timestamp 1621261055
+transform 1 0 36000 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_353
+timestamp 1621261055
+transform 1 0 35040 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_2_357
+timestamp 1621261055
+transform 1 0 35424 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _210_
+timestamp 1621261055
+transform 1 0 38304 0 -1 4662
+box -38 -49 326 715
+use sky130_fd_sc_ls__clkbuf_1  input129
+timestamp 1621261055
+transform 1 0 37536 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input135
+timestamp 1621261055
+transform 1 0 38976 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input137
+timestamp 1621261055
+transform 1 0 39744 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_390
+timestamp 1621261055
+transform 1 0 38592 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_398
+timestamp 1621261055
+transform 1 0 39360 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_202
+timestamp 1621261055
+transform 1 0 40800 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input143
+timestamp 1621261055
+transform 1 0 41952 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_406
+timestamp 1621261055
+transform 1 0 40128 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_410
+timestamp 1621261055
+transform 1 0 40512 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_2_412
+timestamp 1621261055
+transform 1 0 40704 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_2_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 4662
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_2_424
+timestamp 1621261055
+transform 1 0 41856 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_429
+timestamp 1621261055
+transform 1 0 42336 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _139_
+timestamp 1621261055
+transform 1 0 44256 0 -1 4662
+box -38 -49 326 715
+use sky130_fd_sc_ls__clkbuf_1  input145
+timestamp 1621261055
+transform 1 0 42720 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input148
+timestamp 1621261055
+transform 1 0 43488 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input152
+timestamp 1621261055
+transform 1 0 44928 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_437
+timestamp 1621261055
+transform 1 0 43104 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_445
+timestamp 1621261055
+transform 1 0 43872 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_452
+timestamp 1621261055
+transform 1 0 44544 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_203
+timestamp 1621261055
+transform 1 0 46080 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input158
+timestamp 1621261055
+transform 1 0 46752 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input160
+timestamp 1621261055
+transform 1 0 47520 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_2_460
+timestamp 1621261055
+transform 1 0 45312 0 -1 4662
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_473
+timestamp 1621261055
+transform 1 0 46560 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_479
+timestamp 1621261055
+transform 1 0 47136 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input161
+timestamp 1621261055
+transform 1 0 48288 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input163
+timestamp 1621261055
+transform 1 0 49056 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input164
+timestamp 1621261055
+transform 1 0 49824 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_487
+timestamp 1621261055
+transform 1 0 47904 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_495
+timestamp 1621261055
+transform 1 0 48672 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_503
+timestamp 1621261055
+transform 1 0 49440 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_511
+timestamp 1621261055
+transform 1 0 50208 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_204
+timestamp 1621261055
+transform 1 0 51360 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input48
+timestamp 1621261055
+transform 1 0 52608 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input168
+timestamp 1621261055
+transform 1 0 50592 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input171
+timestamp 1621261055
+transform 1 0 51840 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_519
+timestamp 1621261055
+transform 1 0 50976 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _062_
+timestamp 1621261055
+transform 1 0 54912 0 -1 4662
+box -38 -49 326 715
+use sky130_fd_sc_ls__clkbuf_1  input49
+timestamp 1621261055
+transform 1 0 53376 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input53
+timestamp 1621261055
+transform 1 0 54144 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input57
+timestamp 1621261055
+transform 1 0 55584 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_563
+timestamp 1621261055
+transform 1 0 55200 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_205
+timestamp 1621261055
+transform 1 0 56640 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input60
+timestamp 1621261055
+transform 1 0 57120 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_571
+timestamp 1621261055
+transform 1 0 55968 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_575
+timestamp 1621261055
+transform 1 0 56352 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_2_577
+timestamp 1621261055
+transform 1 0 56544 0 -1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_2_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_2_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 4662
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_5
+timestamp 1621261055
+transform -1 0 58848 0 -1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_2_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_6
+timestamp 1621261055
+transform 1 0 1152 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input329
+timestamp 1621261055
+transform 1 0 1536 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input352
+timestamp 1621261055
+transform 1 0 2304 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input355
+timestamp 1621261055
+transform 1 0 3072 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_8
+timestamp 1621261055
+transform 1 0 1920 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_16
+timestamp 1621261055
+transform 1 0 2688 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_24
+timestamp 1621261055
+transform 1 0 3456 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input327
+timestamp 1621261055
+transform 1 0 4128 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input332
+timestamp 1621261055
+transform 1 0 5376 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_28
+timestamp 1621261055
+transform 1 0 3840 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_3_30
+timestamp 1621261055
+transform 1 0 4032 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_3_35
+timestamp 1621261055
+transform 1 0 4512 0 1 4662
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_3_43
+timestamp 1621261055
+transform 1 0 5280 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_48
+timestamp 1621261055
+transform 1 0 5760 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_52
+timestamp 1621261055
+transform 1 0 6144 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_3_54
+timestamp 1621261055
+transform 1 0 6336 0 1 4662
+box -38 -49 134 715
+use AND2X1  AND2X1
+timestamp 1624954255
+transform 1 0 7680 0 1 4662
+box 0 -48 1152 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_206
+timestamp 1621261055
+transform 1 0 6432 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input334
+timestamp 1621261055
+transform 1 0 6912 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_75
+timestamp 1621261055
+transform 1 0 7488 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_56
+timestamp 1621261055
+transform 1 0 6528 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_64
+timestamp 1621261055
+transform 1 0 7296 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_80
+timestamp 1621261055
+transform 1 0 8832 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input339
+timestamp 1621261055
+transform 1 0 9216 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input340
+timestamp 1621261055
+transform 1 0 9984 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input343
+timestamp 1621261055
+transform 1 0 10752 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_88
+timestamp 1621261055
+transform 1 0 9600 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_96
+timestamp 1621261055
+transform 1 0 10368 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_104
+timestamp 1621261055
+transform 1 0 11136 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_108
+timestamp 1621261055
+transform 1 0 11520 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_207
+timestamp 1621261055
+transform 1 0 11712 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input217
+timestamp 1621261055
+transform 1 0 13920 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input318
+timestamp 1621261055
+transform 1 0 12192 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input320
+timestamp 1621261055
+transform 1 0 12960 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_111
+timestamp 1621261055
+transform 1 0 11808 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_119
+timestamp 1621261055
+transform 1 0 12576 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_127
+timestamp 1621261055
+transform 1 0 13344 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_131
+timestamp 1621261055
+transform 1 0 13728 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input228
+timestamp 1621261055
+transform 1 0 14688 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input239
+timestamp 1621261055
+transform 1 0 15456 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input261
+timestamp 1621261055
+transform 1 0 16224 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_137
+timestamp 1621261055
+transform 1 0 14304 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_145
+timestamp 1621261055
+transform 1 0 15072 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_153
+timestamp 1621261055
+transform 1 0 15840 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_161
+timestamp 1621261055
+transform 1 0 16608 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_208
+timestamp 1621261055
+transform 1 0 16992 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input189
+timestamp 1621261055
+transform 1 0 17472 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input199
+timestamp 1621261055
+transform 1 0 18240 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input200
+timestamp 1621261055
+transform 1 0 19008 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_166
+timestamp 1621261055
+transform 1 0 17088 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_174
+timestamp 1621261055
+transform 1 0 17856 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_182
+timestamp 1621261055
+transform 1 0 18624 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input202
+timestamp 1621261055
+transform 1 0 19776 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input203
+timestamp 1621261055
+transform 1 0 20544 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input205
+timestamp 1621261055
+transform 1 0 21312 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_190
+timestamp 1621261055
+transform 1 0 19392 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_198
+timestamp 1621261055
+transform 1 0 20160 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_206
+timestamp 1621261055
+transform 1 0 20928 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_214
+timestamp 1621261055
+transform 1 0 21696 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_209
+timestamp 1621261055
+transform 1 0 22272 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input209
+timestamp 1621261055
+transform 1 0 22752 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input212
+timestamp 1621261055
+transform 1 0 23520 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input214
+timestamp 1621261055
+transform 1 0 24288 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_218
+timestamp 1621261055
+transform 1 0 22080 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_221
+timestamp 1621261055
+transform 1 0 22368 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_229
+timestamp 1621261055
+transform 1 0 23136 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_237
+timestamp 1621261055
+transform 1 0 23904 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input216
+timestamp 1621261055
+transform 1 0 25056 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input218
+timestamp 1621261055
+transform 1 0 25824 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input220
+timestamp 1621261055
+transform 1 0 26592 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_245
+timestamp 1621261055
+transform 1 0 24672 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_253
+timestamp 1621261055
+transform 1 0 25440 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_261
+timestamp 1621261055
+transform 1 0 26208 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_269
+timestamp 1621261055
+transform 1 0 26976 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_210
+timestamp 1621261055
+transform 1 0 27552 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input224
+timestamp 1621261055
+transform 1 0 28032 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input227
+timestamp 1621261055
+transform 1 0 28800 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input230
+timestamp 1621261055
+transform 1 0 29568 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_273
+timestamp 1621261055
+transform 1 0 27360 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_276
+timestamp 1621261055
+transform 1 0 27648 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_284
+timestamp 1621261055
+transform 1 0 28416 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_292
+timestamp 1621261055
+transform 1 0 29184 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input232
+timestamp 1621261055
+transform 1 0 30336 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input233
+timestamp 1621261055
+transform 1 0 31104 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input236
+timestamp 1621261055
+transform 1 0 31872 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_300
+timestamp 1621261055
+transform 1 0 29952 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_308
+timestamp 1621261055
+transform 1 0 30720 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_316
+timestamp 1621261055
+transform 1 0 31488 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_324
+timestamp 1621261055
+transform 1 0 32256 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_211
+timestamp 1621261055
+transform 1 0 32832 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input241
+timestamp 1621261055
+transform 1 0 33312 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input243
+timestamp 1621261055
+transform 1 0 34080 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input245
+timestamp 1621261055
+transform 1 0 34848 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_328
+timestamp 1621261055
+transform 1 0 32640 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_331
+timestamp 1621261055
+transform 1 0 32928 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_339
+timestamp 1621261055
+transform 1 0 33696 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_347
+timestamp 1621261055
+transform 1 0 34464 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input248
+timestamp 1621261055
+transform 1 0 35616 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input249
+timestamp 1621261055
+transform 1 0 36384 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input252
+timestamp 1621261055
+transform 1 0 37152 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_355
+timestamp 1621261055
+transform 1 0 35232 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_363
+timestamp 1621261055
+transform 1 0 36000 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_371
+timestamp 1621261055
+transform 1 0 36768 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_212
+timestamp 1621261055
+transform 1 0 38112 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input256
+timestamp 1621261055
+transform 1 0 38592 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input258
+timestamp 1621261055
+transform 1 0 39360 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_379
+timestamp 1621261055
+transform 1 0 37536 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_383
+timestamp 1621261055
+transform 1 0 37920 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_386
+timestamp 1621261055
+transform 1 0 38208 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_394
+timestamp 1621261055
+transform 1 0 38976 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_402
+timestamp 1621261055
+transform 1 0 39744 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input260
+timestamp 1621261055
+transform 1 0 40128 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input264
+timestamp 1621261055
+transform 1 0 40896 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input265
+timestamp 1621261055
+transform 1 0 41664 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input268
+timestamp 1621261055
+transform 1 0 42432 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_410
+timestamp 1621261055
+transform 1 0 40512 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_418
+timestamp 1621261055
+transform 1 0 41280 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_426
+timestamp 1621261055
+transform 1 0 42048 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_213
+timestamp 1621261055
+transform 1 0 43392 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input273
+timestamp 1621261055
+transform 1 0 43872 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input275
+timestamp 1621261055
+transform 1 0 44640 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_434
+timestamp 1621261055
+transform 1 0 42816 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_438
+timestamp 1621261055
+transform 1 0 43200 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_441
+timestamp 1621261055
+transform 1 0 43488 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_449
+timestamp 1621261055
+transform 1 0 44256 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_457
+timestamp 1621261055
+transform 1 0 45024 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input277
+timestamp 1621261055
+transform 1 0 45408 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input279
+timestamp 1621261055
+transform 1 0 46176 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input280
+timestamp 1621261055
+transform 1 0 46944 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input284
+timestamp 1621261055
+transform 1 0 47712 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_465
+timestamp 1621261055
+transform 1 0 45792 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_473
+timestamp 1621261055
+transform 1 0 46560 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_481
+timestamp 1621261055
+transform 1 0 47328 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_214
+timestamp 1621261055
+transform 1 0 48672 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input165
+timestamp 1621261055
+transform 1 0 49344 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input169
+timestamp 1621261055
+transform 1 0 50304 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_489
+timestamp 1621261055
+transform 1 0 48096 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_493
+timestamp 1621261055
+transform 1 0 48480 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_496
+timestamp 1621261055
+transform 1 0 48768 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_500
+timestamp 1621261055
+transform 1 0 49152 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_506
+timestamp 1621261055
+transform 1 0 49728 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_510
+timestamp 1621261055
+transform 1 0 50112 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input170
+timestamp 1621261055
+transform 1 0 51072 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input172
+timestamp 1621261055
+transform 1 0 51840 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input173
+timestamp 1621261055
+transform 1 0 52608 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_516
+timestamp 1621261055
+transform 1 0 50688 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_524
+timestamp 1621261055
+transform 1 0 51456 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_532
+timestamp 1621261055
+transform 1 0 52224 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_3_540
+timestamp 1621261055
+transform 1 0 52992 0 1 4662
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_215
+timestamp 1621261055
+transform 1 0 53952 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input68
+timestamp 1621261055
+transform 1 0 55488 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input177
+timestamp 1621261055
+transform 1 0 54432 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_548
+timestamp 1621261055
+transform 1 0 53760 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_551
+timestamp 1621261055
+transform 1 0 54048 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_559
+timestamp 1621261055
+transform 1 0 54816 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_3_563
+timestamp 1621261055
+transform 1 0 55200 0 1 4662
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_3_565
+timestamp 1621261055
+transform 1 0 55392 0 1 4662
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _116_
+timestamp 1621261055
+transform 1 0 57792 0 1 4662
+box -38 -49 326 715
+use sky130_fd_sc_ls__clkbuf_1  input62
+timestamp 1621261055
+transform 1 0 57024 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input64
+timestamp 1621261055
+transform 1 0 56256 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_570
+timestamp 1621261055
+transform 1 0 55872 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_578
+timestamp 1621261055
+transform 1 0 56640 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_586
+timestamp 1621261055
+transform 1 0 57408 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_3_593
+timestamp 1621261055
+transform 1 0 58080 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_7
+timestamp 1621261055
+transform -1 0 58848 0 1 4662
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_8
+timestamp 1621261055
+transform 1 0 1152 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input356
+timestamp 1621261055
+transform 1 0 2784 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input362
+timestamp 1621261055
+transform 1 0 1536 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_4_8
+timestamp 1621261055
+transform 1 0 1920 0 -1 5994
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_4_16
+timestamp 1621261055
+transform 1 0 2688 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_21
+timestamp 1621261055
+transform 1 0 3168 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_25
+timestamp 1621261055
+transform 1 0 3552 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_4_27
+timestamp 1621261055
+transform 1 0 3744 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_216
+timestamp 1621261055
+transform 1 0 3840 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input358
+timestamp 1621261055
+transform 1 0 4320 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input360
+timestamp 1621261055
+transform 1 0 5088 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output577 $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform 1 0 5856 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_200
+timestamp 1621261055
+transform 1 0 5664 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input336
+timestamp 1621261055
+transform 1 0 6816 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input337
+timestamp 1621261055
+transform 1 0 7584 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input338
+timestamp 1621261055
+transform 1 0 8352 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_57
+timestamp 1621261055
+transform 1 0 6624 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_63
+timestamp 1621261055
+transform 1 0 7200 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_71
+timestamp 1621261055
+transform 1 0 7968 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_79
+timestamp 1621261055
+transform 1 0 8736 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_217
+timestamp 1621261055
+transform 1 0 9120 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input342
+timestamp 1621261055
+transform 1 0 9600 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input345
+timestamp 1621261055
+transform 1 0 10368 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input347
+timestamp 1621261055
+transform 1 0 11136 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _094_
+timestamp 1621261055
+transform 1 0 11904 0 -1 5994
+box -38 -49 326 715
+use sky130_fd_sc_ls__clkbuf_1  input321
+timestamp 1621261055
+transform 1 0 12576 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input351
+timestamp 1621261055
+transform 1 0 13344 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_115
+timestamp 1621261055
+transform 1 0 12192 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_123
+timestamp 1621261055
+transform 1 0 12960 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_131
+timestamp 1621261055
+transform 1 0 13728 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_135
+timestamp 1621261055
+transform 1 0 14112 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_218
+timestamp 1621261055
+transform 1 0 14400 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input250
+timestamp 1621261055
+transform 1 0 14976 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input272
+timestamp 1621261055
+transform 1 0 15744 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input283
+timestamp 1621261055
+transform 1 0 16512 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_4_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_4_143
+timestamp 1621261055
+transform 1 0 14880 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_148
+timestamp 1621261055
+transform 1 0 15360 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_156
+timestamp 1621261055
+transform 1 0 16128 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input201
+timestamp 1621261055
+transform 1 0 18720 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input294
+timestamp 1621261055
+transform 1 0 17280 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_164
+timestamp 1621261055
+transform 1 0 16896 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_4_172
+timestamp 1621261055
+transform 1 0 17664 0 -1 5994
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_180
+timestamp 1621261055
+transform 1 0 18432 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_4_182
+timestamp 1621261055
+transform 1 0 18624 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_219
+timestamp 1621261055
+transform 1 0 19680 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input204
+timestamp 1621261055
+transform 1 0 20160 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input207
+timestamp 1621261055
+transform 1 0 20928 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input208
+timestamp 1621261055
+transform 1 0 21696 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input210
+timestamp 1621261055
+transform 1 0 22464 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input213
+timestamp 1621261055
+transform 1 0 23232 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input215
+timestamp 1621261055
+transform 1 0 24000 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_220
+timestamp 1621261055
+transform 1 0 24960 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input219
+timestamp 1621261055
+transform 1 0 25440 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input221
+timestamp 1621261055
+transform 1 0 26208 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input223
+timestamp 1621261055
+transform 1 0 26976 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input226
+timestamp 1621261055
+transform 1 0 27744 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input229
+timestamp 1621261055
+transform 1 0 28512 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input231
+timestamp 1621261055
+transform 1 0 29280 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_221
+timestamp 1621261055
+transform 1 0 30240 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input235
+timestamp 1621261055
+transform 1 0 30720 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input237
+timestamp 1621261055
+transform 1 0 31488 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input240
+timestamp 1621261055
+transform 1 0 32256 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input242
+timestamp 1621261055
+transform 1 0 33024 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input244
+timestamp 1621261055
+transform 1 0 33792 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input246
+timestamp 1621261055
+transform 1 0 34560 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_222
+timestamp 1621261055
+transform 1 0 35520 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input251
+timestamp 1621261055
+transform 1 0 36000 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input253
+timestamp 1621261055
+transform 1 0 36768 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input255
+timestamp 1621261055
+transform 1 0 37536 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input257
+timestamp 1621261055
+transform 1 0 38304 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input259
+timestamp 1621261055
+transform 1 0 39072 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input263
+timestamp 1621261055
+transform 1 0 39840 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_223
+timestamp 1621261055
+transform 1 0 40800 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input267
+timestamp 1621261055
+transform 1 0 41280 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input269
+timestamp 1621261055
+transform 1 0 42048 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input271
+timestamp 1621261055
+transform 1 0 42816 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input274
+timestamp 1621261055
+transform 1 0 43584 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input276
+timestamp 1621261055
+transform 1 0 44352 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input278
+timestamp 1621261055
+transform 1 0 45120 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_224
+timestamp 1621261055
+transform 1 0 46080 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input282
+timestamp 1621261055
+transform 1 0 46560 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input285
+timestamp 1621261055
+transform 1 0 47328 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input287
+timestamp 1621261055
+transform 1 0 48096 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input289
+timestamp 1621261055
+transform 1 0 48864 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input290
+timestamp 1621261055
+transform 1 0 49632 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input292
+timestamp 1621261055
+transform 1 0 50400 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_225
+timestamp 1621261055
+transform 1 0 51360 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input174
+timestamp 1621261055
+transform 1 0 52128 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input175
+timestamp 1621261055
+transform 1 0 52896 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_528
+timestamp 1621261055
+transform 1 0 51840 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_4_530
+timestamp 1621261055
+transform 1 0 52032 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_535
+timestamp 1621261055
+transform 1 0 52512 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input176
+timestamp 1621261055
+transform 1 0 53664 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input179
+timestamp 1621261055
+transform 1 0 54432 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_543
+timestamp 1621261055
+transform 1 0 53280 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_551
+timestamp 1621261055
+transform 1 0 54048 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_4_559
+timestamp 1621261055
+transform 1 0 54816 0 -1 5994
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_567
+timestamp 1621261055
+transform 1 0 55584 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_4_569
+timestamp 1621261055
+transform 1 0 55776 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input69
+timestamp 1621261055
+transform 1 0 55872 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_574
+timestamp 1621261055
+transform 1 0 56256 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_226
+timestamp 1621261055
+transform 1 0 56640 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_4_585
+timestamp 1621261055
+transform 1 0 57312 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_583
+timestamp 1621261055
+transform 1 0 57120 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input63
+timestamp 1621261055
+transform 1 0 57408 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_4_590
+timestamp 1621261055
+transform 1 0 57792 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_4_594
+timestamp 1621261055
+transform 1 0 58176 0 -1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_9
+timestamp 1621261055
+transform -1 0 58848 0 -1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_4_596
+timestamp 1621261055
+transform 1 0 58368 0 -1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  PHY_10
+timestamp 1621261055
+transform 1 0 1152 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input357
+timestamp 1621261055
+transform 1 0 3168 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input363
+timestamp 1621261055
+transform 1 0 1536 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input364
+timestamp 1621261055
+transform 1 0 2304 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_8
+timestamp 1621261055
+transform 1 0 1920 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_16
+timestamp 1621261055
+transform 1 0 2688 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_5_20
+timestamp 1621261055
+transform 1 0 3072 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_25
+timestamp 1621261055
+transform 1 0 3552 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input359
+timestamp 1621261055
+transform 1 0 3936 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input361
+timestamp 1621261055
+transform 1 0 4704 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output578
+timestamp 1621261055
+transform 1 0 5472 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_33
+timestamp 1621261055
+transform 1 0 4320 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_41
+timestamp 1621261055
+transform 1 0 5088 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_49
+timestamp 1621261055
+transform 1 0 5856 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_53
+timestamp 1621261055
+transform 1 0 6240 0 1 5994
+box -38 -49 230 715
+use AND2X2  AND2X2
+timestamp 1624954255
+transform 1 0 7680 0 1 5994
+box 0 -48 1152 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_227
+timestamp 1621261055
+transform 1 0 6432 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output580
+timestamp 1621261055
+transform 1 0 6912 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_87
+timestamp 1621261055
+transform 1 0 7488 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_204
+timestamp 1621261055
+transform 1 0 6720 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_56
+timestamp 1621261055
+transform 1 0 6528 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_64
+timestamp 1621261055
+transform 1 0 7296 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_80
+timestamp 1621261055
+transform 1 0 8832 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input344
+timestamp 1621261055
+transform 1 0 9408 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input346
+timestamp 1621261055
+transform 1 0 10176 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input348
+timestamp 1621261055
+transform 1 0 10944 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_84
+timestamp 1621261055
+transform 1 0 9216 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_90
+timestamp 1621261055
+transform 1 0 9792 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_98
+timestamp 1621261055
+transform 1 0 10560 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_106
+timestamp 1621261055
+transform 1 0 11328 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_228
+timestamp 1621261055
+transform 1 0 11712 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input350
+timestamp 1621261055
+transform 1 0 12192 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input353
+timestamp 1621261055
+transform 1 0 12960 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output447
+timestamp 1621261055
+transform 1 0 13728 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_111
+timestamp 1621261055
+transform 1 0 11808 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_119
+timestamp 1621261055
+transform 1 0 12576 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_127
+timestamp 1621261055
+transform 1 0 13344 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_135
+timestamp 1621261055
+transform 1 0 14112 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output497
+timestamp 1621261055
+transform 1 0 14496 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output508
+timestamp 1621261055
+transform 1 0 15264 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output530
+timestamp 1621261055
+transform 1 0 16032 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_143
+timestamp 1621261055
+transform 1 0 14880 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_151
+timestamp 1621261055
+transform 1 0 15648 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_159
+timestamp 1621261055
+transform 1 0 16416 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_166
+timestamp 1621261055
+transform 1 0 17088 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_163
+timestamp 1621261055
+transform 1 0 16800 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_229
+timestamp 1621261055
+transform 1 0 16992 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_99
+timestamp 1621261055
+transform 1 0 17280 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output458
+timestamp 1621261055
+transform 1 0 17472 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_174
+timestamp 1621261055
+transform 1 0 17856 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output478
+timestamp 1621261055
+transform 1 0 18240 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_182
+timestamp 1621261055
+transform 1 0 18624 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_120
+timestamp 1621261055
+transform 1 0 18816 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output480
+timestamp 1621261055
+transform 1 0 19008 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output482
+timestamp 1621261055
+transform 1 0 19776 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output483
+timestamp 1621261055
+transform 1 0 20544 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output485
+timestamp 1621261055
+transform 1 0 21312 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_190
+timestamp 1621261055
+transform 1 0 19392 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_198
+timestamp 1621261055
+transform 1 0 20160 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_206
+timestamp 1621261055
+transform 1 0 20928 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_214
+timestamp 1621261055
+transform 1 0 21696 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_218
+timestamp 1621261055
+transform 1 0 22080 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_230
+timestamp 1621261055
+transform 1 0 22272 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_221
+timestamp 1621261055
+transform 1 0 22368 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_126
+timestamp 1621261055
+transform 1 0 22560 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output490
+timestamp 1621261055
+transform 1 0 22752 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_229
+timestamp 1621261055
+transform 1 0 23136 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output492
+timestamp 1621261055
+transform 1 0 23520 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_237
+timestamp 1621261055
+transform 1 0 23904 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_128
+timestamp 1621261055
+transform 1 0 24096 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output494
+timestamp 1621261055
+transform 1 0 24288 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input222
+timestamp 1621261055
+transform 1 0 25632 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input225
+timestamp 1621261055
+transform 1 0 26784 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_5_245
+timestamp 1621261055
+transform 1 0 24672 0 1 5994
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_253
+timestamp 1621261055
+transform 1 0 25440 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_5_259
+timestamp 1621261055
+transform 1 0 26016 0 1 5994
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_271
+timestamp 1621261055
+transform 1 0 27168 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_276
+timestamp 1621261055
+transform 1 0 27648 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_231
+timestamp 1621261055
+transform 1 0 27552 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_284
+timestamp 1621261055
+transform 1 0 28416 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output504
+timestamp 1621261055
+transform 1 0 28032 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_138
+timestamp 1621261055
+transform 1 0 28608 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output507
+timestamp 1621261055
+transform 1 0 28800 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_292
+timestamp 1621261055
+transform 1 0 29184 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_5_296
+timestamp 1621261055
+transform 1 0 29568 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input234
+timestamp 1621261055
+transform 1 0 29664 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input238
+timestamp 1621261055
+transform 1 0 31200 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output512
+timestamp 1621261055
+transform 1 0 30432 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output516
+timestamp 1621261055
+transform 1 0 31968 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_301
+timestamp 1621261055
+transform 1 0 30048 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_309
+timestamp 1621261055
+transform 1 0 30816 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_317
+timestamp 1621261055
+transform 1 0 31584 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_325
+timestamp 1621261055
+transform 1 0 32352 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_331
+timestamp 1621261055
+transform 1 0 32928 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_5_329
+timestamp 1621261055
+transform 1 0 32736 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_146
+timestamp 1621261055
+transform 1 0 33120 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_232
+timestamp 1621261055
+transform 1 0 32832 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output521
+timestamp 1621261055
+transform 1 0 33312 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_339
+timestamp 1621261055
+transform 1 0 33696 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output523
+timestamp 1621261055
+transform 1 0 34080 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_347
+timestamp 1621261055
+transform 1 0 34464 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_150
+timestamp 1621261055
+transform -1 0 34848 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output525
+timestamp 1621261055
+transform -1 0 35232 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _099_
+timestamp 1621261055
+transform 1 0 35616 0 1 5994
+box -38 -49 326 715
+use sky130_fd_sc_ls__clkbuf_1  input254
+timestamp 1621261055
+transform 1 0 36288 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output532
+timestamp 1621261055
+transform -1 0 37440 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_158
+timestamp 1621261055
+transform -1 0 37056 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_355
+timestamp 1621261055
+transform 1 0 35232 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_362
+timestamp 1621261055
+transform 1 0 35904 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_370
+timestamp 1621261055
+transform 1 0 36672 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_378
+timestamp 1621261055
+transform 1 0 37440 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_233
+timestamp 1621261055
+transform 1 0 38112 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input262
+timestamp 1621261055
+transform 1 0 38880 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_382
+timestamp 1621261055
+transform 1 0 37824 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_5_384
+timestamp 1621261055
+transform 1 0 38016 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_386
+timestamp 1621261055
+transform 1 0 38208 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_390
+timestamp 1621261055
+transform 1 0 38592 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_5_392
+timestamp 1621261055
+transform 1 0 38784 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_5_397
+timestamp 1621261055
+transform 1 0 39264 0 1 5994
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_405
+timestamp 1621261055
+transform 1 0 40032 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_5_407
+timestamp 1621261055
+transform 1 0 40224 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input266
+timestamp 1621261055
+transform 1 0 40320 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_412
+timestamp 1621261055
+transform 1 0 40704 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_168
+timestamp 1621261055
+transform -1 0 41088 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output543
+timestamp 1621261055
+transform -1 0 41472 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_420
+timestamp 1621261055
+transform 1 0 41472 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input270
+timestamp 1621261055
+transform 1 0 41856 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_428
+timestamp 1621261055
+transform 1 0 42240 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_174
+timestamp 1621261055
+transform -1 0 42624 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output547
+timestamp 1621261055
+transform -1 0 43008 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_234
+timestamp 1621261055
+transform 1 0 43392 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output551
+timestamp 1621261055
+transform 1 0 43872 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output555
+timestamp 1621261055
+transform 1 0 44640 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_436
+timestamp 1621261055
+transform 1 0 43008 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_441
+timestamp 1621261055
+transform 1 0 43488 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_449
+timestamp 1621261055
+transform 1 0 44256 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_457
+timestamp 1621261055
+transform 1 0 45024 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input281
+timestamp 1621261055
+transform 1 0 45504 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input286
+timestamp 1621261055
+transform 1 0 46944 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input288
+timestamp 1621261055
+transform 1 0 47712 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_5_461
+timestamp 1621261055
+transform 1 0 45408 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_5_466
+timestamp 1621261055
+transform 1 0 45888 0 1 5994
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_474
+timestamp 1621261055
+transform 1 0 46656 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_5_476
+timestamp 1621261055
+transform 1 0 46848 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_481
+timestamp 1621261055
+transform 1 0 47328 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_235
+timestamp 1621261055
+transform 1 0 48672 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input291
+timestamp 1621261055
+transform 1 0 49152 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input293
+timestamp 1621261055
+transform 1 0 49920 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_489
+timestamp 1621261055
+transform 1 0 48096 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_493
+timestamp 1621261055
+transform 1 0 48480 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_496
+timestamp 1621261055
+transform 1 0 48768 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_504
+timestamp 1621261055
+transform 1 0 49536 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_512
+timestamp 1621261055
+transform 1 0 50304 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output448
+timestamp 1621261055
+transform 1 0 50688 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output450
+timestamp 1621261055
+transform -1 0 51840 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output451
+timestamp 1621261055
+transform 1 0 52224 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_94
+timestamp 1621261055
+transform -1 0 51456 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_520
+timestamp 1621261055
+transform 1 0 51072 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_528
+timestamp 1621261055
+transform 1 0 51840 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_536
+timestamp 1621261055
+transform 1 0 52608 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_540
+timestamp 1621261055
+transform 1 0 52992 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_236
+timestamp 1621261055
+transform 1 0 53952 0 1 5994
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input180
+timestamp 1621261055
+transform 1 0 54432 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input181
+timestamp 1621261055
+transform 1 0 55200 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input192
+timestamp 1621261055
+transform 1 0 53184 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_546
+timestamp 1621261055
+transform 1 0 53568 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_551
+timestamp 1621261055
+transform 1 0 54048 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_559
+timestamp 1621261055
+transform 1 0 54816 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_567
+timestamp 1621261055
+transform 1 0 55584 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input65
+timestamp 1621261055
+transform 1 0 57696 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input67
+timestamp 1621261055
+transform 1 0 56928 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input183
+timestamp 1621261055
+transform 1 0 55968 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_575
+timestamp 1621261055
+transform 1 0 56352 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_5_579
+timestamp 1621261055
+transform 1 0 56736 0 1 5994
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_585
+timestamp 1621261055
+transform 1 0 57312 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_5_593
+timestamp 1621261055
+transform 1 0 58080 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_11
+timestamp 1621261055
+transform -1 0 58848 0 1 5994
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_12
+timestamp 1621261055
+transform 1 0 1152 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input365
+timestamp 1621261055
+transform 1 0 2496 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input366
+timestamp 1621261055
+transform 1 0 1536 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_8
+timestamp 1621261055
+transform 1 0 1920 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_6_18
+timestamp 1621261055
+transform 1 0 2880 0 -1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_26
+timestamp 1621261055
+transform 1 0 3648 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_231
+timestamp 1621261055
+transform 1 0 4128 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_237
+timestamp 1621261055
+transform 1 0 3840 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output601
+timestamp 1621261055
+transform 1 0 4320 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output604
+timestamp 1621261055
+transform 1 0 5088 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_202
+timestamp 1621261055
+transform 1 0 5664 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output579
+timestamp 1621261055
+transform 1 0 5856 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output581
+timestamp 1621261055
+transform 1 0 6624 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output582
+timestamp 1621261055
+transform 1 0 7392 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output583
+timestamp 1621261055
+transform 1 0 8160 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_206
+timestamp 1621261055
+transform 1 0 7200 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_208
+timestamp 1621261055
+transform 1 0 7968 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_238
+timestamp 1621261055
+transform 1 0 9120 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_210
+timestamp 1621261055
+transform 1 0 9408 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output585
+timestamp 1621261055
+transform 1 0 9600 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_215
+timestamp 1621261055
+transform -1 0 10368 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output588
+timestamp 1621261055
+transform -1 0 10752 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_6_104
+timestamp 1621261055
+transform 1 0 11136 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input349
+timestamp 1621261055
+transform 1 0 11232 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input354
+timestamp 1621261055
+transform 1 0 12672 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output486
+timestamp 1621261055
+transform 1 0 13440 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_6_109
+timestamp 1621261055
+transform 1 0 11616 0 -1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_117
+timestamp 1621261055
+transform 1 0 12384 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_6_119
+timestamp 1621261055
+transform 1 0 12576 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_239
+timestamp 1621261055
+transform 1 0 14400 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output519
+timestamp 1621261055
+transform 1 0 14880 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output541
+timestamp 1621261055
+transform 1 0 15648 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_6_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_2  output469
+timestamp 1621261055
+transform 1 0 17088 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output479
+timestamp 1621261055
+transform 1 0 17856 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output481
+timestamp 1621261055
+transform 1 0 18624 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_6_165
+timestamp 1621261055
+transform 1 0 16992 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_170
+timestamp 1621261055
+transform 1 0 17472 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_178
+timestamp 1621261055
+transform 1 0 18240 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_186
+timestamp 1621261055
+transform 1 0 19008 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_6_192
+timestamp 1621261055
+transform 1 0 19584 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_190
+timestamp 1621261055
+transform 1 0 19392 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_240
+timestamp 1621261055
+transform 1 0 19680 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output484
+timestamp 1621261055
+transform 1 0 20160 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output487
+timestamp 1621261055
+transform 1 0 20928 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_124
+timestamp 1621261055
+transform -1 0 21696 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output489
+timestamp 1621261055
+transform -1 0 22080 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output491
+timestamp 1621261055
+transform 1 0 22464 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output493
+timestamp 1621261055
+transform 1 0 23232 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output495
+timestamp 1621261055
+transform 1 0 24000 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_132
+timestamp 1621261055
+transform 1 0 25248 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_241
+timestamp 1621261055
+transform 1 0 24960 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output499
+timestamp 1621261055
+transform 1 0 25440 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output501
+timestamp 1621261055
+transform 1 0 26208 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_136
+timestamp 1621261055
+transform 1 0 26784 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output503
+timestamp 1621261055
+transform 1 0 26976 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output506
+timestamp 1621261055
+transform 1 0 27744 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output509
+timestamp 1621261055
+transform -1 0 28896 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output511
+timestamp 1621261055
+transform 1 0 29280 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_140
+timestamp 1621261055
+transform -1 0 28512 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_242
+timestamp 1621261055
+transform 1 0 30240 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output515
+timestamp 1621261055
+transform 1 0 30720 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_142
+timestamp 1621261055
+transform -1 0 31488 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output517
+timestamp 1621261055
+transform -1 0 31872 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_144
+timestamp 1621261055
+transform 1 0 32064 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output520
+timestamp 1621261055
+transform 1 0 32256 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output522
+timestamp 1621261055
+transform -1 0 33408 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output524
+timestamp 1621261055
+transform -1 0 34176 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output527
+timestamp 1621261055
+transform 1 0 34560 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_148
+timestamp 1621261055
+transform -1 0 33024 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_149
+timestamp 1621261055
+transform -1 0 33792 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_243
+timestamp 1621261055
+transform 1 0 35520 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_156
+timestamp 1621261055
+transform -1 0 36000 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output531
+timestamp 1621261055
+transform -1 0 36384 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output534
+timestamp 1621261055
+transform 1 0 36768 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_162
+timestamp 1621261055
+transform -1 0 37536 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output536
+timestamp 1621261055
+transform -1 0 37920 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output537
+timestamp 1621261055
+transform 1 0 38304 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output538
+timestamp 1621261055
+transform 1 0 39072 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output540
+timestamp 1621261055
+transform 1 0 39840 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_244
+timestamp 1621261055
+transform 1 0 40800 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output545
+timestamp 1621261055
+transform 1 0 41280 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output548
+timestamp 1621261055
+transform 1 0 42048 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output550
+timestamp 1621261055
+transform 1 0 42816 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output554
+timestamp 1621261055
+transform -1 0 43968 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output556
+timestamp 1621261055
+transform 1 0 44352 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output558
+timestamp 1621261055
+transform 1 0 45120 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_178
+timestamp 1621261055
+transform -1 0 43584 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_245
+timestamp 1621261055
+transform 1 0 46080 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_180
+timestamp 1621261055
+transform -1 0 46560 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output561
+timestamp 1621261055
+transform -1 0 46944 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_184
+timestamp 1621261055
+transform -1 0 47328 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output564
+timestamp 1621261055
+transform -1 0 47712 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output449
+timestamp 1621261055
+transform 1 0 50112 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output566
+timestamp 1621261055
+transform 1 0 48096 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output568
+timestamp 1621261055
+transform -1 0 49248 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_188
+timestamp 1621261055
+transform 1 0 47904 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_191
+timestamp 1621261055
+transform -1 0 48864 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_6_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_6_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_246
+timestamp 1621261055
+transform 1 0 51360 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output452
+timestamp 1621261055
+transform 1 0 51840 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output454
+timestamp 1621261055
+transform 1 0 52608 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_6_514
+timestamp 1621261055
+transform 1 0 50496 0 -1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_6_522
+timestamp 1621261055
+transform 1 0 51264 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_6_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input182
+timestamp 1621261055
+transform 1 0 54720 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input184
+timestamp 1621261055
+transform 1 0 55488 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input196
+timestamp 1621261055
+transform 1 0 53952 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_554
+timestamp 1621261055
+transform 1 0 54336 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_562
+timestamp 1621261055
+transform 1 0 55104 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_247
+timestamp 1621261055
+transform 1 0 56640 0 -1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input66
+timestamp 1621261055
+transform 1 0 57696 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_6_570
+timestamp 1621261055
+transform 1 0 55872 0 -1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_6_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_6_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_6_593
+timestamp 1621261055
+transform 1 0 58080 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_13
+timestamp 1621261055
+transform -1 0 58848 0 -1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output575
+timestamp 1621261055
+transform 1 0 1536 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input367
+timestamp 1621261055
+transform 1 0 1536 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_16
+timestamp 1621261055
+transform 1 0 1152 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_14
+timestamp 1621261055
+transform 1 0 1152 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_8
+timestamp 1621261055
+transform 1 0 1920 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_8
+timestamp 1621261055
+transform 1 0 1920 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_213
+timestamp 1621261055
+transform 1 0 2112 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_198
+timestamp 1621261055
+transform 1 0 2112 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output587
+timestamp 1621261055
+transform 1 0 2304 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output576
+timestamp 1621261055
+transform 1 0 2304 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_16
+timestamp 1621261055
+transform 1 0 2688 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_16
+timestamp 1621261055
+transform 1 0 2688 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_229
+timestamp 1621261055
+transform 1 0 2880 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_24
+timestamp 1621261055
+transform 1 0 3456 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_24
+timestamp 1621261055
+transform 1 0 3456 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output602
+timestamp 1621261055
+transform 1 0 3072 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output598
+timestamp 1621261055
+transform 1 0 3072 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_233
+timestamp 1621261055
+transform 1 0 3648 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_32
+timestamp 1621261055
+transform 1 0 4224 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output606
+timestamp 1621261055
+transform 1 0 4320 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output603
+timestamp 1621261055
+transform 1 0 3840 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_258
+timestamp 1621261055
+transform 1 0 3840 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_44
+timestamp 1621261055
+transform 1 0 5376 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_40
+timestamp 1621261055
+transform 1 0 4992 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_235
+timestamp 1621261055
+transform 1 0 5184 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output607
+timestamp 1621261055
+transform 1 0 5376 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output605
+timestamp 1621261055
+transform 1 0 4608 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _098_
+timestamp 1621261055
+transform 1 0 5088 0 -1 8658
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_51
+timestamp 1621261055
+transform 1 0 6048 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_52
+timestamp 1621261055
+transform 1 0 6144 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_48
+timestamp 1621261055
+transform 1 0 5760 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _112_
+timestamp 1621261055
+transform 1 0 5760 0 -1 8658
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_1  FILLER_7_54
+timestamp 1621261055
+transform 1 0 6336 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_59
+timestamp 1621261055
+transform 1 0 6816 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_7_65
+timestamp 1621261055
+transform 1 0 7392 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_63
+timestamp 1621261055
+transform 1 0 7200 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_56
+timestamp 1621261055
+transform 1 0 6528 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_91
+timestamp 1621261055
+transform 1 0 7488 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_14
+timestamp 1621261055
+transform -1 0 6912 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_248
+timestamp 1621261055
+transform 1 0 6432 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _005_
+timestamp 1621261055
+transform -1 0 7200 0 1 7326
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_72
+timestamp 1621261055
+transform 1 0 8064 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_8_67
+timestamp 1621261055
+transform 1 0 7584 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output584
+timestamp 1621261055
+transform 1 0 7680 0 -1 8658
+box -38 -49 422 715
+use AOI21X1  AOI21X1
+timestamp 1624954255
+transform 1 0 7680 0 1 7326
+box 0 -48 1152 714
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_80
+timestamp 1621261055
+transform 1 0 8832 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_80
+timestamp 1621261055
+transform 1 0 8832 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_8_82
+timestamp 1621261055
+transform 1 0 9024 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_211
+timestamp 1621261055
+transform 1 0 9024 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output586
+timestamp 1621261055
+transform 1 0 9216 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_259
+timestamp 1621261055
+transform 1 0 9120 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_88
+timestamp 1621261055
+transform 1 0 9600 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_219
+timestamp 1621261055
+transform 1 0 9408 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_217
+timestamp 1621261055
+transform 1 0 9792 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output590
+timestamp 1621261055
+transform 1 0 9600 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output589
+timestamp 1621261055
+transform 1 0 9984 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_96
+timestamp 1621261055
+transform 1 0 10368 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output592
+timestamp 1621261055
+transform 1 0 10368 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output591
+timestamp 1621261055
+transform 1 0 10752 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_104
+timestamp 1621261055
+transform 1 0 11136 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_221
+timestamp 1621261055
+transform 1 0 10944 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output593
+timestamp 1621261055
+transform 1 0 11136 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_108
+timestamp 1621261055
+transform 1 0 11520 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_111
+timestamp 1621261055
+transform 1 0 11808 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_223
+timestamp 1621261055
+transform -1 0 11904 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output595
+timestamp 1621261055
+transform -1 0 12288 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output594
+timestamp 1621261055
+transform 1 0 12192 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_249
+timestamp 1621261055
+transform 1 0 11712 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_119
+timestamp 1621261055
+transform 1 0 12576 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_227
+timestamp 1621261055
+transform 1 0 12480 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_225
+timestamp 1621261055
+transform 1 0 12768 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output597
+timestamp 1621261055
+transform 1 0 12672 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output596
+timestamp 1621261055
+transform 1 0 12960 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_127
+timestamp 1621261055
+transform 1 0 13344 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output600
+timestamp 1621261055
+transform 1 0 13440 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output599
+timestamp 1621261055
+transform 1 0 13728 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_135
+timestamp 1621261055
+transform 1 0 14112 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_260
+timestamp 1621261055
+transform 1 0 14400 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output552
+timestamp 1621261055
+transform 1 0 15648 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output563
+timestamp 1621261055
+transform 1 0 16032 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_143
+timestamp 1621261055
+transform 1 0 14880 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_155
+timestamp 1621261055
+transform 1 0 16032 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_159
+timestamp 1621261055
+transform 1 0 16416 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_167
+timestamp 1621261055
+transform 1 0 17184 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_166
+timestamp 1621261055
+transform 1 0 17088 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_163
+timestamp 1621261055
+transform 1 0 16800 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output574
+timestamp 1621261055
+transform 1 0 16800 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_250
+timestamp 1621261055
+transform 1 0 16992 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_175
+timestamp 1621261055
+transform 1 0 17952 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_174
+timestamp 1621261055
+transform 1 0 17856 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_12
+timestamp 1621261055
+transform 1 0 18240 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_183
+timestamp 1621261055
+transform 1 0 18720 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_183
+timestamp 1621261055
+transform 1 0 18720 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _016_
+timestamp 1621261055
+transform 1 0 18432 0 1 7326
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_191
+timestamp 1621261055
+transform 1 0 19488 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_261
+timestamp 1621261055
+transform 1 0 19680 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_7_201
+timestamp 1621261055
+transform 1 0 20448 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_199
+timestamp 1621261055
+transform 1 0 20256 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_122
+timestamp 1621261055
+transform 1 0 20544 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output488
+timestamp 1621261055
+transform 1 0 20736 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_208
+timestamp 1621261055
+transform 1 0 21120 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_216
+timestamp 1621261055
+transform 1 0 21888 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_221
+timestamp 1621261055
+transform 1 0 22368 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_251
+timestamp 1621261055
+transform 1 0 22272 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_233
+timestamp 1621261055
+transform 1 0 23520 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_229
+timestamp 1621261055
+transform 1 0 23136 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_239
+timestamp 1621261055
+transform 1 0 24096 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_130
+timestamp 1621261055
+transform 1 0 24288 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output496
+timestamp 1621261055
+transform 1 0 23712 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output498
+timestamp 1621261055
+transform 1 0 24480 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_247
+timestamp 1621261055
+transform 1 0 24864 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_134
+timestamp 1621261055
+transform -1 0 25248 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output500
+timestamp 1621261055
+transform -1 0 25632 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_262
+timestamp 1621261055
+transform 1 0 24960 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_255
+timestamp 1621261055
+transform 1 0 25632 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output502
+timestamp 1621261055
+transform 1 0 26016 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_263
+timestamp 1621261055
+transform 1 0 26400 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output505
+timestamp 1621261055
+transform 1 0 26784 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_276
+timestamp 1621261055
+transform 1 0 27648 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_271
+timestamp 1621261055
+transform 1 0 27168 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_252
+timestamp 1621261055
+transform 1 0 27552 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_285
+timestamp 1621261055
+transform 1 0 28512 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_7_280
+timestamp 1621261055
+transform 1 0 28032 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output510
+timestamp 1621261055
+transform 1 0 28128 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_296
+timestamp 1621261055
+transform 1 0 29568 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_7_291
+timestamp 1621261055
+transform 1 0 29088 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_289
+timestamp 1621261055
+transform 1 0 28896 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output513
+timestamp 1621261055
+transform 1 0 29184 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_304
+timestamp 1621261055
+transform 1 0 30336 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output514
+timestamp 1621261055
+transform 1 0 29952 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_263
+timestamp 1621261055
+transform 1 0 30240 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_7_310
+timestamp 1621261055
+transform 1 0 30912 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_308
+timestamp 1621261055
+transform 1 0 30720 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output518
+timestamp 1621261055
+transform 1 0 31008 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_323
+timestamp 1621261055
+transform 1 0 32160 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_315
+timestamp 1621261055
+transform 1 0 31392 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_331
+timestamp 1621261055
+transform 1 0 32928 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_7_329
+timestamp 1621261055
+transform 1 0 32736 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_327
+timestamp 1621261055
+transform 1 0 32544 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_253
+timestamp 1621261055
+transform 1 0 32832 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_7_335
+timestamp 1621261055
+transform 1 0 33312 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_152
+timestamp 1621261055
+transform -1 0 33600 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output526
+timestamp 1621261055
+transform -1 0 33984 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_342
+timestamp 1621261055
+transform 1 0 33984 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_155
+timestamp 1621261055
+transform -1 0 34944 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_154
+timestamp 1621261055
+transform -1 0 34368 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output528
+timestamp 1621261055
+transform -1 0 34752 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_358
+timestamp 1621261055
+transform 1 0 35520 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_352
+timestamp 1621261055
+transform 1 0 34944 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output529
+timestamp 1621261055
+transform 1 0 35136 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_264
+timestamp 1621261055
+transform 1 0 35520 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_366
+timestamp 1621261055
+transform 1 0 36288 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_160
+timestamp 1621261055
+transform -1 0 36672 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output533
+timestamp 1621261055
+transform 1 0 35904 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_374
+timestamp 1621261055
+transform 1 0 37056 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_2  output535
+timestamp 1621261055
+transform -1 0 37056 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_386
+timestamp 1621261055
+transform 1 0 38208 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_7_384
+timestamp 1621261055
+transform 1 0 38016 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_382
+timestamp 1621261055
+transform 1 0 37824 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_254
+timestamp 1621261055
+transform 1 0 38112 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_394
+timestamp 1621261055
+transform 1 0 38976 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_164
+timestamp 1621261055
+transform 1 0 38400 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output539
+timestamp 1621261055
+transform 1 0 38592 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_402
+timestamp 1621261055
+transform 1 0 39744 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_170
+timestamp 1621261055
+transform -1 0 40128 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_166
+timestamp 1621261055
+transform -1 0 39360 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output542
+timestamp 1621261055
+transform -1 0 39744 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_410
+timestamp 1621261055
+transform 1 0 40512 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_172
+timestamp 1621261055
+transform -1 0 40896 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output544
+timestamp 1621261055
+transform -1 0 40512 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_265
+timestamp 1621261055
+transform 1 0 40800 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_421
+timestamp 1621261055
+transform 1 0 41568 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_418
+timestamp 1621261055
+transform 1 0 41280 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output549
+timestamp 1621261055
+transform 1 0 41664 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output546
+timestamp 1621261055
+transform -1 0 41280 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _077_
+timestamp 1621261055
+transform 1 0 41280 0 -1 8658
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_429
+timestamp 1621261055
+transform 1 0 42336 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_426
+timestamp 1621261055
+transform 1 0 42048 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_176
+timestamp 1621261055
+transform -1 0 42432 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output553
+timestamp 1621261055
+transform -1 0 42816 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_436
+timestamp 1621261055
+transform 1 0 43008 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_438
+timestamp 1621261055
+transform 1 0 43200 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_434
+timestamp 1621261055
+transform 1 0 42816 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_255
+timestamp 1621261055
+transform 1 0 43392 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _123_
+timestamp 1621261055
+transform 1 0 42720 0 -1 8658
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_444
+timestamp 1621261055
+transform 1 0 43776 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_449
+timestamp 1621261055
+transform 1 0 44256 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_441
+timestamp 1621261055
+transform 1 0 43488 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output557
+timestamp 1621261055
+transform 1 0 43872 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_452
+timestamp 1621261055
+transform 1 0 44544 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_457
+timestamp 1621261055
+transform 1 0 45024 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output559
+timestamp 1621261055
+transform 1 0 44640 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_460
+timestamp 1621261055
+transform 1 0 45312 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_465
+timestamp 1621261055
+transform 1 0 45792 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_182
+timestamp 1621261055
+transform -1 0 46176 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output560
+timestamp 1621261055
+transform 1 0 45408 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_473
+timestamp 1621261055
+transform 1 0 46560 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_186
+timestamp 1621261055
+transform 1 0 46752 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output562
+timestamp 1621261055
+transform -1 0 46560 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_266
+timestamp 1621261055
+transform 1 0 46080 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_8_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_481
+timestamp 1621261055
+transform 1 0 47328 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_189
+timestamp 1621261055
+transform -1 0 47712 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output567
+timestamp 1621261055
+transform -1 0 48096 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output565
+timestamp 1621261055
+transform 1 0 46944 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_192
+timestamp 1621261055
+transform -1 0 48000 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_489
+timestamp 1621261055
+transform 1 0 48096 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output569
+timestamp 1621261055
+transform -1 0 48384 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_492
+timestamp 1621261055
+transform 1 0 48384 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_493
+timestamp 1621261055
+transform 1 0 48480 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_256
+timestamp 1621261055
+transform 1 0 48672 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_500
+timestamp 1621261055
+transform 1 0 49152 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_496
+timestamp 1621261055
+transform 1 0 48768 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_194
+timestamp 1621261055
+transform -1 0 49152 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output571
+timestamp 1621261055
+transform 1 0 48768 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output570
+timestamp 1621261055
+transform -1 0 49536 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_504
+timestamp 1621261055
+transform 1 0 49536 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output573
+timestamp 1621261055
+transform 1 0 49536 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_508
+timestamp 1621261055
+transform 1 0 49920 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_196
+timestamp 1621261055
+transform -1 0 49920 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output572
+timestamp 1621261055
+transform -1 0 50304 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_512
+timestamp 1621261055
+transform 1 0 50304 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _175_
+timestamp 1621261055
+transform 1 0 50304 0 -1 8658
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_515
+timestamp 1621261055
+transform 1 0 50592 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_516
+timestamp 1621261055
+transform 1 0 50688 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output476
+timestamp 1621261055
+transform 1 0 50880 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_8_530
+timestamp 1621261055
+transform 1 0 52032 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_528
+timestamp 1621261055
+transform 1 0 51840 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_530
+timestamp 1621261055
+transform 1 0 52032 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_522
+timestamp 1621261055
+transform 1 0 51264 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_95
+timestamp 1621261055
+transform -1 0 51648 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output453
+timestamp 1621261055
+transform -1 0 52032 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_267
+timestamp 1621261055
+transform 1 0 51360 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_537
+timestamp 1621261055
+transform 1 0 52704 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_538
+timestamp 1621261055
+transform 1 0 52800 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_117
+timestamp 1621261055
+transform -1 0 52320 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_97
+timestamp 1621261055
+transform -1 0 52416 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output475
+timestamp 1621261055
+transform -1 0 52704 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output455
+timestamp 1621261055
+transform -1 0 52800 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_545
+timestamp 1621261055
+transform 1 0 53472 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_546
+timestamp 1621261055
+transform 1 0 53568 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_101
+timestamp 1621261055
+transform -1 0 53856 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output459
+timestamp 1621261055
+transform -1 0 54240 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output457
+timestamp 1621261055
+transform 1 0 53088 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output456
+timestamp 1621261055
+transform 1 0 53184 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_553
+timestamp 1621261055
+transform 1 0 54240 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_551
+timestamp 1621261055
+transform 1 0 54048 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_257
+timestamp 1621261055
+transform 1 0 53952 0 1 7326
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_566
+timestamp 1621261055
+transform 1 0 55488 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_8_561
+timestamp 1621261055
+transform 1 0 55008 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_565
+timestamp 1621261055
+transform 1 0 55392 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_7_559
+timestamp 1621261055
+transform 1 0 54816 0 1 7326
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input197
+timestamp 1621261055
+transform 1 0 55104 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input194
+timestamp 1621261055
+transform 1 0 55008 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_574
+timestamp 1621261055
+transform 1 0 56256 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_573
+timestamp 1621261055
+transform 1 0 56160 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input193
+timestamp 1621261055
+transform 1 0 55872 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input185
+timestamp 1621261055
+transform 1 0 55776 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_8_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_7_581
+timestamp 1621261055
+transform 1 0 56928 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input188
+timestamp 1621261055
+transform 1 0 57120 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input186
+timestamp 1621261055
+transform 1 0 56544 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_268
+timestamp 1621261055
+transform 1 0 56640 0 -1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_8_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_7_589
+timestamp 1621261055
+transform 1 0 57696 0 1 7326
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_1  input187
+timestamp 1621261055
+transform 1 0 57312 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_15
+timestamp 1621261055
+transform -1 0 58848 0 1 7326
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_17
+timestamp 1621261055
+transform -1 0 58848 0 -1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_8_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _150_
+timestamp 1621261055
+transform 1 0 2976 0 1 8658
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  PHY_18
+timestamp 1621261055
+transform 1 0 1152 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_4
+timestamp 1621261055
+transform 1 0 1536 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_12
+timestamp 1621261055
+transform 1 0 2304 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_9_16
+timestamp 1621261055
+transform 1 0 2688 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_9_18
+timestamp 1621261055
+transform 1 0 2880 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_22
+timestamp 1621261055
+transform 1 0 3264 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_30
+timestamp 1621261055
+transform 1 0 4032 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_38
+timestamp 1621261055
+transform 1 0 4800 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_46
+timestamp 1621261055
+transform 1 0 5568 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_9_54
+timestamp 1621261055
+transform 1 0 6336 0 1 8658
+box -38 -49 134 715
+use AOI22X1  AOI22X1
+timestamp 1624954255
+transform 1 0 7680 0 1 8658
+box 0 -48 1440 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_269
+timestamp 1621261055
+transform 1 0 6432 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_49
+timestamp 1621261055
+transform 1 0 7488 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_56
+timestamp 1621261055
+transform 1 0 6528 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_9_64
+timestamp 1621261055
+transform 1 0 7296 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _209_
+timestamp 1621261055
+transform 1 0 10944 0 1 8658
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_83
+timestamp 1621261055
+transform 1 0 9120 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_91
+timestamp 1621261055
+transform 1 0 9888 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_9_99
+timestamp 1621261055
+transform 1 0 10656 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_9_101
+timestamp 1621261055
+transform 1 0 10848 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_105
+timestamp 1621261055
+transform 1 0 11232 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _218_
+timestamp 1621261055
+transform -1 0 14304 0 1 8658
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_270
+timestamp 1621261055
+transform 1 0 11712 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_25
+timestamp 1621261055
+transform -1 0 14016 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_9_109
+timestamp 1621261055
+transform 1 0 11616 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_111
+timestamp 1621261055
+transform 1 0 11808 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_119
+timestamp 1621261055
+transform 1 0 12576 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_127
+timestamp 1621261055
+transform 1 0 13344 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_9_131
+timestamp 1621261055
+transform 1 0 13728 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_137
+timestamp 1621261055
+transform 1 0 14304 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_145
+timestamp 1621261055
+transform 1 0 15072 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_153
+timestamp 1621261055
+transform 1 0 15840 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_161
+timestamp 1621261055
+transform 1 0 16608 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_271
+timestamp 1621261055
+transform 1 0 16992 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_166
+timestamp 1621261055
+transform 1 0 17088 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_174
+timestamp 1621261055
+transform 1 0 17856 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_182
+timestamp 1621261055
+transform 1 0 18624 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _212_
+timestamp 1621261055
+transform 1 0 20928 0 1 8658
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_190
+timestamp 1621261055
+transform 1 0 19392 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_198
+timestamp 1621261055
+transform 1 0 20160 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_209
+timestamp 1621261055
+transform 1 0 21216 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_272
+timestamp 1621261055
+transform 1 0 22272 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_9_217
+timestamp 1621261055
+transform 1 0 21984 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_9_219
+timestamp 1621261055
+transform 1 0 22176 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_221
+timestamp 1621261055
+transform 1 0 22368 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_229
+timestamp 1621261055
+transform 1 0 23136 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_237
+timestamp 1621261055
+transform 1 0 23904 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_245
+timestamp 1621261055
+transform 1 0 24672 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_253
+timestamp 1621261055
+transform 1 0 25440 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_261
+timestamp 1621261055
+transform 1 0 26208 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_269
+timestamp 1621261055
+transform 1 0 26976 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_273
+timestamp 1621261055
+transform 1 0 27552 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_9_273
+timestamp 1621261055
+transform 1 0 27360 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_276
+timestamp 1621261055
+transform 1 0 27648 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_284
+timestamp 1621261055
+transform 1 0 28416 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_292
+timestamp 1621261055
+transform 1 0 29184 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_300
+timestamp 1621261055
+transform 1 0 29952 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_308
+timestamp 1621261055
+transform 1 0 30720 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_316
+timestamp 1621261055
+transform 1 0 31488 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_324
+timestamp 1621261055
+transform 1 0 32256 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_274
+timestamp 1621261055
+transform 1 0 32832 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_9_328
+timestamp 1621261055
+transform 1 0 32640 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_331
+timestamp 1621261055
+transform 1 0 32928 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_339
+timestamp 1621261055
+transform 1 0 33696 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_347
+timestamp 1621261055
+transform 1 0 34464 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_355
+timestamp 1621261055
+transform 1 0 35232 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_363
+timestamp 1621261055
+transform 1 0 36000 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_371
+timestamp 1621261055
+transform 1 0 36768 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_275
+timestamp 1621261055
+transform 1 0 38112 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_379
+timestamp 1621261055
+transform 1 0 37536 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_9_383
+timestamp 1621261055
+transform 1 0 37920 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_386
+timestamp 1621261055
+transform 1 0 38208 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_394
+timestamp 1621261055
+transform 1 0 38976 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_402
+timestamp 1621261055
+transform 1 0 39744 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_410
+timestamp 1621261055
+transform 1 0 40512 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_418
+timestamp 1621261055
+transform 1 0 41280 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_426
+timestamp 1621261055
+transform 1 0 42048 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_276
+timestamp 1621261055
+transform 1 0 43392 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_434
+timestamp 1621261055
+transform 1 0 42816 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_9_438
+timestamp 1621261055
+transform 1 0 43200 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_441
+timestamp 1621261055
+transform 1 0 43488 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_449
+timestamp 1621261055
+transform 1 0 44256 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_457
+timestamp 1621261055
+transform 1 0 45024 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_465
+timestamp 1621261055
+transform 1 0 45792 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_473
+timestamp 1621261055
+transform 1 0 46560 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_481
+timestamp 1621261055
+transform 1 0 47328 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_277
+timestamp 1621261055
+transform 1 0 48672 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_489
+timestamp 1621261055
+transform 1 0 48096 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_9_493
+timestamp 1621261055
+transform 1 0 48480 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_496
+timestamp 1621261055
+transform 1 0 48768 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_504
+timestamp 1621261055
+transform 1 0 49536 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_512
+timestamp 1621261055
+transform 1 0 50304 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_111
+timestamp 1621261055
+transform -1 0 53184 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_520
+timestamp 1621261055
+transform 1 0 51072 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_528
+timestamp 1621261055
+transform 1 0 51840 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_536
+timestamp 1621261055
+transform 1 0 52608 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_278
+timestamp 1621261055
+transform 1 0 53952 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output460
+timestamp 1621261055
+transform 1 0 54432 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output462
+timestamp 1621261055
+transform -1 0 55584 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output472
+timestamp 1621261055
+transform -1 0 53568 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_104
+timestamp 1621261055
+transform -1 0 55200 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_105
+timestamp 1621261055
+transform -1 0 55776 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_546
+timestamp 1621261055
+transform 1 0 53568 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_551
+timestamp 1621261055
+transform 1 0 54048 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_9_559
+timestamp 1621261055
+transform 1 0 54816 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_1  input190
+timestamp 1621261055
+transform 1 0 57216 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_1  input195
+timestamp 1621261055
+transform 1 0 56448 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_569
+timestamp 1621261055
+transform 1 0 55776 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_9_573
+timestamp 1621261055
+transform 1 0 56160 0 1 8658
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_9_575
+timestamp 1621261055
+transform 1 0 56352 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_9_580
+timestamp 1621261055
+transform 1 0 56832 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_9_588
+timestamp 1621261055
+transform 1 0 57600 0 1 8658
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_19
+timestamp 1621261055
+transform -1 0 58848 0 1 8658
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_9_596
+timestamp 1621261055
+transform 1 0 58368 0 1 8658
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  PHY_20
+timestamp 1621261055
+transform 1 0 1152 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_279
+timestamp 1621261055
+transform 1 0 3840 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_10_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_280
+timestamp 1621261055
+transform 1 0 9120 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_281
+timestamp 1621261055
+transform 1 0 14400 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_10_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_282
+timestamp 1621261055
+transform 1 0 19680 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_10_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_283
+timestamp 1621261055
+transform 1 0 24960 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_10_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _194_
+timestamp 1621261055
+transform 1 0 30720 0 -1 9990
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_284
+timestamp 1621261055
+transform 1 0 30240 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_10_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_311
+timestamp 1621261055
+transform 1 0 31008 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_319
+timestamp 1621261055
+transform 1 0 31776 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_327
+timestamp 1621261055
+transform 1 0 32544 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_335
+timestamp 1621261055
+transform 1 0 33312 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_343
+timestamp 1621261055
+transform 1 0 34080 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_351
+timestamp 1621261055
+transform 1 0 34848 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_285
+timestamp 1621261055
+transform 1 0 35520 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_10_355
+timestamp 1621261055
+transform 1 0 35232 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_10_357
+timestamp 1621261055
+transform 1 0 35424 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _039_
+timestamp 1621261055
+transform -1 0 38784 0 -1 9990
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_89
+timestamp 1621261055
+transform -1 0 38496 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_392
+timestamp 1621261055
+transform 1 0 38784 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_400
+timestamp 1621261055
+transform 1 0 39552 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_286
+timestamp 1621261055
+transform 1 0 40800 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_408
+timestamp 1621261055
+transform 1 0 40320 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_10_412
+timestamp 1621261055
+transform 1 0 40704 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_287
+timestamp 1621261055
+transform 1 0 46080 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_10_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_288
+timestamp 1621261055
+transform 1 0 51360 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_10_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_2  output461
+timestamp 1621261055
+transform -1 0 54624 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output463
+timestamp 1621261055
+transform 1 0 55008 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_102
+timestamp 1621261055
+transform -1 0 54240 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_107
+timestamp 1621261055
+transform -1 0 55776 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_10_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_10_550
+timestamp 1621261055
+transform 1 0 53952 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_557
+timestamp 1621261055
+transform 1 0 54624 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_10_565
+timestamp 1621261055
+transform 1 0 55392 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_289
+timestamp 1621261055
+transform 1 0 56640 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input191
+timestamp 1621261055
+transform 1 0 57600 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output464
+timestamp 1621261055
+transform -1 0 56160 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_108
+timestamp 1621261055
+transform -1 0 56352 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_10_575
+timestamp 1621261055
+transform 1 0 56352 0 -1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_10_577
+timestamp 1621261055
+transform 1 0 56544 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_10_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_10_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_10_592
+timestamp 1621261055
+transform 1 0 57984 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_21
+timestamp 1621261055
+transform -1 0 58848 0 -1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_10_596
+timestamp 1621261055
+transform 1 0 58368 0 -1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  PHY_22
+timestamp 1621261055
+transform 1 0 1152 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_4
+timestamp 1621261055
+transform 1 0 1536 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_12
+timestamp 1621261055
+transform 1 0 2304 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_20
+timestamp 1621261055
+transform 1 0 3072 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_28
+timestamp 1621261055
+transform 1 0 3840 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_36
+timestamp 1621261055
+transform 1 0 4608 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_44
+timestamp 1621261055
+transform 1 0 5376 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_52
+timestamp 1621261055
+transform 1 0 6144 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_11_54
+timestamp 1621261055
+transform 1 0 6336 0 1 9990
+box -38 -49 134 715
+use BUFX2  BUFX2
+timestamp 1624954255
+transform 1 0 7680 0 1 9990
+box 0 -48 864 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_290
+timestamp 1621261055
+transform 1 0 6432 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_51
+timestamp 1621261055
+transform 1 0 7488 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_56
+timestamp 1621261055
+transform 1 0 6528 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_64
+timestamp 1621261055
+transform 1 0 7296 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_77
+timestamp 1621261055
+transform 1 0 8544 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _014_
+timestamp 1621261055
+transform -1 0 9792 0 1 9990
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_36
+timestamp 1621261055
+transform -1 0 9504 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_90
+timestamp 1621261055
+transform 1 0 9792 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_98
+timestamp 1621261055
+transform 1 0 10560 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_106
+timestamp 1621261055
+transform 1 0 11328 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_291
+timestamp 1621261055
+transform 1 0 11712 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_111
+timestamp 1621261055
+transform 1 0 11808 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_119
+timestamp 1621261055
+transform 1 0 12576 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_127
+timestamp 1621261055
+transform 1 0 13344 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_135
+timestamp 1621261055
+transform 1 0 14112 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_143
+timestamp 1621261055
+transform 1 0 14880 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_151
+timestamp 1621261055
+transform 1 0 15648 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_159
+timestamp 1621261055
+transform 1 0 16416 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_292
+timestamp 1621261055
+transform 1 0 16992 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_163
+timestamp 1621261055
+transform 1 0 16800 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_166
+timestamp 1621261055
+transform 1 0 17088 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_174
+timestamp 1621261055
+transform 1 0 17856 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_182
+timestamp 1621261055
+transform 1 0 18624 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_190
+timestamp 1621261055
+transform 1 0 19392 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_198
+timestamp 1621261055
+transform 1 0 20160 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_206
+timestamp 1621261055
+transform 1 0 20928 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_214
+timestamp 1621261055
+transform 1 0 21696 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _110_
+timestamp 1621261055
+transform 1 0 24384 0 1 9990
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_293
+timestamp 1621261055
+transform 1 0 22272 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_218
+timestamp 1621261055
+transform 1 0 22080 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_221
+timestamp 1621261055
+transform 1 0 22368 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_229
+timestamp 1621261055
+transform 1 0 23136 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_237
+timestamp 1621261055
+transform 1 0 23904 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_11_241
+timestamp 1621261055
+transform 1 0 24288 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_245
+timestamp 1621261055
+transform 1 0 24672 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_253
+timestamp 1621261055
+transform 1 0 25440 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_261
+timestamp 1621261055
+transform 1 0 26208 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_269
+timestamp 1621261055
+transform 1 0 26976 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _134_
+timestamp 1621261055
+transform 1 0 28032 0 1 9990
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_294
+timestamp 1621261055
+transform 1 0 27552 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_273
+timestamp 1621261055
+transform 1 0 27360 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_276
+timestamp 1621261055
+transform 1 0 27648 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_283
+timestamp 1621261055
+transform 1 0 28320 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_291
+timestamp 1621261055
+transform 1 0 29088 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_299
+timestamp 1621261055
+transform 1 0 29856 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_307
+timestamp 1621261055
+transform 1 0 30624 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_315
+timestamp 1621261055
+transform 1 0 31392 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_323
+timestamp 1621261055
+transform 1 0 32160 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_295
+timestamp 1621261055
+transform 1 0 32832 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_327
+timestamp 1621261055
+transform 1 0 32544 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_11_329
+timestamp 1621261055
+transform 1 0 32736 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_331
+timestamp 1621261055
+transform 1 0 32928 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_339
+timestamp 1621261055
+transform 1 0 33696 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_347
+timestamp 1621261055
+transform 1 0 34464 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_355
+timestamp 1621261055
+transform 1 0 35232 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_363
+timestamp 1621261055
+transform 1 0 36000 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_371
+timestamp 1621261055
+transform 1 0 36768 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_296
+timestamp 1621261055
+transform 1 0 38112 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_379
+timestamp 1621261055
+transform 1 0 37536 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_383
+timestamp 1621261055
+transform 1 0 37920 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_386
+timestamp 1621261055
+transform 1 0 38208 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_394
+timestamp 1621261055
+transform 1 0 38976 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_402
+timestamp 1621261055
+transform 1 0 39744 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_410
+timestamp 1621261055
+transform 1 0 40512 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_418
+timestamp 1621261055
+transform 1 0 41280 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_426
+timestamp 1621261055
+transform 1 0 42048 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_297
+timestamp 1621261055
+transform 1 0 43392 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_434
+timestamp 1621261055
+transform 1 0 42816 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_438
+timestamp 1621261055
+transform 1 0 43200 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_441
+timestamp 1621261055
+transform 1 0 43488 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_449
+timestamp 1621261055
+transform 1 0 44256 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_457
+timestamp 1621261055
+transform 1 0 45024 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_465
+timestamp 1621261055
+transform 1 0 45792 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_473
+timestamp 1621261055
+transform 1 0 46560 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_481
+timestamp 1621261055
+transform 1 0 47328 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_298
+timestamp 1621261055
+transform 1 0 48672 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_489
+timestamp 1621261055
+transform 1 0 48096 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_493
+timestamp 1621261055
+transform 1 0 48480 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_496
+timestamp 1621261055
+transform 1 0 48768 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_504
+timestamp 1621261055
+transform 1 0 49536 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_512
+timestamp 1621261055
+transform 1 0 50304 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_520
+timestamp 1621261055
+transform 1 0 51072 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_528
+timestamp 1621261055
+transform 1 0 51840 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_536
+timestamp 1621261055
+transform 1 0 52608 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_11_540
+timestamp 1621261055
+transform 1 0 52992 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_2
+timestamp 1621261055
+transform 1 0 53088 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _022_
+timestamp 1621261055
+transform 1 0 53280 0 1 9990
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_546
+timestamp 1621261055
+transform 1 0 53568 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_551
+timestamp 1621261055
+transform 1 0 54048 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_299
+timestamp 1621261055
+transform 1 0 53952 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_11_557
+timestamp 1621261055
+transform 1 0 54624 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_555
+timestamp 1621261055
+transform 1 0 54432 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_115
+timestamp 1621261055
+transform -1 0 54912 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_116
+timestamp 1621261055
+transform -1 0 55488 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output474
+timestamp 1621261055
+transform -1 0 55296 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_566
+timestamp 1621261055
+transform 1 0 55488 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output465
+timestamp 1621261055
+transform 1 0 55680 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output466
+timestamp 1621261055
+transform -1 0 56832 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output467
+timestamp 1621261055
+transform 1 0 57216 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_109
+timestamp 1621261055
+transform -1 0 56448 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_11_572
+timestamp 1621261055
+transform 1 0 56064 0 1 9990
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_11_580
+timestamp 1621261055
+transform 1 0 56832 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_11_588
+timestamp 1621261055
+transform 1 0 57600 0 1 9990
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_23
+timestamp 1621261055
+transform -1 0 58848 0 1 9990
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_11_596
+timestamp 1621261055
+transform 1 0 58368 0 1 9990
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  PHY_24
+timestamp 1621261055
+transform 1 0 1152 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_300
+timestamp 1621261055
+transform 1 0 3840 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_12_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_12_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_301
+timestamp 1621261055
+transform 1 0 9120 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_12_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_302
+timestamp 1621261055
+transform 1 0 14400 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_12_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_12_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_303
+timestamp 1621261055
+transform 1 0 19680 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_12_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _197_
+timestamp 1621261055
+transform 1 0 22848 0 -1 11322
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_229
+timestamp 1621261055
+transform 1 0 23136 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_237
+timestamp 1621261055
+transform 1 0 23904 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_304
+timestamp 1621261055
+transform 1 0 24960 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_12_245
+timestamp 1621261055
+transform 1 0 24672 0 -1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_12_247
+timestamp 1621261055
+transform 1 0 24864 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_12_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_305
+timestamp 1621261055
+transform 1 0 30240 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_12_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_306
+timestamp 1621261055
+transform 1 0 35520 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_12_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_12_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_307
+timestamp 1621261055
+transform 1 0 40800 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_12_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_12_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_308
+timestamp 1621261055
+transform 1 0 46080 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_12_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_12_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_309
+timestamp 1621261055
+transform 1 0 51360 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_12_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_12_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_12_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_310
+timestamp 1621261055
+transform 1 0 56640 0 -1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output468
+timestamp 1621261055
+transform 1 0 57120 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output473
+timestamp 1621261055
+transform -1 0 56256 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_113
+timestamp 1621261055
+transform -1 0 55872 0 -1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_12_574
+timestamp 1621261055
+transform 1 0 56256 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_12_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_12_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_25
+timestamp 1621261055
+transform -1 0 58848 0 -1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_12_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_26
+timestamp 1621261055
+transform 1 0 1152 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_4
+timestamp 1621261055
+transform 1 0 1536 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_12
+timestamp 1621261055
+transform 1 0 2304 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_20
+timestamp 1621261055
+transform 1 0 3072 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_28
+timestamp 1621261055
+transform 1 0 3840 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_36
+timestamp 1621261055
+transform 1 0 4608 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_44
+timestamp 1621261055
+transform 1 0 5376 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_52
+timestamp 1621261055
+transform 1 0 6144 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_13_54
+timestamp 1621261055
+transform 1 0 6336 0 1 11322
+box -38 -49 134 715
+use BUFX4  BUFX4
+timestamp 1624954255
+transform 1 0 7680 0 1 11322
+box 0 -48 1152 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_311
+timestamp 1621261055
+transform 1 0 6432 0 1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_55
+timestamp 1621261055
+transform 1 0 7488 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_56
+timestamp 1621261055
+transform 1 0 6528 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_64
+timestamp 1621261055
+transform 1 0 7296 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_80
+timestamp 1621261055
+transform 1 0 8832 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_88
+timestamp 1621261055
+transform 1 0 9600 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_96
+timestamp 1621261055
+transform 1 0 10368 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_13_104
+timestamp 1621261055
+transform 1 0 11136 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_108
+timestamp 1621261055
+transform 1 0 11520 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_312
+timestamp 1621261055
+transform 1 0 11712 0 1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_111
+timestamp 1621261055
+transform 1 0 11808 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_119
+timestamp 1621261055
+transform 1 0 12576 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_127
+timestamp 1621261055
+transform 1 0 13344 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_135
+timestamp 1621261055
+transform 1 0 14112 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_143
+timestamp 1621261055
+transform 1 0 14880 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_151
+timestamp 1621261055
+transform 1 0 15648 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_13_159
+timestamp 1621261055
+transform 1 0 16416 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_313
+timestamp 1621261055
+transform 1 0 16992 0 1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_163
+timestamp 1621261055
+transform 1 0 16800 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_166
+timestamp 1621261055
+transform 1 0 17088 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_174
+timestamp 1621261055
+transform 1 0 17856 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_182
+timestamp 1621261055
+transform 1 0 18624 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_190
+timestamp 1621261055
+transform 1 0 19392 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_198
+timestamp 1621261055
+transform 1 0 20160 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_206
+timestamp 1621261055
+transform 1 0 20928 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_13_214
+timestamp 1621261055
+transform 1 0 21696 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_314
+timestamp 1621261055
+transform 1 0 22272 0 1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_218
+timestamp 1621261055
+transform 1 0 22080 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_221
+timestamp 1621261055
+transform 1 0 22368 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_229
+timestamp 1621261055
+transform 1 0 23136 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_237
+timestamp 1621261055
+transform 1 0 23904 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_245
+timestamp 1621261055
+transform 1 0 24672 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_253
+timestamp 1621261055
+transform 1 0 25440 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_261
+timestamp 1621261055
+transform 1 0 26208 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_13_269
+timestamp 1621261055
+transform 1 0 26976 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_315
+timestamp 1621261055
+transform 1 0 27552 0 1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_273
+timestamp 1621261055
+transform 1 0 27360 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_276
+timestamp 1621261055
+transform 1 0 27648 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_284
+timestamp 1621261055
+transform 1 0 28416 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_292
+timestamp 1621261055
+transform 1 0 29184 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_300
+timestamp 1621261055
+transform 1 0 29952 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_308
+timestamp 1621261055
+transform 1 0 30720 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_316
+timestamp 1621261055
+transform 1 0 31488 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_13_324
+timestamp 1621261055
+transform 1 0 32256 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_316
+timestamp 1621261055
+transform 1 0 32832 0 1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_328
+timestamp 1621261055
+transform 1 0 32640 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_331
+timestamp 1621261055
+transform 1 0 32928 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_339
+timestamp 1621261055
+transform 1 0 33696 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_347
+timestamp 1621261055
+transform 1 0 34464 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_355
+timestamp 1621261055
+transform 1 0 35232 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_363
+timestamp 1621261055
+transform 1 0 36000 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_371
+timestamp 1621261055
+transform 1 0 36768 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_317
+timestamp 1621261055
+transform 1 0 38112 0 1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_13_379
+timestamp 1621261055
+transform 1 0 37536 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_383
+timestamp 1621261055
+transform 1 0 37920 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_386
+timestamp 1621261055
+transform 1 0 38208 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_394
+timestamp 1621261055
+transform 1 0 38976 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_402
+timestamp 1621261055
+transform 1 0 39744 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_410
+timestamp 1621261055
+transform 1 0 40512 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_418
+timestamp 1621261055
+transform 1 0 41280 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_426
+timestamp 1621261055
+transform 1 0 42048 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_318
+timestamp 1621261055
+transform 1 0 43392 0 1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_13_434
+timestamp 1621261055
+transform 1 0 42816 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_438
+timestamp 1621261055
+transform 1 0 43200 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_441
+timestamp 1621261055
+transform 1 0 43488 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_449
+timestamp 1621261055
+transform 1 0 44256 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_457
+timestamp 1621261055
+transform 1 0 45024 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_465
+timestamp 1621261055
+transform 1 0 45792 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_473
+timestamp 1621261055
+transform 1 0 46560 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_481
+timestamp 1621261055
+transform 1 0 47328 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_319
+timestamp 1621261055
+transform 1 0 48672 0 1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_13_489
+timestamp 1621261055
+transform 1 0 48096 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_493
+timestamp 1621261055
+transform 1 0 48480 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_496
+timestamp 1621261055
+transform 1 0 48768 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_504
+timestamp 1621261055
+transform 1 0 49536 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_512
+timestamp 1621261055
+transform 1 0 50304 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_520
+timestamp 1621261055
+transform 1 0 51072 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_528
+timestamp 1621261055
+transform 1 0 51840 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_536
+timestamp 1621261055
+transform 1 0 52608 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_320
+timestamp 1621261055
+transform 1 0 53952 0 1 11322
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_13_544
+timestamp 1621261055
+transform 1 0 53376 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_548
+timestamp 1621261055
+transform 1 0 53760 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_551
+timestamp 1621261055
+transform 1 0 54048 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_559
+timestamp 1621261055
+transform 1 0 54816 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_13_567
+timestamp 1621261055
+transform 1 0 55584 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output470
+timestamp 1621261055
+transform -1 0 57504 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output477
+timestamp 1621261055
+transform -1 0 56736 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_110
+timestamp 1621261055
+transform -1 0 57120 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_118
+timestamp 1621261055
+transform -1 0 56352 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_571
+timestamp 1621261055
+transform 1 0 55968 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_579
+timestamp 1621261055
+transform 1 0 56736 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_13_587
+timestamp 1621261055
+transform 1 0 57504 0 1 11322
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_27
+timestamp 1621261055
+transform -1 0 58848 0 1 11322
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_13_595
+timestamp 1621261055
+transform 1 0 58272 0 1 11322
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_28
+timestamp 1621261055
+transform 1 0 1152 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_321
+timestamp 1621261055
+transform 1 0 3840 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_322
+timestamp 1621261055
+transform 1 0 9120 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_323
+timestamp 1621261055
+transform 1 0 14400 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_324
+timestamp 1621261055
+transform 1 0 19680 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_325
+timestamp 1621261055
+transform 1 0 24960 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_326
+timestamp 1621261055
+transform 1 0 30240 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _031_
+timestamp 1621261055
+transform 1 0 36384 0 -1 12654
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_327
+timestamp 1621261055
+transform 1 0 35520 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_22
+timestamp 1621261055
+transform 1 0 36192 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_363
+timestamp 1621261055
+transform 1 0 36000 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_370
+timestamp 1621261055
+transform 1 0 36672 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_378
+timestamp 1621261055
+transform 1 0 37440 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _083_
+timestamp 1621261055
+transform 1 0 38496 0 -1 12654
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_386
+timestamp 1621261055
+transform 1 0 38208 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_14_388
+timestamp 1621261055
+transform 1 0 38400 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_392
+timestamp 1621261055
+transform 1 0 38784 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_400
+timestamp 1621261055
+transform 1 0 39552 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _049_
+timestamp 1621261055
+transform -1 0 41952 0 -1 12654
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_328
+timestamp 1621261055
+transform 1 0 40800 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_59
+timestamp 1621261055
+transform -1 0 41664 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_408
+timestamp 1621261055
+transform 1 0 40320 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_14_412
+timestamp 1621261055
+transform 1 0 40704 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_418
+timestamp 1621261055
+transform 1 0 41280 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_425
+timestamp 1621261055
+transform 1 0 41952 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _013_
+timestamp 1621261055
+transform -1 0 44064 0 -1 12654
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_34
+timestamp 1621261055
+transform -1 0 43776 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_433
+timestamp 1621261055
+transform 1 0 42720 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_14_441
+timestamp 1621261055
+transform 1 0 43488 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_447
+timestamp 1621261055
+transform 1 0 44064 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_455
+timestamp 1621261055
+transform 1 0 44832 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_329
+timestamp 1621261055
+transform 1 0 46080 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_463
+timestamp 1621261055
+transform 1 0 45600 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_14_467
+timestamp 1621261055
+transform 1 0 45984 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _070_
+timestamp 1621261055
+transform 1 0 48672 0 -1 12654
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _165_
+timestamp 1621261055
+transform 1 0 49344 0 -1 12654
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_498
+timestamp 1621261055
+transform 1 0 48960 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_505
+timestamp 1621261055
+transform 1 0 49632 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_513
+timestamp 1621261055
+transform 1 0 50400 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_330
+timestamp 1621261055
+transform 1 0 51360 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _124_
+timestamp 1621261055
+transform 1 0 54336 0 -1 12654
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_552
+timestamp 1621261055
+transform 1 0 54144 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_557
+timestamp 1621261055
+transform 1 0 54624 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_565
+timestamp 1621261055
+transform 1 0 55392 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_331
+timestamp 1621261055
+transform 1 0 56640 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output471
+timestamp 1621261055
+transform 1 0 57504 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_573
+timestamp 1621261055
+transform 1 0 56160 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_14_577
+timestamp 1621261055
+transform 1 0 56544 0 -1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_14_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_14_591
+timestamp 1621261055
+transform 1 0 57888 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_29
+timestamp 1621261055
+transform -1 0 58848 0 -1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_14_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _065_
+timestamp 1621261055
+transform 1 0 1536 0 1 12654
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  PHY_30
+timestamp 1621261055
+transform 1 0 1152 0 1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_32
+timestamp 1621261055
+transform 1 0 1152 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_7
+timestamp 1621261055
+transform 1 0 1824 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_15
+timestamp 1621261055
+transform 1 0 2592 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_23
+timestamp 1621261055
+transform 1 0 3360 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_342
+timestamp 1621261055
+transform 1 0 3840 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_31
+timestamp 1621261055
+transform 1 0 4128 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_39
+timestamp 1621261055
+transform 1 0 4896 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_47
+timestamp 1621261055
+transform 1 0 5664 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 13986
+box -38 -49 806 715
+use CLKBUF1  CLKBUF1
+timestamp 1624954255
+transform 1 0 7680 0 1 12654
+box 0 -48 2592 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_332
+timestamp 1621261055
+transform 1 0 6432 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_57
+timestamp 1621261055
+transform 1 0 7488 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_56
+timestamp 1621261055
+transform 1 0 6528 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_15_64
+timestamp 1621261055
+transform 1 0 7296 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_343
+timestamp 1621261055
+transform 1 0 9120 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_95
+timestamp 1621261055
+transform 1 0 10272 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_15_103
+timestamp 1621261055
+transform 1 0 11040 0 1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_15_107
+timestamp 1621261055
+transform 1 0 11424 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_333
+timestamp 1621261055
+transform 1 0 11712 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_15_109
+timestamp 1621261055
+transform 1 0 11616 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_111
+timestamp 1621261055
+transform 1 0 11808 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_119
+timestamp 1621261055
+transform 1 0 12576 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_127
+timestamp 1621261055
+transform 1 0 13344 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_135
+timestamp 1621261055
+transform 1 0 14112 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_344
+timestamp 1621261055
+transform 1 0 14400 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_143
+timestamp 1621261055
+transform 1 0 14880 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_151
+timestamp 1621261055
+transform 1 0 15648 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_15_159
+timestamp 1621261055
+transform 1 0 16416 0 1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_166
+timestamp 1621261055
+transform 1 0 17088 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_15_163
+timestamp 1621261055
+transform 1 0 16800 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_334
+timestamp 1621261055
+transform 1 0 16992 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_174
+timestamp 1621261055
+transform 1 0 17856 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_188
+timestamp 1621261055
+transform 1 0 19200 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_183
+timestamp 1621261055
+transform 1 0 18720 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_182
+timestamp 1621261055
+transform 1 0 18624 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _059_
+timestamp 1621261055
+transform 1 0 18912 0 -1 13986
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_345
+timestamp 1621261055
+transform 1 0 19680 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_190
+timestamp 1621261055
+transform 1 0 19392 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_198
+timestamp 1621261055
+transform 1 0 20160 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_206
+timestamp 1621261055
+transform 1 0 20928 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_15_214
+timestamp 1621261055
+transform 1 0 21696 0 1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_16_192
+timestamp 1621261055
+transform 1 0 19584 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_335
+timestamp 1621261055
+transform 1 0 22272 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_15_218
+timestamp 1621261055
+transform 1 0 22080 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_221
+timestamp 1621261055
+transform 1 0 22368 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_229
+timestamp 1621261055
+transform 1 0 23136 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_237
+timestamp 1621261055
+transform 1 0 23904 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_346
+timestamp 1621261055
+transform 1 0 24960 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_245
+timestamp 1621261055
+transform 1 0 24672 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_253
+timestamp 1621261055
+transform 1 0 25440 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_261
+timestamp 1621261055
+transform 1 0 26208 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_15_269
+timestamp 1621261055
+transform 1 0 26976 0 1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_277
+timestamp 1621261055
+transform 1 0 27744 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_276
+timestamp 1621261055
+transform 1 0 27648 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_15_273
+timestamp 1621261055
+transform 1 0 27360 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_336
+timestamp 1621261055
+transform 1 0 27552 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_286
+timestamp 1621261055
+transform 1 0 28608 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_282
+timestamp 1621261055
+transform 1 0 28224 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_284
+timestamp 1621261055
+transform 1 0 28416 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _183_
+timestamp 1621261055
+transform 1 0 27936 0 -1 13986
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_293
+timestamp 1621261055
+transform 1 0 29280 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_292
+timestamp 1621261055
+transform 1 0 29184 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_32
+timestamp 1621261055
+transform 1 0 28800 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _033_
+timestamp 1621261055
+transform 1 0 28992 0 -1 13986
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_347
+timestamp 1621261055
+transform 1 0 30240 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_300
+timestamp 1621261055
+transform 1 0 29952 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_308
+timestamp 1621261055
+transform 1 0 30720 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_316
+timestamp 1621261055
+transform 1 0 31488 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_15_324
+timestamp 1621261055
+transform 1 0 32256 0 1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_337
+timestamp 1621261055
+transform 1 0 32832 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_15_328
+timestamp 1621261055
+transform 1 0 32640 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_331
+timestamp 1621261055
+transform 1 0 32928 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_339
+timestamp 1621261055
+transform 1 0 33696 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_347
+timestamp 1621261055
+transform 1 0 34464 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_348
+timestamp 1621261055
+transform 1 0 35520 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_355
+timestamp 1621261055
+transform 1 0 35232 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_363
+timestamp 1621261055
+transform 1 0 36000 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_371
+timestamp 1621261055
+transform 1 0 36768 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_386
+timestamp 1621261055
+transform 1 0 38208 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_15_383
+timestamp 1621261055
+transform 1 0 37920 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_15_379
+timestamp 1621261055
+transform 1 0 37536 0 1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_338
+timestamp 1621261055
+transform 1 0 38112 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_394
+timestamp 1621261055
+transform 1 0 38976 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_402
+timestamp 1621261055
+transform 1 0 39744 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_402
+timestamp 1621261055
+transform 1 0 39744 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _166_
+timestamp 1621261055
+transform 1 0 39456 0 -1 13986
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_1  FILLER_16_412
+timestamp 1621261055
+transform 1 0 40704 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_410
+timestamp 1621261055
+transform 1 0 40512 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_410
+timestamp 1621261055
+transform 1 0 40512 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_349
+timestamp 1621261055
+transform 1 0 40800 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_421
+timestamp 1621261055
+transform 1 0 41568 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _158_
+timestamp 1621261055
+transform 1 0 41280 0 1 12654
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_429
+timestamp 1621261055
+transform 1 0 42336 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_15_439
+timestamp 1621261055
+transform 1 0 43296 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_15_437
+timestamp 1621261055
+transform 1 0 43104 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_339
+timestamp 1621261055
+transform 1 0 43392 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_449
+timestamp 1621261055
+transform 1 0 44256 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_441
+timestamp 1621261055
+transform 1 0 43488 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _144_
+timestamp 1621261055
+transform 1 0 44160 0 -1 13986
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_451
+timestamp 1621261055
+transform 1 0 44448 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_457
+timestamp 1621261055
+transform 1 0 45024 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_459
+timestamp 1621261055
+transform 1 0 45216 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_350
+timestamp 1621261055
+transform 1 0 46080 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_465
+timestamp 1621261055
+transform 1 0 45792 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_473
+timestamp 1621261055
+transform 1 0 46560 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_481
+timestamp 1621261055
+transform 1 0 47328 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_16_467
+timestamp 1621261055
+transform 1 0 45984 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_15_493
+timestamp 1621261055
+transform 1 0 48480 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_15_489
+timestamp 1621261055
+transform 1 0 48096 0 1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_496
+timestamp 1621261055
+transform 1 0 48768 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_340
+timestamp 1621261055
+transform 1 0 48672 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_512
+timestamp 1621261055
+transform 1 0 50304 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_504
+timestamp 1621261055
+transform 1 0 49536 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_513
+timestamp 1621261055
+transform 1 0 50400 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_518
+timestamp 1621261055
+transform 1 0 50880 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_520
+timestamp 1621261055
+transform 1 0 51072 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _161_
+timestamp 1621261055
+transform 1 0 50592 0 -1 13986
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_16_522
+timestamp 1621261055
+transform 1 0 51264 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_528
+timestamp 1621261055
+transform 1 0 51840 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_351
+timestamp 1621261055
+transform 1 0 51360 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_536
+timestamp 1621261055
+transform 1 0 52608 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_341
+timestamp 1621261055
+transform 1 0 53952 0 1 12654
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_15_544
+timestamp 1621261055
+transform 1 0 53376 0 1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_15_548
+timestamp 1621261055
+transform 1 0 53760 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_551
+timestamp 1621261055
+transform 1 0 54048 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_559
+timestamp 1621261055
+transform 1 0 54816 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_567
+timestamp 1621261055
+transform 1 0 55584 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_575
+timestamp 1621261055
+transform 1 0 56352 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_16_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_15_583
+timestamp 1621261055
+transform 1 0 57120 0 1 12654
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_352
+timestamp 1621261055
+transform 1 0 56640 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_16_593
+timestamp 1621261055
+transform 1 0 58080 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_16_589
+timestamp 1621261055
+transform 1 0 57696 0 -1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_16_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_15_591
+timestamp 1621261055
+transform 1 0 57888 0 1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _140_
+timestamp 1621261055
+transform 1 0 57792 0 -1 13986
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  PHY_31
+timestamp 1621261055
+transform -1 0 58848 0 1 12654
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_33
+timestamp 1621261055
+transform -1 0 58848 0 -1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_15_595
+timestamp 1621261055
+transform 1 0 58272 0 1 12654
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_34
+timestamp 1621261055
+transform 1 0 1152 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_4
+timestamp 1621261055
+transform 1 0 1536 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_12
+timestamp 1621261055
+transform 1 0 2304 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_20
+timestamp 1621261055
+transform 1 0 3072 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_28
+timestamp 1621261055
+transform 1 0 3840 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_36
+timestamp 1621261055
+transform 1 0 4608 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_44
+timestamp 1621261055
+transform 1 0 5376 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_52
+timestamp 1621261055
+transform 1 0 6144 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_17_54
+timestamp 1621261055
+transform 1 0 6336 0 1 13986
+box -38 -49 134 715
+use INV  INV
+timestamp 1624954255
+transform 1 0 7680 0 1 13986
+box 0 -48 576 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_353
+timestamp 1621261055
+transform 1 0 6432 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_61
+timestamp 1621261055
+transform 1 0 7488 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_56
+timestamp 1621261055
+transform 1 0 6528 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_64
+timestamp 1621261055
+transform 1 0 7296 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_74
+timestamp 1621261055
+transform 1 0 8256 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_82
+timestamp 1621261055
+transform 1 0 9024 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_90
+timestamp 1621261055
+transform 1 0 9792 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_98
+timestamp 1621261055
+transform 1 0 10560 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_106
+timestamp 1621261055
+transform 1 0 11328 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_354
+timestamp 1621261055
+transform 1 0 11712 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_111
+timestamp 1621261055
+transform 1 0 11808 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_119
+timestamp 1621261055
+transform 1 0 12576 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_127
+timestamp 1621261055
+transform 1 0 13344 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_135
+timestamp 1621261055
+transform 1 0 14112 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_143
+timestamp 1621261055
+transform 1 0 14880 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_151
+timestamp 1621261055
+transform 1 0 15648 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_159
+timestamp 1621261055
+transform 1 0 16416 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_355
+timestamp 1621261055
+transform 1 0 16992 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_163
+timestamp 1621261055
+transform 1 0 16800 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_166
+timestamp 1621261055
+transform 1 0 17088 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_174
+timestamp 1621261055
+transform 1 0 17856 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_182
+timestamp 1621261055
+transform 1 0 18624 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_190
+timestamp 1621261055
+transform 1 0 19392 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_198
+timestamp 1621261055
+transform 1 0 20160 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_206
+timestamp 1621261055
+transform 1 0 20928 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_214
+timestamp 1621261055
+transform 1 0 21696 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_356
+timestamp 1621261055
+transform 1 0 22272 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_218
+timestamp 1621261055
+transform 1 0 22080 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_221
+timestamp 1621261055
+transform 1 0 22368 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_229
+timestamp 1621261055
+transform 1 0 23136 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_237
+timestamp 1621261055
+transform 1 0 23904 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_245
+timestamp 1621261055
+transform 1 0 24672 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_253
+timestamp 1621261055
+transform 1 0 25440 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_261
+timestamp 1621261055
+transform 1 0 26208 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_269
+timestamp 1621261055
+transform 1 0 26976 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _142_
+timestamp 1621261055
+transform 1 0 28032 0 1 13986
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_357
+timestamp 1621261055
+transform 1 0 27552 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_273
+timestamp 1621261055
+transform 1 0 27360 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_276
+timestamp 1621261055
+transform 1 0 27648 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_283
+timestamp 1621261055
+transform 1 0 28320 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_291
+timestamp 1621261055
+transform 1 0 29088 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_299
+timestamp 1621261055
+transform 1 0 29856 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_307
+timestamp 1621261055
+transform 1 0 30624 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_315
+timestamp 1621261055
+transform 1 0 31392 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_323
+timestamp 1621261055
+transform 1 0 32160 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _157_
+timestamp 1621261055
+transform 1 0 33408 0 1 13986
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_358
+timestamp 1621261055
+transform 1 0 32832 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_327
+timestamp 1621261055
+transform 1 0 32544 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_17_329
+timestamp 1621261055
+transform 1 0 32736 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_331
+timestamp 1621261055
+transform 1 0 32928 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_17_335
+timestamp 1621261055
+transform 1 0 33312 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_339
+timestamp 1621261055
+transform 1 0 33696 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_347
+timestamp 1621261055
+transform 1 0 34464 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_355
+timestamp 1621261055
+transform 1 0 35232 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_363
+timestamp 1621261055
+transform 1 0 36000 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_371
+timestamp 1621261055
+transform 1 0 36768 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_359
+timestamp 1621261055
+transform 1 0 38112 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_379
+timestamp 1621261055
+transform 1 0 37536 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_383
+timestamp 1621261055
+transform 1 0 37920 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_386
+timestamp 1621261055
+transform 1 0 38208 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_394
+timestamp 1621261055
+transform 1 0 38976 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_402
+timestamp 1621261055
+transform 1 0 39744 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_410
+timestamp 1621261055
+transform 1 0 40512 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_418
+timestamp 1621261055
+transform 1 0 41280 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_426
+timestamp 1621261055
+transform 1 0 42048 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_360
+timestamp 1621261055
+transform 1 0 43392 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_434
+timestamp 1621261055
+transform 1 0 42816 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_438
+timestamp 1621261055
+transform 1 0 43200 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_441
+timestamp 1621261055
+transform 1 0 43488 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_449
+timestamp 1621261055
+transform 1 0 44256 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_457
+timestamp 1621261055
+transform 1 0 45024 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_465
+timestamp 1621261055
+transform 1 0 45792 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_473
+timestamp 1621261055
+transform 1 0 46560 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_481
+timestamp 1621261055
+transform 1 0 47328 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_361
+timestamp 1621261055
+transform 1 0 48672 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_489
+timestamp 1621261055
+transform 1 0 48096 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_493
+timestamp 1621261055
+transform 1 0 48480 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_496
+timestamp 1621261055
+transform 1 0 48768 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_504
+timestamp 1621261055
+transform 1 0 49536 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_512
+timestamp 1621261055
+transform 1 0 50304 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _015_
+timestamp 1621261055
+transform 1 0 51456 0 1 13986
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_0
+timestamp 1621261055
+transform 1 0 51264 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_520
+timestamp 1621261055
+transform 1 0 51072 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_527
+timestamp 1621261055
+transform 1 0 51744 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_535
+timestamp 1621261055
+transform 1 0 52512 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_362
+timestamp 1621261055
+transform 1 0 53952 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_543
+timestamp 1621261055
+transform 1 0 53280 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_547
+timestamp 1621261055
+transform 1 0 53664 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_17_549
+timestamp 1621261055
+transform 1 0 53856 0 1 13986
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_551
+timestamp 1621261055
+transform 1 0 54048 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_559
+timestamp 1621261055
+transform 1 0 54816 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_567
+timestamp 1621261055
+transform 1 0 55584 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_575
+timestamp 1621261055
+transform 1 0 56352 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_17_583
+timestamp 1621261055
+transform 1 0 57120 0 1 13986
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_17_591
+timestamp 1621261055
+transform 1 0 57888 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_35
+timestamp 1621261055
+transform -1 0 58848 0 1 13986
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_17_595
+timestamp 1621261055
+transform 1 0 58272 0 1 13986
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_36
+timestamp 1621261055
+transform 1 0 1152 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output444
+timestamp 1621261055
+transform 1 0 1536 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_8
+timestamp 1621261055
+transform 1 0 1920 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_16
+timestamp 1621261055
+transform 1 0 2688 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_24
+timestamp 1621261055
+transform 1 0 3456 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_363
+timestamp 1621261055
+transform 1 0 3840 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _055_
+timestamp 1621261055
+transform 1 0 9600 0 -1 15318
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _190_
+timestamp 1621261055
+transform 1 0 10560 0 -1 15318
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_364
+timestamp 1621261055
+transform 1 0 9120 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_79
+timestamp 1621261055
+transform 1 0 9408 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_91
+timestamp 1621261055
+transform 1 0 9888 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_95
+timestamp 1621261055
+transform 1 0 10272 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_18_97
+timestamp 1621261055
+transform 1 0 10464 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_101
+timestamp 1621261055
+transform 1 0 10848 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_109
+timestamp 1621261055
+transform 1 0 11616 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_117
+timestamp 1621261055
+transform 1 0 12384 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_125
+timestamp 1621261055
+transform 1 0 13152 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_133
+timestamp 1621261055
+transform 1 0 13920 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_365
+timestamp 1621261055
+transform 1 0 14400 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_18_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_366
+timestamp 1621261055
+transform 1 0 19680 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _120_
+timestamp 1621261055
+transform 1 0 24288 0 -1 15318
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_238
+timestamp 1621261055
+transform 1 0 24000 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_18_240
+timestamp 1621261055
+transform 1 0 24192 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_367
+timestamp 1621261055
+transform 1 0 24960 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_244
+timestamp 1621261055
+transform 1 0 24576 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_368
+timestamp 1621261055
+transform 1 0 30240 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_369
+timestamp 1621261055
+transform 1 0 35520 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_370
+timestamp 1621261055
+transform 1 0 40800 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _074_
+timestamp 1621261055
+transform 1 0 46752 0 -1 15318
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_371
+timestamp 1621261055
+transform 1 0 46080 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_473
+timestamp 1621261055
+transform 1 0 46560 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_478
+timestamp 1621261055
+transform 1 0 47040 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_486
+timestamp 1621261055
+transform 1 0 47808 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _023_
+timestamp 1621261055
+transform 1 0 48960 0 -1 15318
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _053_
+timestamp 1621261055
+transform -1 0 49920 0 -1 15318
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _205_
+timestamp 1621261055
+transform 1 0 50304 0 -1 15318
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_4
+timestamp 1621261055
+transform 1 0 48768 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_73
+timestamp 1621261055
+transform -1 0 49632 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_494
+timestamp 1621261055
+transform 1 0 48576 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_508
+timestamp 1621261055
+transform 1 0 49920 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_372
+timestamp 1621261055
+transform 1 0 51360 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_515
+timestamp 1621261055
+transform 1 0 50592 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_373
+timestamp 1621261055
+transform 1 0 56640 0 -1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_18_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_18_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_37
+timestamp 1621261055
+transform -1 0 58848 0 -1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_18_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_38
+timestamp 1621261055
+transform 1 0 1152 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_4
+timestamp 1621261055
+transform 1 0 1536 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_12
+timestamp 1621261055
+transform 1 0 2304 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_20
+timestamp 1621261055
+transform 1 0 3072 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_28
+timestamp 1621261055
+transform 1 0 3840 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_36
+timestamp 1621261055
+transform 1 0 4608 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_44
+timestamp 1621261055
+transform 1 0 5376 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_19_52
+timestamp 1621261055
+transform 1 0 6144 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_19_54
+timestamp 1621261055
+transform 1 0 6336 0 1 15318
+box -38 -49 134 715
+use INVX1  INVX1
+timestamp 1624954255
+transform 1 0 7680 0 1 15318
+box 0 -48 576 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_374
+timestamp 1621261055
+transform 1 0 6432 0 1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_63
+timestamp 1621261055
+transform 1 0 7488 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_56
+timestamp 1621261055
+transform 1 0 6528 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_19_64
+timestamp 1621261055
+transform 1 0 7296 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_74
+timestamp 1621261055
+transform 1 0 8256 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_82
+timestamp 1621261055
+transform 1 0 9024 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_90
+timestamp 1621261055
+transform 1 0 9792 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_98
+timestamp 1621261055
+transform 1 0 10560 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_19_106
+timestamp 1621261055
+transform 1 0 11328 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_375
+timestamp 1621261055
+transform 1 0 11712 0 1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_111
+timestamp 1621261055
+transform 1 0 11808 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_119
+timestamp 1621261055
+transform 1 0 12576 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_127
+timestamp 1621261055
+transform 1 0 13344 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_135
+timestamp 1621261055
+transform 1 0 14112 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_143
+timestamp 1621261055
+transform 1 0 14880 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_151
+timestamp 1621261055
+transform 1 0 15648 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_19_159
+timestamp 1621261055
+transform 1 0 16416 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_376
+timestamp 1621261055
+transform 1 0 16992 0 1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_19_163
+timestamp 1621261055
+transform 1 0 16800 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_166
+timestamp 1621261055
+transform 1 0 17088 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_174
+timestamp 1621261055
+transform 1 0 17856 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_182
+timestamp 1621261055
+transform 1 0 18624 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_190
+timestamp 1621261055
+transform 1 0 19392 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_198
+timestamp 1621261055
+transform 1 0 20160 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_206
+timestamp 1621261055
+transform 1 0 20928 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_19_214
+timestamp 1621261055
+transform 1 0 21696 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_377
+timestamp 1621261055
+transform 1 0 22272 0 1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_19_218
+timestamp 1621261055
+transform 1 0 22080 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_221
+timestamp 1621261055
+transform 1 0 22368 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_229
+timestamp 1621261055
+transform 1 0 23136 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_237
+timestamp 1621261055
+transform 1 0 23904 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_245
+timestamp 1621261055
+transform 1 0 24672 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_253
+timestamp 1621261055
+transform 1 0 25440 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_261
+timestamp 1621261055
+transform 1 0 26208 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_19_269
+timestamp 1621261055
+transform 1 0 26976 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_378
+timestamp 1621261055
+transform 1 0 27552 0 1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_19_273
+timestamp 1621261055
+transform 1 0 27360 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_276
+timestamp 1621261055
+transform 1 0 27648 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_284
+timestamp 1621261055
+transform 1 0 28416 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_292
+timestamp 1621261055
+transform 1 0 29184 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_300
+timestamp 1621261055
+transform 1 0 29952 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_308
+timestamp 1621261055
+transform 1 0 30720 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_316
+timestamp 1621261055
+transform 1 0 31488 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_19_324
+timestamp 1621261055
+transform 1 0 32256 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_379
+timestamp 1621261055
+transform 1 0 32832 0 1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_19_328
+timestamp 1621261055
+transform 1 0 32640 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_331
+timestamp 1621261055
+transform 1 0 32928 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_339
+timestamp 1621261055
+transform 1 0 33696 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_347
+timestamp 1621261055
+transform 1 0 34464 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_355
+timestamp 1621261055
+transform 1 0 35232 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_363
+timestamp 1621261055
+transform 1 0 36000 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_371
+timestamp 1621261055
+transform 1 0 36768 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_380
+timestamp 1621261055
+transform 1 0 38112 0 1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_19_379
+timestamp 1621261055
+transform 1 0 37536 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_19_383
+timestamp 1621261055
+transform 1 0 37920 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_386
+timestamp 1621261055
+transform 1 0 38208 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_394
+timestamp 1621261055
+transform 1 0 38976 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_402
+timestamp 1621261055
+transform 1 0 39744 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_410
+timestamp 1621261055
+transform 1 0 40512 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_418
+timestamp 1621261055
+transform 1 0 41280 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_426
+timestamp 1621261055
+transform 1 0 42048 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_381
+timestamp 1621261055
+transform 1 0 43392 0 1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_19_434
+timestamp 1621261055
+transform 1 0 42816 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_19_438
+timestamp 1621261055
+transform 1 0 43200 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_441
+timestamp 1621261055
+transform 1 0 43488 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_449
+timestamp 1621261055
+transform 1 0 44256 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_457
+timestamp 1621261055
+transform 1 0 45024 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_465
+timestamp 1621261055
+transform 1 0 45792 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_473
+timestamp 1621261055
+transform 1 0 46560 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_481
+timestamp 1621261055
+transform 1 0 47328 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_382
+timestamp 1621261055
+transform 1 0 48672 0 1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_19_489
+timestamp 1621261055
+transform 1 0 48096 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_19_493
+timestamp 1621261055
+transform 1 0 48480 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_496
+timestamp 1621261055
+transform 1 0 48768 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_504
+timestamp 1621261055
+transform 1 0 49536 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_512
+timestamp 1621261055
+transform 1 0 50304 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_520
+timestamp 1621261055
+transform 1 0 51072 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_528
+timestamp 1621261055
+transform 1 0 51840 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_536
+timestamp 1621261055
+transform 1 0 52608 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_383
+timestamp 1621261055
+transform 1 0 53952 0 1 15318
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_19_544
+timestamp 1621261055
+transform 1 0 53376 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_19_548
+timestamp 1621261055
+transform 1 0 53760 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_551
+timestamp 1621261055
+transform 1 0 54048 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_559
+timestamp 1621261055
+transform 1 0 54816 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_567
+timestamp 1621261055
+transform 1 0 55584 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_575
+timestamp 1621261055
+transform 1 0 56352 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_19_583
+timestamp 1621261055
+transform 1 0 57120 0 1 15318
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_19_591
+timestamp 1621261055
+transform 1 0 57888 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_39
+timestamp 1621261055
+transform -1 0 58848 0 1 15318
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_19_595
+timestamp 1621261055
+transform 1 0 58272 0 1 15318
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_40
+timestamp 1621261055
+transform 1 0 1152 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _111_
+timestamp 1621261055
+transform 1 0 4608 0 -1 16650
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_384
+timestamp 1621261055
+transform 1 0 3840 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_20_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_33
+timestamp 1621261055
+transform 1 0 4320 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_20_35
+timestamp 1621261055
+transform 1 0 4512 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_39
+timestamp 1621261055
+transform 1 0 4896 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_47
+timestamp 1621261055
+transform 1 0 5664 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_55
+timestamp 1621261055
+transform 1 0 6432 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_63
+timestamp 1621261055
+transform 1 0 7200 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_71
+timestamp 1621261055
+transform 1 0 7968 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_20_79
+timestamp 1621261055
+transform 1 0 8736 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_385
+timestamp 1621261055
+transform 1 0 9120 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_20_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_386
+timestamp 1621261055
+transform 1 0 14400 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _045_
+timestamp 1621261055
+transform 1 0 18816 0 -1 16650
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_47
+timestamp 1621261055
+transform 1 0 18624 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_20_181
+timestamp 1621261055
+transform 1 0 18528 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_20_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_387
+timestamp 1621261055
+transform 1 0 19680 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_20_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_388
+timestamp 1621261055
+transform 1 0 24960 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_20_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_389
+timestamp 1621261055
+transform 1 0 30240 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_390
+timestamp 1621261055
+transform 1 0 35520 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_20_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_391
+timestamp 1621261055
+transform 1 0 40800 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_20_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_392
+timestamp 1621261055
+transform 1 0 46080 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_20_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_393
+timestamp 1621261055
+transform 1 0 51360 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_20_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_394
+timestamp 1621261055
+transform 1 0 56640 0 -1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_20_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_20_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_41
+timestamp 1621261055
+transform -1 0 58848 0 -1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_20_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_42
+timestamp 1621261055
+transform 1 0 1152 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_4
+timestamp 1621261055
+transform 1 0 1536 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_12
+timestamp 1621261055
+transform 1 0 2304 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_20
+timestamp 1621261055
+transform 1 0 3072 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_28
+timestamp 1621261055
+transform 1 0 3840 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_36
+timestamp 1621261055
+transform 1 0 4608 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_44
+timestamp 1621261055
+transform 1 0 5376 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_52
+timestamp 1621261055
+transform 1 0 6144 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_21_54
+timestamp 1621261055
+transform 1 0 6336 0 1 16650
+box -38 -49 134 715
+use INVX2  INVX2
+timestamp 1624954255
+transform 1 0 7680 0 1 16650
+box 0 -48 576 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_395
+timestamp 1621261055
+transform 1 0 6432 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_67
+timestamp 1621261055
+transform 1 0 7488 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_56
+timestamp 1621261055
+transform 1 0 6528 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_64
+timestamp 1621261055
+transform 1 0 7296 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_74
+timestamp 1621261055
+transform 1 0 8256 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_82
+timestamp 1621261055
+transform 1 0 9024 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_90
+timestamp 1621261055
+transform 1 0 9792 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_98
+timestamp 1621261055
+transform 1 0 10560 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_21_106
+timestamp 1621261055
+transform 1 0 11328 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _162_
+timestamp 1621261055
+transform 1 0 12192 0 1 16650
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_396
+timestamp 1621261055
+transform 1 0 11712 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_21_111
+timestamp 1621261055
+transform 1 0 11808 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_118
+timestamp 1621261055
+transform 1 0 12480 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_126
+timestamp 1621261055
+transform 1 0 13248 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_134
+timestamp 1621261055
+transform 1 0 14016 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _051_
+timestamp 1621261055
+transform 1 0 15168 0 1 16650
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _082_
+timestamp 1621261055
+transform 1 0 15840 0 1 16650
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_65
+timestamp 1621261055
+transform 1 0 14976 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_142
+timestamp 1621261055
+transform 1 0 14784 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_21_149
+timestamp 1621261055
+transform 1 0 15456 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_156
+timestamp 1621261055
+transform 1 0 16128 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _032_
+timestamp 1621261055
+transform 1 0 17472 0 1 16650
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_397
+timestamp 1621261055
+transform 1 0 16992 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_27
+timestamp 1621261055
+transform 1 0 17280 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_21_164
+timestamp 1621261055
+transform 1 0 16896 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_166
+timestamp 1621261055
+transform 1 0 17088 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_173
+timestamp 1621261055
+transform 1 0 17760 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_181
+timestamp 1621261055
+transform 1 0 18528 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_21_189
+timestamp 1621261055
+transform 1 0 19296 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _135_
+timestamp 1621261055
+transform 1 0 19968 0 1 16650
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_193
+timestamp 1621261055
+transform 1 0 19680 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_21_195
+timestamp 1621261055
+transform 1 0 19872 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_199
+timestamp 1621261055
+transform 1 0 20256 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_207
+timestamp 1621261055
+transform 1 0 21024 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_21_215
+timestamp 1621261055
+transform 1 0 21792 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_398
+timestamp 1621261055
+transform 1 0 22272 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_21_219
+timestamp 1621261055
+transform 1 0 22176 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_221
+timestamp 1621261055
+transform 1 0 22368 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_229
+timestamp 1621261055
+transform 1 0 23136 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_237
+timestamp 1621261055
+transform 1 0 23904 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_245
+timestamp 1621261055
+transform 1 0 24672 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_253
+timestamp 1621261055
+transform 1 0 25440 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_261
+timestamp 1621261055
+transform 1 0 26208 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_21_269
+timestamp 1621261055
+transform 1 0 26976 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_399
+timestamp 1621261055
+transform 1 0 27552 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_273
+timestamp 1621261055
+transform 1 0 27360 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_276
+timestamp 1621261055
+transform 1 0 27648 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_284
+timestamp 1621261055
+transform 1 0 28416 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_292
+timestamp 1621261055
+transform 1 0 29184 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _136_
+timestamp 1621261055
+transform 1 0 31776 0 1 16650
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_300
+timestamp 1621261055
+transform 1 0 29952 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_308
+timestamp 1621261055
+transform 1 0 30720 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_316
+timestamp 1621261055
+transform 1 0 31488 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_21_318
+timestamp 1621261055
+transform 1 0 31680 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_322
+timestamp 1621261055
+transform 1 0 32064 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_400
+timestamp 1621261055
+transform 1 0 32832 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_331
+timestamp 1621261055
+transform 1 0 32928 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_339
+timestamp 1621261055
+transform 1 0 33696 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_347
+timestamp 1621261055
+transform 1 0 34464 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_355
+timestamp 1621261055
+transform 1 0 35232 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_363
+timestamp 1621261055
+transform 1 0 36000 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_371
+timestamp 1621261055
+transform 1 0 36768 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_401
+timestamp 1621261055
+transform 1 0 38112 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_21_379
+timestamp 1621261055
+transform 1 0 37536 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_383
+timestamp 1621261055
+transform 1 0 37920 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_386
+timestamp 1621261055
+transform 1 0 38208 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_394
+timestamp 1621261055
+transform 1 0 38976 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_402
+timestamp 1621261055
+transform 1 0 39744 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_410
+timestamp 1621261055
+transform 1 0 40512 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_418
+timestamp 1621261055
+transform 1 0 41280 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_426
+timestamp 1621261055
+transform 1 0 42048 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_402
+timestamp 1621261055
+transform 1 0 43392 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_21_434
+timestamp 1621261055
+transform 1 0 42816 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_438
+timestamp 1621261055
+transform 1 0 43200 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_441
+timestamp 1621261055
+transform 1 0 43488 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_449
+timestamp 1621261055
+transform 1 0 44256 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_457
+timestamp 1621261055
+transform 1 0 45024 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_465
+timestamp 1621261055
+transform 1 0 45792 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_473
+timestamp 1621261055
+transform 1 0 46560 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_481
+timestamp 1621261055
+transform 1 0 47328 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_403
+timestamp 1621261055
+transform 1 0 48672 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_21_489
+timestamp 1621261055
+transform 1 0 48096 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_493
+timestamp 1621261055
+transform 1 0 48480 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_496
+timestamp 1621261055
+transform 1 0 48768 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_504
+timestamp 1621261055
+transform 1 0 49536 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_512
+timestamp 1621261055
+transform 1 0 50304 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_520
+timestamp 1621261055
+transform 1 0 51072 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_528
+timestamp 1621261055
+transform 1 0 51840 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_536
+timestamp 1621261055
+transform 1 0 52608 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_404
+timestamp 1621261055
+transform 1 0 53952 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_21_544
+timestamp 1621261055
+transform 1 0 53376 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_548
+timestamp 1621261055
+transform 1 0 53760 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_551
+timestamp 1621261055
+transform 1 0 54048 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_559
+timestamp 1621261055
+transform 1 0 54816 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_567
+timestamp 1621261055
+transform 1 0 55584 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _133_
+timestamp 1621261055
+transform 1 0 57312 0 1 16650
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_575
+timestamp 1621261055
+transform 1 0 56352 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_21_583
+timestamp 1621261055
+transform 1 0 57120 0 1 16650
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_21_588
+timestamp 1621261055
+transform 1 0 57600 0 1 16650
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_43
+timestamp 1621261055
+transform -1 0 58848 0 1 16650
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_21_596
+timestamp 1621261055
+transform 1 0 58368 0 1 16650
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  PHY_44
+timestamp 1621261055
+transform 1 0 1152 0 -1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_405
+timestamp 1621261055
+transform 1 0 3840 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_22_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_22_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_406
+timestamp 1621261055
+transform 1 0 9120 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_22_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_407
+timestamp 1621261055
+transform 1 0 14400 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_22_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_22_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _154_
+timestamp 1621261055
+transform 1 0 21600 0 -1 17982
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_408
+timestamp 1621261055
+transform 1 0 19680 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_22_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_22_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_22_212
+timestamp 1621261055
+transform 1 0 21504 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_216
+timestamp 1621261055
+transform 1 0 21888 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_224
+timestamp 1621261055
+transform 1 0 22656 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_232
+timestamp 1621261055
+transform 1 0 23424 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_240
+timestamp 1621261055
+transform 1 0 24192 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_409
+timestamp 1621261055
+transform 1 0 24960 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_22_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_410
+timestamp 1621261055
+transform 1 0 30240 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_22_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_411
+timestamp 1621261055
+transform 1 0 35520 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_22_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_22_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _076_
+timestamp 1621261055
+transform 1 0 41568 0 -1 17982
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_412
+timestamp 1621261055
+transform 1 0 40800 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_22_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_22_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_22_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_22_418
+timestamp 1621261055
+transform 1 0 41280 0 -1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_22_420
+timestamp 1621261055
+transform 1 0 41472 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_424
+timestamp 1621261055
+transform 1 0 41856 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_432
+timestamp 1621261055
+transform 1 0 42624 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_440
+timestamp 1621261055
+transform 1 0 43392 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_448
+timestamp 1621261055
+transform 1 0 44160 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_456
+timestamp 1621261055
+transform 1 0 44928 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_413
+timestamp 1621261055
+transform 1 0 46080 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_22_464
+timestamp 1621261055
+transform 1 0 45696 0 -1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _216_
+timestamp 1621261055
+transform 1 0 50304 0 -1 17982
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_22_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_22_511
+timestamp 1621261055
+transform 1 0 50208 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_414
+timestamp 1621261055
+transform 1 0 51360 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_515
+timestamp 1621261055
+transform 1 0 50592 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_415
+timestamp 1621261055
+transform 1 0 56640 0 -1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_22_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_22_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_22_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_45
+timestamp 1621261055
+transform -1 0 58848 0 -1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_22_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_46
+timestamp 1621261055
+transform 1 0 1152 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_48
+timestamp 1621261055
+transform 1 0 1152 0 -1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_4
+timestamp 1621261055
+transform 1 0 1536 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_12
+timestamp 1621261055
+transform 1 0 2304 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_20
+timestamp 1621261055
+transform 1 0 3072 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_28
+timestamp 1621261055
+transform 1 0 3840 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_426
+timestamp 1621261055
+transform 1 0 3840 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_23_44
+timestamp 1621261055
+transform 1 0 5376 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_36
+timestamp 1621261055
+transform 1 0 4608 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_23_51
+timestamp 1621261055
+transform 1 0 6048 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _185_
+timestamp 1621261055
+transform 1 0 5760 0 1 17982
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_56
+timestamp 1621261055
+transform 1 0 6528 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_416
+timestamp 1621261055
+transform 1 0 6432 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_23_64
+timestamp 1621261055
+transform 1 0 7296 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_71
+timestamp 1621261055
+transform 1 0 7488 0 1 17982
+box -38 -49 230 715
+use INVX4  INVX4
+timestamp 1624954255
+transform 1 0 7680 0 1 17982
+box 0 -48 864 714
+use sky130_fd_sc_ls__fill_diode_2  FILLER_24_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_24_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_77
+timestamp 1621261055
+transform 1 0 8544 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_427
+timestamp 1621261055
+transform 1 0 9120 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_85
+timestamp 1621261055
+transform 1 0 9312 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_93
+timestamp 1621261055
+transform 1 0 10080 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_101
+timestamp 1621261055
+transform 1 0 10848 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_417
+timestamp 1621261055
+transform 1 0 11712 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_23_109
+timestamp 1621261055
+transform 1 0 11616 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_111
+timestamp 1621261055
+transform 1 0 11808 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_119
+timestamp 1621261055
+transform 1 0 12576 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_127
+timestamp 1621261055
+transform 1 0 13344 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_135
+timestamp 1621261055
+transform 1 0 14112 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_24_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _114_
+timestamp 1621261055
+transform 1 0 15744 0 1 17982
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_428
+timestamp 1621261055
+transform 1 0 14400 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_143
+timestamp 1621261055
+transform 1 0 14880 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_23_151
+timestamp 1621261055
+transform 1 0 15648 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_155
+timestamp 1621261055
+transform 1 0 16032 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_24_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_418
+timestamp 1621261055
+transform 1 0 16992 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_23_163
+timestamp 1621261055
+transform 1 0 16800 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_166
+timestamp 1621261055
+transform 1 0 17088 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_174
+timestamp 1621261055
+transform 1 0 17856 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_182
+timestamp 1621261055
+transform 1 0 18624 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_24_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_429
+timestamp 1621261055
+transform 1 0 19680 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_190
+timestamp 1621261055
+transform 1 0 19392 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_198
+timestamp 1621261055
+transform 1 0 20160 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_206
+timestamp 1621261055
+transform 1 0 20928 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_23_214
+timestamp 1621261055
+transform 1 0 21696 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_24_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_419
+timestamp 1621261055
+transform 1 0 22272 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_23_218
+timestamp 1621261055
+transform 1 0 22080 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_221
+timestamp 1621261055
+transform 1 0 22368 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_229
+timestamp 1621261055
+transform 1 0 23136 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_237
+timestamp 1621261055
+transform 1 0 23904 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_24_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_24_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_245
+timestamp 1621261055
+transform 1 0 24672 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_430
+timestamp 1621261055
+transform 1 0 24960 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_260
+timestamp 1621261055
+transform 1 0 26112 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_23_253
+timestamp 1621261055
+transform 1 0 25440 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_10
+timestamp 1621261055
+transform -1 0 25824 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _004_
+timestamp 1621261055
+transform -1 0 26112 0 1 17982
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_23_268
+timestamp 1621261055
+transform 1 0 26880 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_276
+timestamp 1621261055
+transform 1 0 27648 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_23_274
+timestamp 1621261055
+transform 1 0 27456 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_23_272
+timestamp 1621261055
+transform 1 0 27264 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_420
+timestamp 1621261055
+transform 1 0 27552 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_284
+timestamp 1621261055
+transform 1 0 28416 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_23_296
+timestamp 1621261055
+transform 1 0 29568 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_23_292
+timestamp 1621261055
+transform 1 0 29184 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_24_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_43
+timestamp 1621261055
+transform -1 0 29856 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _043_
+timestamp 1621261055
+transform -1 0 30144 0 1 17982
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_431
+timestamp 1621261055
+transform 1 0 30240 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_302
+timestamp 1621261055
+transform 1 0 30144 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_310
+timestamp 1621261055
+transform 1 0 30912 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_318
+timestamp 1621261055
+transform 1 0 31680 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_24_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_421
+timestamp 1621261055
+transform 1 0 32832 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_23_326
+timestamp 1621261055
+transform 1 0 32448 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_331
+timestamp 1621261055
+transform 1 0 32928 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_339
+timestamp 1621261055
+transform 1 0 33696 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_347
+timestamp 1621261055
+transform 1 0 34464 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_432
+timestamp 1621261055
+transform 1 0 35520 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_355
+timestamp 1621261055
+transform 1 0 35232 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_363
+timestamp 1621261055
+transform 1 0 36000 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_371
+timestamp 1621261055
+transform 1 0 36768 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_24_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_24_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_422
+timestamp 1621261055
+transform 1 0 38112 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_23_379
+timestamp 1621261055
+transform 1 0 37536 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_23_383
+timestamp 1621261055
+transform 1 0 37920 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_386
+timestamp 1621261055
+transform 1 0 38208 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_394
+timestamp 1621261055
+transform 1 0 38976 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_402
+timestamp 1621261055
+transform 1 0 39744 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_433
+timestamp 1621261055
+transform 1 0 40800 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_410
+timestamp 1621261055
+transform 1 0 40512 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_418
+timestamp 1621261055
+transform 1 0 41280 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_426
+timestamp 1621261055
+transform 1 0 42048 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_24_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_24_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_423
+timestamp 1621261055
+transform 1 0 43392 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_23_434
+timestamp 1621261055
+transform 1 0 42816 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_23_438
+timestamp 1621261055
+transform 1 0 43200 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_441
+timestamp 1621261055
+transform 1 0 43488 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_449
+timestamp 1621261055
+transform 1 0 44256 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_457
+timestamp 1621261055
+transform 1 0 45024 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_24_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_24_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_23_465
+timestamp 1621261055
+transform 1 0 45792 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _060_
+timestamp 1621261055
+transform 1 0 45888 0 1 17982
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_469
+timestamp 1621261055
+transform 1 0 46176 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_434
+timestamp 1621261055
+transform 1 0 46080 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_485
+timestamp 1621261055
+transform 1 0 47712 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_477
+timestamp 1621261055
+transform 1 0 46944 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_23_493
+timestamp 1621261055
+transform 1 0 48480 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_24_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_496
+timestamp 1621261055
+transform 1 0 48768 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_6
+timestamp 1621261055
+transform 1 0 49344 0 -1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_424
+timestamp 1621261055
+transform 1 0 48672 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_507
+timestamp 1621261055
+transform 1 0 49824 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_511
+timestamp 1621261055
+transform 1 0 50208 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_23_504
+timestamp 1621261055
+transform 1 0 49536 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _091_
+timestamp 1621261055
+transform 1 0 49920 0 1 17982
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _000_
+timestamp 1621261055
+transform 1 0 49536 0 -1 19314
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_435
+timestamp 1621261055
+transform 1 0 51360 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_519
+timestamp 1621261055
+transform 1 0 50976 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_527
+timestamp 1621261055
+transform 1 0 51744 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_535
+timestamp 1621261055
+transform 1 0 52512 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_515
+timestamp 1621261055
+transform 1 0 50592 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_23_549
+timestamp 1621261055
+transform 1 0 53856 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_23_547
+timestamp 1621261055
+transform 1 0 53664 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_23_543
+timestamp 1621261055
+transform 1 0 53280 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_551
+timestamp 1621261055
+transform 1 0 54048 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_425
+timestamp 1621261055
+transform 1 0 53952 0 1 17982
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_559
+timestamp 1621261055
+transform 1 0 54816 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_567
+timestamp 1621261055
+transform 1 0 55584 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_436
+timestamp 1621261055
+transform 1 0 56640 0 -1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_575
+timestamp 1621261055
+transform 1 0 56352 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_23_583
+timestamp 1621261055
+transform 1 0 57120 0 1 17982
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_23_591
+timestamp 1621261055
+transform 1 0 57888 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_24_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_24_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_24_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_47
+timestamp 1621261055
+transform -1 0 58848 0 1 17982
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_49
+timestamp 1621261055
+transform -1 0 58848 0 -1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_23_595
+timestamp 1621261055
+transform 1 0 58272 0 1 17982
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_24_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_50
+timestamp 1621261055
+transform 1 0 1152 0 1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_4
+timestamp 1621261055
+transform 1 0 1536 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_12
+timestamp 1621261055
+transform 1 0 2304 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_20
+timestamp 1621261055
+transform 1 0 3072 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_28
+timestamp 1621261055
+transform 1 0 3840 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_36
+timestamp 1621261055
+transform 1 0 4608 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_44
+timestamp 1621261055
+transform 1 0 5376 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_52
+timestamp 1621261055
+transform 1 0 6144 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_25_54
+timestamp 1621261055
+transform 1 0 6336 0 1 19314
+box -38 -49 134 715
+use INVX8  INVX8
+timestamp 1624954255
+transform 1 0 7680 0 1 19314
+box 0 -48 1440 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_437
+timestamp 1621261055
+transform 1 0 6432 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_77
+timestamp 1621261055
+transform 1 0 7488 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_56
+timestamp 1621261055
+transform 1 0 6528 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_64
+timestamp 1621261055
+transform 1 0 7296 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_83
+timestamp 1621261055
+transform 1 0 9120 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_91
+timestamp 1621261055
+transform 1 0 9888 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_99
+timestamp 1621261055
+transform 1 0 10656 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_107
+timestamp 1621261055
+transform 1 0 11424 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_438
+timestamp 1621261055
+transform 1 0 11712 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_25_109
+timestamp 1621261055
+transform 1 0 11616 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_111
+timestamp 1621261055
+transform 1 0 11808 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_119
+timestamp 1621261055
+transform 1 0 12576 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_127
+timestamp 1621261055
+transform 1 0 13344 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_135
+timestamp 1621261055
+transform 1 0 14112 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_143
+timestamp 1621261055
+transform 1 0 14880 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_151
+timestamp 1621261055
+transform 1 0 15648 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_25_159
+timestamp 1621261055
+transform 1 0 16416 0 1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_439
+timestamp 1621261055
+transform 1 0 16992 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_163
+timestamp 1621261055
+transform 1 0 16800 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_166
+timestamp 1621261055
+transform 1 0 17088 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_174
+timestamp 1621261055
+transform 1 0 17856 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_182
+timestamp 1621261055
+transform 1 0 18624 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_190
+timestamp 1621261055
+transform 1 0 19392 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_198
+timestamp 1621261055
+transform 1 0 20160 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_206
+timestamp 1621261055
+transform 1 0 20928 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_25_214
+timestamp 1621261055
+transform 1 0 21696 0 1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_440
+timestamp 1621261055
+transform 1 0 22272 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_218
+timestamp 1621261055
+transform 1 0 22080 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_221
+timestamp 1621261055
+transform 1 0 22368 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_229
+timestamp 1621261055
+transform 1 0 23136 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_237
+timestamp 1621261055
+transform 1 0 23904 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_245
+timestamp 1621261055
+transform 1 0 24672 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_253
+timestamp 1621261055
+transform 1 0 25440 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_261
+timestamp 1621261055
+transform 1 0 26208 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_25_269
+timestamp 1621261055
+transform 1 0 26976 0 1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_441
+timestamp 1621261055
+transform 1 0 27552 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_273
+timestamp 1621261055
+transform 1 0 27360 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_276
+timestamp 1621261055
+transform 1 0 27648 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_284
+timestamp 1621261055
+transform 1 0 28416 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_292
+timestamp 1621261055
+transform 1 0 29184 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_300
+timestamp 1621261055
+transform 1 0 29952 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_308
+timestamp 1621261055
+transform 1 0 30720 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_316
+timestamp 1621261055
+transform 1 0 31488 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_25_324
+timestamp 1621261055
+transform 1 0 32256 0 1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _160_
+timestamp 1621261055
+transform 1 0 33408 0 1 19314
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_442
+timestamp 1621261055
+transform 1 0 32832 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_328
+timestamp 1621261055
+transform 1 0 32640 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_25_331
+timestamp 1621261055
+transform 1 0 32928 0 1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_25_335
+timestamp 1621261055
+transform 1 0 33312 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_339
+timestamp 1621261055
+transform 1 0 33696 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_347
+timestamp 1621261055
+transform 1 0 34464 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_355
+timestamp 1621261055
+transform 1 0 35232 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_363
+timestamp 1621261055
+transform 1 0 36000 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_371
+timestamp 1621261055
+transform 1 0 36768 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _085_
+timestamp 1621261055
+transform 1 0 39936 0 1 19314
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_443
+timestamp 1621261055
+transform 1 0 38112 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_25_379
+timestamp 1621261055
+transform 1 0 37536 0 1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_383
+timestamp 1621261055
+transform 1 0 37920 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_386
+timestamp 1621261055
+transform 1 0 38208 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_394
+timestamp 1621261055
+transform 1 0 38976 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_402
+timestamp 1621261055
+transform 1 0 39744 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_407
+timestamp 1621261055
+transform 1 0 40224 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_415
+timestamp 1621261055
+transform 1 0 40992 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_423
+timestamp 1621261055
+transform 1 0 41760 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_431
+timestamp 1621261055
+transform 1 0 42528 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_444
+timestamp 1621261055
+transform 1 0 43392 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_25_439
+timestamp 1621261055
+transform 1 0 43296 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_441
+timestamp 1621261055
+transform 1 0 43488 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_449
+timestamp 1621261055
+transform 1 0 44256 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_457
+timestamp 1621261055
+transform 1 0 45024 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_465
+timestamp 1621261055
+transform 1 0 45792 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_473
+timestamp 1621261055
+transform 1 0 46560 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_481
+timestamp 1621261055
+transform 1 0 47328 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_445
+timestamp 1621261055
+transform 1 0 48672 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_25_489
+timestamp 1621261055
+transform 1 0 48096 0 1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_493
+timestamp 1621261055
+transform 1 0 48480 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_496
+timestamp 1621261055
+transform 1 0 48768 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_504
+timestamp 1621261055
+transform 1 0 49536 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_512
+timestamp 1621261055
+transform 1 0 50304 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_520
+timestamp 1621261055
+transform 1 0 51072 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_528
+timestamp 1621261055
+transform 1 0 51840 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_536
+timestamp 1621261055
+transform 1 0 52608 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_446
+timestamp 1621261055
+transform 1 0 53952 0 1 19314
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_25_544
+timestamp 1621261055
+transform 1 0 53376 0 1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_548
+timestamp 1621261055
+transform 1 0 53760 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_551
+timestamp 1621261055
+transform 1 0 54048 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_559
+timestamp 1621261055
+transform 1 0 54816 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_567
+timestamp 1621261055
+transform 1 0 55584 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_575
+timestamp 1621261055
+transform 1 0 56352 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_25_583
+timestamp 1621261055
+transform 1 0 57120 0 1 19314
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_25_591
+timestamp 1621261055
+transform 1 0 57888 0 1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_51
+timestamp 1621261055
+transform -1 0 58848 0 1 19314
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_25_595
+timestamp 1621261055
+transform 1 0 58272 0 1 19314
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_52
+timestamp 1621261055
+transform 1 0 1152 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_447
+timestamp 1621261055
+transform 1 0 3840 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_26_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_26_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_448
+timestamp 1621261055
+transform 1 0 9120 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_26_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_449
+timestamp 1621261055
+transform 1 0 14400 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_26_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_26_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_450
+timestamp 1621261055
+transform 1 0 19680 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_26_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_26_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _141_
+timestamp 1621261055
+transform 1 0 26688 0 -1 20646
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_451
+timestamp 1621261055
+transform 1 0 24960 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_26_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_26_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_269
+timestamp 1621261055
+transform 1 0 26976 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _066_
+timestamp 1621261055
+transform 1 0 29568 0 -1 20646
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_277
+timestamp 1621261055
+transform 1 0 27744 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_285
+timestamp 1621261055
+transform 1 0 28512 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_26_293
+timestamp 1621261055
+transform 1 0 29280 0 -1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_26_295
+timestamp 1621261055
+transform 1 0 29472 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_452
+timestamp 1621261055
+transform 1 0 30240 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_26_299
+timestamp 1621261055
+transform 1 0 29856 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_453
+timestamp 1621261055
+transform 1 0 35520 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_26_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_26_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_454
+timestamp 1621261055
+transform 1 0 40800 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_26_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_26_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_455
+timestamp 1621261055
+transform 1 0 46080 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_26_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_26_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_456
+timestamp 1621261055
+transform 1 0 51360 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_26_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_26_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_457
+timestamp 1621261055
+transform 1 0 56640 0 -1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_26_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_26_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_26_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_53
+timestamp 1621261055
+transform -1 0 58848 0 -1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_26_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_54
+timestamp 1621261055
+transform 1 0 1152 0 1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_4
+timestamp 1621261055
+transform 1 0 1536 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_12
+timestamp 1621261055
+transform 1 0 2304 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_20
+timestamp 1621261055
+transform 1 0 3072 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_28
+timestamp 1621261055
+transform 1 0 3840 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_36
+timestamp 1621261055
+transform 1 0 4608 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_44
+timestamp 1621261055
+transform 1 0 5376 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_27_52
+timestamp 1621261055
+transform 1 0 6144 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_27_54
+timestamp 1621261055
+transform 1 0 6336 0 1 20646
+box -38 -49 134 715
+use MUX2X1  MUX2X1
+timestamp 1624954255
+transform 1 0 7680 0 1 20646
+box 0 -48 1728 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_458
+timestamp 1621261055
+transform 1 0 6432 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_82
+timestamp 1621261055
+transform 1 0 7488 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_56
+timestamp 1621261055
+transform 1 0 6528 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_27_64
+timestamp 1621261055
+transform 1 0 7296 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_86
+timestamp 1621261055
+transform 1 0 9408 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_94
+timestamp 1621261055
+transform 1 0 10176 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_102
+timestamp 1621261055
+transform 1 0 10944 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_459
+timestamp 1621261055
+transform 1 0 11712 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_111
+timestamp 1621261055
+transform 1 0 11808 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_119
+timestamp 1621261055
+transform 1 0 12576 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_127
+timestamp 1621261055
+transform 1 0 13344 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_135
+timestamp 1621261055
+transform 1 0 14112 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_143
+timestamp 1621261055
+transform 1 0 14880 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_151
+timestamp 1621261055
+transform 1 0 15648 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_27_159
+timestamp 1621261055
+transform 1 0 16416 0 1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_460
+timestamp 1621261055
+transform 1 0 16992 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_27_163
+timestamp 1621261055
+transform 1 0 16800 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_166
+timestamp 1621261055
+transform 1 0 17088 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_174
+timestamp 1621261055
+transform 1 0 17856 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_182
+timestamp 1621261055
+transform 1 0 18624 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_190
+timestamp 1621261055
+transform 1 0 19392 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_198
+timestamp 1621261055
+transform 1 0 20160 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_206
+timestamp 1621261055
+transform 1 0 20928 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_27_214
+timestamp 1621261055
+transform 1 0 21696 0 1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_461
+timestamp 1621261055
+transform 1 0 22272 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_27_218
+timestamp 1621261055
+transform 1 0 22080 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_221
+timestamp 1621261055
+transform 1 0 22368 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_229
+timestamp 1621261055
+transform 1 0 23136 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_237
+timestamp 1621261055
+transform 1 0 23904 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _052_
+timestamp 1621261055
+transform 1 0 24864 0 1 20646
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_69
+timestamp 1621261055
+transform 1 0 24672 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_250
+timestamp 1621261055
+transform 1 0 25152 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_258
+timestamp 1621261055
+transform 1 0 25920 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_266
+timestamp 1621261055
+transform 1 0 26688 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_462
+timestamp 1621261055
+transform 1 0 27552 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_27_274
+timestamp 1621261055
+transform 1 0 27456 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_276
+timestamp 1621261055
+transform 1 0 27648 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_284
+timestamp 1621261055
+transform 1 0 28416 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_292
+timestamp 1621261055
+transform 1 0 29184 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_300
+timestamp 1621261055
+transform 1 0 29952 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_308
+timestamp 1621261055
+transform 1 0 30720 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_316
+timestamp 1621261055
+transform 1 0 31488 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_27_324
+timestamp 1621261055
+transform 1 0 32256 0 1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_463
+timestamp 1621261055
+transform 1 0 32832 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_27_328
+timestamp 1621261055
+transform 1 0 32640 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_331
+timestamp 1621261055
+transform 1 0 32928 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_339
+timestamp 1621261055
+transform 1 0 33696 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_347
+timestamp 1621261055
+transform 1 0 34464 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _068_
+timestamp 1621261055
+transform 1 0 35520 0 1 20646
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_27_355
+timestamp 1621261055
+transform 1 0 35232 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_27_357
+timestamp 1621261055
+transform 1 0 35424 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_361
+timestamp 1621261055
+transform 1 0 35808 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_369
+timestamp 1621261055
+transform 1 0 36576 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_377
+timestamp 1621261055
+transform 1 0 37344 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_464
+timestamp 1621261055
+transform 1 0 38112 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_386
+timestamp 1621261055
+transform 1 0 38208 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_394
+timestamp 1621261055
+transform 1 0 38976 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_402
+timestamp 1621261055
+transform 1 0 39744 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_410
+timestamp 1621261055
+transform 1 0 40512 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_418
+timestamp 1621261055
+transform 1 0 41280 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_426
+timestamp 1621261055
+transform 1 0 42048 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_465
+timestamp 1621261055
+transform 1 0 43392 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_27_434
+timestamp 1621261055
+transform 1 0 42816 0 1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_27_438
+timestamp 1621261055
+transform 1 0 43200 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_441
+timestamp 1621261055
+transform 1 0 43488 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_449
+timestamp 1621261055
+transform 1 0 44256 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_457
+timestamp 1621261055
+transform 1 0 45024 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_465
+timestamp 1621261055
+transform 1 0 45792 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_473
+timestamp 1621261055
+transform 1 0 46560 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_481
+timestamp 1621261055
+transform 1 0 47328 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _101_
+timestamp 1621261055
+transform 1 0 49728 0 1 20646
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_466
+timestamp 1621261055
+transform 1 0 48672 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_27_489
+timestamp 1621261055
+transform 1 0 48096 0 1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_27_493
+timestamp 1621261055
+transform 1 0 48480 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_496
+timestamp 1621261055
+transform 1 0 48768 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_27_504
+timestamp 1621261055
+transform 1 0 49536 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_509
+timestamp 1621261055
+transform 1 0 50016 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_517
+timestamp 1621261055
+transform 1 0 50784 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_525
+timestamp 1621261055
+transform 1 0 51552 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_533
+timestamp 1621261055
+transform 1 0 52320 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_467
+timestamp 1621261055
+transform 1 0 53952 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_541
+timestamp 1621261055
+transform 1 0 53088 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_27_549
+timestamp 1621261055
+transform 1 0 53856 0 1 20646
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_551
+timestamp 1621261055
+transform 1 0 54048 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_559
+timestamp 1621261055
+transform 1 0 54816 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_567
+timestamp 1621261055
+transform 1 0 55584 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_575
+timestamp 1621261055
+transform 1 0 56352 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_27_583
+timestamp 1621261055
+transform 1 0 57120 0 1 20646
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_27_591
+timestamp 1621261055
+transform 1 0 57888 0 1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_55
+timestamp 1621261055
+transform -1 0 58848 0 1 20646
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_27_595
+timestamp 1621261055
+transform 1 0 58272 0 1 20646
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_56
+timestamp 1621261055
+transform 1 0 1152 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_468
+timestamp 1621261055
+transform 1 0 3840 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_28_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_28_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _192_
+timestamp 1621261055
+transform 1 0 9984 0 -1 21978
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_469
+timestamp 1621261055
+transform 1 0 9120 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_95
+timestamp 1621261055
+transform 1 0 10272 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_103
+timestamp 1621261055
+transform 1 0 11040 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_111
+timestamp 1621261055
+transform 1 0 11808 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_119
+timestamp 1621261055
+transform 1 0 12576 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_127
+timestamp 1621261055
+transform 1 0 13344 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_28_135
+timestamp 1621261055
+transform 1 0 14112 0 -1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_470
+timestamp 1621261055
+transform 1 0 14400 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_28_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_28_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_471
+timestamp 1621261055
+transform 1 0 19680 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_28_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_28_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_472
+timestamp 1621261055
+transform 1 0 24960 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_28_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _126_
+timestamp 1621261055
+transform 1 0 27840 0 -1 21978
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_28_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_28_277
+timestamp 1621261055
+transform 1 0 27744 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_28_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_473
+timestamp 1621261055
+transform 1 0 30240 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_28_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_474
+timestamp 1621261055
+transform 1 0 35520 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_28_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_28_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_475
+timestamp 1621261055
+transform 1 0 40800 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_28_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_28_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_476
+timestamp 1621261055
+transform 1 0 46080 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_28_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_28_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_477
+timestamp 1621261055
+transform 1 0 51360 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_28_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_28_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _093_
+timestamp 1621261055
+transform 1 0 57120 0 -1 21978
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_478
+timestamp 1621261055
+transform 1 0 56640 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_28_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_28_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_28_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_28_586
+timestamp 1621261055
+transform 1 0 57408 0 -1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_28_594
+timestamp 1621261055
+transform 1 0 58176 0 -1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_57
+timestamp 1621261055
+transform -1 0 58848 0 -1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_28_596
+timestamp 1621261055
+transform 1 0 58368 0 -1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  PHY_58
+timestamp 1621261055
+transform 1 0 1152 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_4
+timestamp 1621261055
+transform 1 0 1536 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_12
+timestamp 1621261055
+transform 1 0 2304 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_20
+timestamp 1621261055
+transform 1 0 3072 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_28
+timestamp 1621261055
+transform 1 0 3840 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_36
+timestamp 1621261055
+transform 1 0 4608 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_44
+timestamp 1621261055
+transform 1 0 5376 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_29_52
+timestamp 1621261055
+transform 1 0 6144 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_29_54
+timestamp 1621261055
+transform 1 0 6336 0 1 21978
+box -38 -49 134 715
+use NAND2X1  NAND2X1
+timestamp 1624954255
+transform 1 0 7680 0 1 21978
+box 0 -48 864 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_479
+timestamp 1621261055
+transform 1 0 6432 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_85
+timestamp 1621261055
+transform 1 0 7488 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_56
+timestamp 1621261055
+transform 1 0 6528 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_29_64
+timestamp 1621261055
+transform 1 0 7296 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_77
+timestamp 1621261055
+transform 1 0 8544 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_85
+timestamp 1621261055
+transform 1 0 9312 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_93
+timestamp 1621261055
+transform 1 0 10080 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_101
+timestamp 1621261055
+transform 1 0 10848 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_480
+timestamp 1621261055
+transform 1 0 11712 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_29_109
+timestamp 1621261055
+transform 1 0 11616 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_111
+timestamp 1621261055
+transform 1 0 11808 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_119
+timestamp 1621261055
+transform 1 0 12576 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_127
+timestamp 1621261055
+transform 1 0 13344 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_135
+timestamp 1621261055
+transform 1 0 14112 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_143
+timestamp 1621261055
+transform 1 0 14880 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_151
+timestamp 1621261055
+transform 1 0 15648 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_29_159
+timestamp 1621261055
+transform 1 0 16416 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_481
+timestamp 1621261055
+transform 1 0 16992 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_29_163
+timestamp 1621261055
+transform 1 0 16800 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_166
+timestamp 1621261055
+transform 1 0 17088 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_174
+timestamp 1621261055
+transform 1 0 17856 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_182
+timestamp 1621261055
+transform 1 0 18624 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_190
+timestamp 1621261055
+transform 1 0 19392 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_198
+timestamp 1621261055
+transform 1 0 20160 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_206
+timestamp 1621261055
+transform 1 0 20928 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_29_214
+timestamp 1621261055
+transform 1 0 21696 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_482
+timestamp 1621261055
+transform 1 0 22272 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_29_218
+timestamp 1621261055
+transform 1 0 22080 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_221
+timestamp 1621261055
+transform 1 0 22368 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_229
+timestamp 1621261055
+transform 1 0 23136 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_237
+timestamp 1621261055
+transform 1 0 23904 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_245
+timestamp 1621261055
+transform 1 0 24672 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_253
+timestamp 1621261055
+transform 1 0 25440 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_261
+timestamp 1621261055
+transform 1 0 26208 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_29_269
+timestamp 1621261055
+transform 1 0 26976 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_483
+timestamp 1621261055
+transform 1 0 27552 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_29_273
+timestamp 1621261055
+transform 1 0 27360 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_276
+timestamp 1621261055
+transform 1 0 27648 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_284
+timestamp 1621261055
+transform 1 0 28416 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_29_292
+timestamp 1621261055
+transform 1 0 29184 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_29_296
+timestamp 1621261055
+transform 1 0 29568 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _174_
+timestamp 1621261055
+transform 1 0 29856 0 1 21978
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_1  FILLER_29_298
+timestamp 1621261055
+transform 1 0 29760 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_302
+timestamp 1621261055
+transform 1 0 30144 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_310
+timestamp 1621261055
+transform 1 0 30912 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_318
+timestamp 1621261055
+transform 1 0 31680 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_484
+timestamp 1621261055
+transform 1 0 32832 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_29_326
+timestamp 1621261055
+transform 1 0 32448 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_331
+timestamp 1621261055
+transform 1 0 32928 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_339
+timestamp 1621261055
+transform 1 0 33696 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_347
+timestamp 1621261055
+transform 1 0 34464 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_355
+timestamp 1621261055
+transform 1 0 35232 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_363
+timestamp 1621261055
+transform 1 0 36000 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_371
+timestamp 1621261055
+transform 1 0 36768 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_485
+timestamp 1621261055
+transform 1 0 38112 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_29_379
+timestamp 1621261055
+transform 1 0 37536 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_29_383
+timestamp 1621261055
+transform 1 0 37920 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_386
+timestamp 1621261055
+transform 1 0 38208 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_394
+timestamp 1621261055
+transform 1 0 38976 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_402
+timestamp 1621261055
+transform 1 0 39744 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_410
+timestamp 1621261055
+transform 1 0 40512 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_418
+timestamp 1621261055
+transform 1 0 41280 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_426
+timestamp 1621261055
+transform 1 0 42048 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_486
+timestamp 1621261055
+transform 1 0 43392 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_29_434
+timestamp 1621261055
+transform 1 0 42816 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_29_438
+timestamp 1621261055
+transform 1 0 43200 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_441
+timestamp 1621261055
+transform 1 0 43488 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_449
+timestamp 1621261055
+transform 1 0 44256 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_457
+timestamp 1621261055
+transform 1 0 45024 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_465
+timestamp 1621261055
+transform 1 0 45792 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_473
+timestamp 1621261055
+transform 1 0 46560 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_481
+timestamp 1621261055
+transform 1 0 47328 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_487
+timestamp 1621261055
+transform 1 0 48672 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_29_489
+timestamp 1621261055
+transform 1 0 48096 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_29_493
+timestamp 1621261055
+transform 1 0 48480 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_496
+timestamp 1621261055
+transform 1 0 48768 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_504
+timestamp 1621261055
+transform 1 0 49536 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_512
+timestamp 1621261055
+transform 1 0 50304 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_520
+timestamp 1621261055
+transform 1 0 51072 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_528
+timestamp 1621261055
+transform 1 0 51840 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_536
+timestamp 1621261055
+transform 1 0 52608 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_488
+timestamp 1621261055
+transform 1 0 53952 0 1 21978
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_29_544
+timestamp 1621261055
+transform 1 0 53376 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_29_548
+timestamp 1621261055
+transform 1 0 53760 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_551
+timestamp 1621261055
+transform 1 0 54048 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_559
+timestamp 1621261055
+transform 1 0 54816 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_567
+timestamp 1621261055
+transform 1 0 55584 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_575
+timestamp 1621261055
+transform 1 0 56352 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_29_583
+timestamp 1621261055
+transform 1 0 57120 0 1 21978
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_29_591
+timestamp 1621261055
+transform 1 0 57888 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_59
+timestamp 1621261055
+transform -1 0 58848 0 1 21978
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_29_595
+timestamp 1621261055
+transform 1 0 58272 0 1 21978
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_60
+timestamp 1621261055
+transform 1 0 1152 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_62
+timestamp 1621261055
+transform 1 0 1152 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_4
+timestamp 1621261055
+transform 1 0 1536 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_12
+timestamp 1621261055
+transform 1 0 2304 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_20
+timestamp 1621261055
+transform 1 0 3072 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_28
+timestamp 1621261055
+transform 1 0 3840 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_489
+timestamp 1621261055
+transform 1 0 3840 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_44
+timestamp 1621261055
+transform 1 0 5376 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_36
+timestamp 1621261055
+transform 1 0 4608 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_31_52
+timestamp 1621261055
+transform 1 0 6144 0 1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_31_54
+timestamp 1621261055
+transform 1 0 6336 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_64
+timestamp 1621261055
+transform 1 0 7296 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_56
+timestamp 1621261055
+transform 1 0 6528 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_500
+timestamp 1621261055
+transform 1 0 6432 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_78
+timestamp 1621261055
+transform 1 0 8640 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_73
+timestamp 1621261055
+transform 1 0 8160 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _117_
+timestamp 1621261055
+transform 1 0 8352 0 -1 23310
+box -38 -49 326 715
+use NAND3X1  NAND3X1
+timestamp 1624954255
+transform 1 0 7680 0 1 23310
+box 0 -48 1152 714
+use sky130_fd_sc_ls__decap_8  FILLER_31_80
+timestamp 1621261055
+transform 1 0 8832 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_88
+timestamp 1621261055
+transform 1 0 9600 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_30_82
+timestamp 1621261055
+transform 1 0 9024 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_490
+timestamp 1621261055
+transform 1 0 9120 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_96
+timestamp 1621261055
+transform 1 0 10368 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_104
+timestamp 1621261055
+transform 1 0 11136 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_31_108
+timestamp 1621261055
+transform 1 0 11520 0 1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_111
+timestamp 1621261055
+transform 1 0 11808 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_30_114
+timestamp 1621261055
+transform 1 0 12096 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_112
+timestamp 1621261055
+transform 1 0 11904 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_179
+timestamp 1621261055
+transform 1 0 12192 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_501
+timestamp 1621261055
+transform 1 0 11712 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_119
+timestamp 1621261055
+transform 1 0 12576 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_120
+timestamp 1621261055
+transform 1 0 12672 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _145_
+timestamp 1621261055
+transform 1 0 12384 0 -1 23310
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_127
+timestamp 1621261055
+transform 1 0 13344 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_128
+timestamp 1621261055
+transform 1 0 13440 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_135
+timestamp 1621261055
+transform 1 0 14112 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_491
+timestamp 1621261055
+transform 1 0 14400 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_143
+timestamp 1621261055
+transform 1 0 14880 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_151
+timestamp 1621261055
+transform 1 0 15648 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_159
+timestamp 1621261055
+transform 1 0 16416 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_502
+timestamp 1621261055
+transform 1 0 16992 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_31_163
+timestamp 1621261055
+transform 1 0 16800 0 1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_166
+timestamp 1621261055
+transform 1 0 17088 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_174
+timestamp 1621261055
+transform 1 0 17856 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_182
+timestamp 1621261055
+transform 1 0 18624 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_492
+timestamp 1621261055
+transform 1 0 19680 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_190
+timestamp 1621261055
+transform 1 0 19392 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_198
+timestamp 1621261055
+transform 1 0 20160 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_206
+timestamp 1621261055
+transform 1 0 20928 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_214
+timestamp 1621261055
+transform 1 0 21696 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_503
+timestamp 1621261055
+transform 1 0 22272 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_31_218
+timestamp 1621261055
+transform 1 0 22080 0 1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_221
+timestamp 1621261055
+transform 1 0 22368 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_229
+timestamp 1621261055
+transform 1 0 23136 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_237
+timestamp 1621261055
+transform 1 0 23904 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_245
+timestamp 1621261055
+transform 1 0 24672 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_493
+timestamp 1621261055
+transform 1 0 24960 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_253
+timestamp 1621261055
+transform 1 0 25440 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_260
+timestamp 1621261055
+transform 1 0 26112 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _086_
+timestamp 1621261055
+transform 1 0 25824 0 -1 23310
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_269
+timestamp 1621261055
+transform 1 0 26976 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_261
+timestamp 1621261055
+transform 1 0 26208 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_268
+timestamp 1621261055
+transform 1 0 26880 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_276
+timestamp 1621261055
+transform 1 0 27648 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_31_273
+timestamp 1621261055
+transform 1 0 27360 0 1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_276
+timestamp 1621261055
+transform 1 0 27648 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_504
+timestamp 1621261055
+transform 1 0 27552 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_284
+timestamp 1621261055
+transform 1 0 28416 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_286
+timestamp 1621261055
+transform 1 0 28608 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_30_282
+timestamp 1621261055
+transform 1 0 28224 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_280
+timestamp 1621261055
+transform 1 0 28032 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _163_
+timestamp 1621261055
+transform 1 0 28320 0 -1 23310
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_292
+timestamp 1621261055
+transform 1 0 29184 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_294
+timestamp 1621261055
+transform 1 0 29376 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_494
+timestamp 1621261055
+transform 1 0 30240 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_30_302
+timestamp 1621261055
+transform 1 0 30144 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_300
+timestamp 1621261055
+transform 1 0 29952 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_308
+timestamp 1621261055
+transform 1 0 30720 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_316
+timestamp 1621261055
+transform 1 0 31488 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_324
+timestamp 1621261055
+transform 1 0 32256 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_505
+timestamp 1621261055
+transform 1 0 32832 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_31_328
+timestamp 1621261055
+transform 1 0 32640 0 1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_331
+timestamp 1621261055
+transform 1 0 32928 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_339
+timestamp 1621261055
+transform 1 0 33696 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_347
+timestamp 1621261055
+transform 1 0 34464 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_495
+timestamp 1621261055
+transform 1 0 35520 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_355
+timestamp 1621261055
+transform 1 0 35232 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_363
+timestamp 1621261055
+transform 1 0 36000 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_371
+timestamp 1621261055
+transform 1 0 36768 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_506
+timestamp 1621261055
+transform 1 0 38112 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_379
+timestamp 1621261055
+transform 1 0 37536 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_31_383
+timestamp 1621261055
+transform 1 0 37920 0 1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_386
+timestamp 1621261055
+transform 1 0 38208 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_394
+timestamp 1621261055
+transform 1 0 38976 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_402
+timestamp 1621261055
+transform 1 0 39744 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_496
+timestamp 1621261055
+transform 1 0 40800 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_410
+timestamp 1621261055
+transform 1 0 40512 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_418
+timestamp 1621261055
+transform 1 0 41280 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_426
+timestamp 1621261055
+transform 1 0 42048 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_31_438
+timestamp 1621261055
+transform 1 0 43200 0 1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_434
+timestamp 1621261055
+transform 1 0 42816 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_507
+timestamp 1621261055
+transform 1 0 43392 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_31_447
+timestamp 1621261055
+transform 1 0 44064 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_31_445
+timestamp 1621261055
+transform 1 0 43872 0 1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_441
+timestamp 1621261055
+transform 1 0 43488 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _203_
+timestamp 1621261055
+transform 1 0 44160 0 1 23310
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_451
+timestamp 1621261055
+transform 1 0 44448 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_456
+timestamp 1621261055
+transform 1 0 44928 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_30_452
+timestamp 1621261055
+transform 1 0 44544 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_450
+timestamp 1621261055
+transform 1 0 44352 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _095_
+timestamp 1621261055
+transform 1 0 44640 0 -1 23310
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_459
+timestamp 1621261055
+transform 1 0 45216 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_497
+timestamp 1621261055
+transform 1 0 46080 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_464
+timestamp 1621261055
+transform 1 0 45696 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_467
+timestamp 1621261055
+transform 1 0 45984 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_475
+timestamp 1621261055
+transform 1 0 46752 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_483
+timestamp 1621261055
+transform 1 0 47520 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_508
+timestamp 1621261055
+transform 1 0 48672 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_491
+timestamp 1621261055
+transform 1 0 48288 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_496
+timestamp 1621261055
+transform 1 0 48768 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_504
+timestamp 1621261055
+transform 1 0 49536 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_512
+timestamp 1621261055
+transform 1 0 50304 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_498
+timestamp 1621261055
+transform 1 0 51360 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_520
+timestamp 1621261055
+transform 1 0 51072 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_528
+timestamp 1621261055
+transform 1 0 51840 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_536
+timestamp 1621261055
+transform 1 0 52608 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_509
+timestamp 1621261055
+transform 1 0 53952 0 1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_544
+timestamp 1621261055
+transform 1 0 53376 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_31_548
+timestamp 1621261055
+transform 1 0 53760 0 1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_551
+timestamp 1621261055
+transform 1 0 54048 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_559
+timestamp 1621261055
+transform 1 0 54816 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_567
+timestamp 1621261055
+transform 1 0 55584 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_499
+timestamp 1621261055
+transform 1 0 56640 0 -1 23310
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_30_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_30_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_575
+timestamp 1621261055
+transform 1 0 56352 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_31_583
+timestamp 1621261055
+transform 1 0 57120 0 1 23310
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_31_591
+timestamp 1621261055
+transform 1 0 57888 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_61
+timestamp 1621261055
+transform -1 0 58848 0 -1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_63
+timestamp 1621261055
+transform -1 0 58848 0 1 23310
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_30_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_31_595
+timestamp 1621261055
+transform 1 0 58272 0 1 23310
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_64
+timestamp 1621261055
+transform 1 0 1152 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_510
+timestamp 1621261055
+transform 1 0 3840 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_511
+timestamp 1621261055
+transform 1 0 9120 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _169_
+timestamp 1621261055
+transform 1 0 11904 0 -1 24642
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_115
+timestamp 1621261055
+transform 1 0 12192 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_123
+timestamp 1621261055
+transform 1 0 12960 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_131
+timestamp 1621261055
+transform 1 0 13728 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_135
+timestamp 1621261055
+transform 1 0 14112 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_512
+timestamp 1621261055
+transform 1 0 14400 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_32_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_513
+timestamp 1621261055
+transform 1 0 19680 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_514
+timestamp 1621261055
+transform 1 0 24960 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _012_
+timestamp 1621261055
+transform -1 0 31008 0 -1 24642
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _100_
+timestamp 1621261055
+transform 1 0 31584 0 -1 24642
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_515
+timestamp 1621261055
+transform 1 0 30240 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_30
+timestamp 1621261055
+transform -1 0 30720 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_311
+timestamp 1621261055
+transform 1 0 31008 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_315
+timestamp 1621261055
+transform 1 0 31392 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_516
+timestamp 1621261055
+transform 1 0 35520 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _075_
+timestamp 1621261055
+transform 1 0 39072 0 -1 24642
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_398
+timestamp 1621261055
+transform 1 0 39360 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_517
+timestamp 1621261055
+transform 1 0 40800 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_406
+timestamp 1621261055
+transform 1 0 40128 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_410
+timestamp 1621261055
+transform 1 0 40512 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_32_412
+timestamp 1621261055
+transform 1 0 40704 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_518
+timestamp 1621261055
+transform 1 0 46080 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_519
+timestamp 1621261055
+transform 1 0 51360 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_520
+timestamp 1621261055
+transform 1 0 56640 0 -1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_32_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_32_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_65
+timestamp 1621261055
+transform -1 0 58848 0 -1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_32_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_66
+timestamp 1621261055
+transform 1 0 1152 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_4
+timestamp 1621261055
+transform 1 0 1536 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_12
+timestamp 1621261055
+transform 1 0 2304 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_20
+timestamp 1621261055
+transform 1 0 3072 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_28
+timestamp 1621261055
+transform 1 0 3840 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_36
+timestamp 1621261055
+transform 1 0 4608 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_44
+timestamp 1621261055
+transform 1 0 5376 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_33_52
+timestamp 1621261055
+transform 1 0 6144 0 1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_33_54
+timestamp 1621261055
+transform 1 0 6336 0 1 24642
+box -38 -49 134 715
+use OR2X1  OR2X1
+timestamp 1624954255
+transform 1 0 7680 0 1 24642
+box 0 -48 1152 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_521
+timestamp 1621261055
+transform 1 0 6432 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_56
+timestamp 1621261055
+transform 1 0 6528 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_64
+timestamp 1621261055
+transform 1 0 7296 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_80
+timestamp 1621261055
+transform 1 0 8832 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_88
+timestamp 1621261055
+transform 1 0 9600 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_96
+timestamp 1621261055
+transform 1 0 10368 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_104
+timestamp 1621261055
+transform 1 0 11136 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_33_108
+timestamp 1621261055
+transform 1 0 11520 0 1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_522
+timestamp 1621261055
+transform 1 0 11712 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_111
+timestamp 1621261055
+transform 1 0 11808 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_119
+timestamp 1621261055
+transform 1 0 12576 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_127
+timestamp 1621261055
+transform 1 0 13344 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_135
+timestamp 1621261055
+transform 1 0 14112 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_143
+timestamp 1621261055
+transform 1 0 14880 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_151
+timestamp 1621261055
+transform 1 0 15648 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_159
+timestamp 1621261055
+transform 1 0 16416 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _182_
+timestamp 1621261055
+transform 1 0 17664 0 1 24642
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_523
+timestamp 1621261055
+transform 1 0 16992 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_33_163
+timestamp 1621261055
+transform 1 0 16800 0 1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_166
+timestamp 1621261055
+transform 1 0 17088 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_33_170
+timestamp 1621261055
+transform 1 0 17472 0 1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_175
+timestamp 1621261055
+transform 1 0 17952 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_183
+timestamp 1621261055
+transform 1 0 18720 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_191
+timestamp 1621261055
+transform 1 0 19488 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_199
+timestamp 1621261055
+transform 1 0 20256 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_207
+timestamp 1621261055
+transform 1 0 21024 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_215
+timestamp 1621261055
+transform 1 0 21792 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_524
+timestamp 1621261055
+transform 1 0 22272 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_33_219
+timestamp 1621261055
+transform 1 0 22176 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_221
+timestamp 1621261055
+transform 1 0 22368 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_229
+timestamp 1621261055
+transform 1 0 23136 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_237
+timestamp 1621261055
+transform 1 0 23904 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_245
+timestamp 1621261055
+transform 1 0 24672 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_253
+timestamp 1621261055
+transform 1 0 25440 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_261
+timestamp 1621261055
+transform 1 0 26208 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_269
+timestamp 1621261055
+transform 1 0 26976 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_525
+timestamp 1621261055
+transform 1 0 27552 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_33_273
+timestamp 1621261055
+transform 1 0 27360 0 1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_276
+timestamp 1621261055
+transform 1 0 27648 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_284
+timestamp 1621261055
+transform 1 0 28416 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_292
+timestamp 1621261055
+transform 1 0 29184 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_300
+timestamp 1621261055
+transform 1 0 29952 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_308
+timestamp 1621261055
+transform 1 0 30720 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_316
+timestamp 1621261055
+transform 1 0 31488 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_324
+timestamp 1621261055
+transform 1 0 32256 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_526
+timestamp 1621261055
+transform 1 0 32832 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_33_328
+timestamp 1621261055
+transform 1 0 32640 0 1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_331
+timestamp 1621261055
+transform 1 0 32928 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_339
+timestamp 1621261055
+transform 1 0 33696 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_347
+timestamp 1621261055
+transform 1 0 34464 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _102_
+timestamp 1621261055
+transform 1 0 35712 0 1 24642
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_355
+timestamp 1621261055
+transform 1 0 35232 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_33_359
+timestamp 1621261055
+transform 1 0 35616 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_363
+timestamp 1621261055
+transform 1 0 36000 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_371
+timestamp 1621261055
+transform 1 0 36768 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_527
+timestamp 1621261055
+transform 1 0 38112 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_379
+timestamp 1621261055
+transform 1 0 37536 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_33_383
+timestamp 1621261055
+transform 1 0 37920 0 1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_386
+timestamp 1621261055
+transform 1 0 38208 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_394
+timestamp 1621261055
+transform 1 0 38976 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_402
+timestamp 1621261055
+transform 1 0 39744 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_410
+timestamp 1621261055
+transform 1 0 40512 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_418
+timestamp 1621261055
+transform 1 0 41280 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_426
+timestamp 1621261055
+transform 1 0 42048 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_528
+timestamp 1621261055
+transform 1 0 43392 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_434
+timestamp 1621261055
+transform 1 0 42816 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_33_438
+timestamp 1621261055
+transform 1 0 43200 0 1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_441
+timestamp 1621261055
+transform 1 0 43488 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_449
+timestamp 1621261055
+transform 1 0 44256 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_457
+timestamp 1621261055
+transform 1 0 45024 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_465
+timestamp 1621261055
+transform 1 0 45792 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_473
+timestamp 1621261055
+transform 1 0 46560 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_481
+timestamp 1621261055
+transform 1 0 47328 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_529
+timestamp 1621261055
+transform 1 0 48672 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_489
+timestamp 1621261055
+transform 1 0 48096 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_33_493
+timestamp 1621261055
+transform 1 0 48480 0 1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_496
+timestamp 1621261055
+transform 1 0 48768 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_504
+timestamp 1621261055
+transform 1 0 49536 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_512
+timestamp 1621261055
+transform 1 0 50304 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_520
+timestamp 1621261055
+transform 1 0 51072 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_528
+timestamp 1621261055
+transform 1 0 51840 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_536
+timestamp 1621261055
+transform 1 0 52608 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_530
+timestamp 1621261055
+transform 1 0 53952 0 1 24642
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_544
+timestamp 1621261055
+transform 1 0 53376 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_33_548
+timestamp 1621261055
+transform 1 0 53760 0 1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_551
+timestamp 1621261055
+transform 1 0 54048 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_559
+timestamp 1621261055
+transform 1 0 54816 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_567
+timestamp 1621261055
+transform 1 0 55584 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_575
+timestamp 1621261055
+transform 1 0 56352 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_33_583
+timestamp 1621261055
+transform 1 0 57120 0 1 24642
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_33_591
+timestamp 1621261055
+transform 1 0 57888 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_67
+timestamp 1621261055
+transform -1 0 58848 0 1 24642
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_33_595
+timestamp 1621261055
+transform 1 0 58272 0 1 24642
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_68
+timestamp 1621261055
+transform 1 0 1152 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_531
+timestamp 1621261055
+transform 1 0 3840 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_532
+timestamp 1621261055
+transform 1 0 9120 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_533
+timestamp 1621261055
+transform 1 0 14400 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_534
+timestamp 1621261055
+transform 1 0 19680 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_535
+timestamp 1621261055
+transform 1 0 24960 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_536
+timestamp 1621261055
+transform 1 0 30240 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_537
+timestamp 1621261055
+transform 1 0 35520 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_538
+timestamp 1621261055
+transform 1 0 40800 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _202_
+timestamp 1621261055
+transform -1 0 47616 0 -1 25974
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_539
+timestamp 1621261055
+transform 1 0 46080 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_207
+timestamp 1621261055
+transform -1 0 47328 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_484
+timestamp 1621261055
+transform 1 0 47616 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _220_
+timestamp 1621261055
+transform 1 0 49056 0 -1 25974
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_492
+timestamp 1621261055
+transform 1 0 48384 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_496
+timestamp 1621261055
+transform 1 0 48768 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_34_498
+timestamp 1621261055
+transform 1 0 48960 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_502
+timestamp 1621261055
+transform 1 0 49344 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_510
+timestamp 1621261055
+transform 1 0 50112 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_540
+timestamp 1621261055
+transform 1 0 51360 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_518
+timestamp 1621261055
+transform 1 0 50880 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_34_522
+timestamp 1621261055
+transform 1 0 51264 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _103_
+timestamp 1621261055
+transform 1 0 55968 0 -1 25974
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_541
+timestamp 1621261055
+transform 1 0 56640 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_568
+timestamp 1621261055
+transform 1 0 55680 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_34_570
+timestamp 1621261055
+transform 1 0 55872 0 -1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_34_574
+timestamp 1621261055
+transform 1 0 56256 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_34_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_69
+timestamp 1621261055
+transform -1 0 58848 0 -1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_34_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_70
+timestamp 1621261055
+transform 1 0 1152 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_4
+timestamp 1621261055
+transform 1 0 1536 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_12
+timestamp 1621261055
+transform 1 0 2304 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_20
+timestamp 1621261055
+transform 1 0 3072 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_28
+timestamp 1621261055
+transform 1 0 3840 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_36
+timestamp 1621261055
+transform 1 0 4608 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_44
+timestamp 1621261055
+transform 1 0 5376 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_52
+timestamp 1621261055
+transform 1 0 6144 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_35_54
+timestamp 1621261055
+transform 1 0 6336 0 1 25974
+box -38 -49 134 715
+use OR2X2  OR2X2
+timestamp 1624954255
+transform 1 0 7680 0 1 25974
+box 0 -48 1152 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_542
+timestamp 1621261055
+transform 1 0 6432 0 1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_56
+timestamp 1621261055
+transform 1 0 6528 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_35_64
+timestamp 1621261055
+transform 1 0 7296 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_80
+timestamp 1621261055
+transform 1 0 8832 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_88
+timestamp 1621261055
+transform 1 0 9600 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_96
+timestamp 1621261055
+transform 1 0 10368 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_35_104
+timestamp 1621261055
+transform 1 0 11136 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_108
+timestamp 1621261055
+transform 1 0 11520 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_543
+timestamp 1621261055
+transform 1 0 11712 0 1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_111
+timestamp 1621261055
+transform 1 0 11808 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_119
+timestamp 1621261055
+transform 1 0 12576 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_127
+timestamp 1621261055
+transform 1 0 13344 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_135
+timestamp 1621261055
+transform 1 0 14112 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_143
+timestamp 1621261055
+transform 1 0 14880 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_151
+timestamp 1621261055
+transform 1 0 15648 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_35_159
+timestamp 1621261055
+transform 1 0 16416 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_544
+timestamp 1621261055
+transform 1 0 16992 0 1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_163
+timestamp 1621261055
+transform 1 0 16800 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_166
+timestamp 1621261055
+transform 1 0 17088 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_174
+timestamp 1621261055
+transform 1 0 17856 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_182
+timestamp 1621261055
+transform 1 0 18624 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_190
+timestamp 1621261055
+transform 1 0 19392 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_198
+timestamp 1621261055
+transform 1 0 20160 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_206
+timestamp 1621261055
+transform 1 0 20928 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_35_214
+timestamp 1621261055
+transform 1 0 21696 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_545
+timestamp 1621261055
+transform 1 0 22272 0 1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_218
+timestamp 1621261055
+transform 1 0 22080 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_221
+timestamp 1621261055
+transform 1 0 22368 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_229
+timestamp 1621261055
+transform 1 0 23136 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_237
+timestamp 1621261055
+transform 1 0 23904 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_245
+timestamp 1621261055
+transform 1 0 24672 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_253
+timestamp 1621261055
+transform 1 0 25440 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_261
+timestamp 1621261055
+transform 1 0 26208 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_35_269
+timestamp 1621261055
+transform 1 0 26976 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_546
+timestamp 1621261055
+transform 1 0 27552 0 1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_273
+timestamp 1621261055
+transform 1 0 27360 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_276
+timestamp 1621261055
+transform 1 0 27648 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_284
+timestamp 1621261055
+transform 1 0 28416 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_292
+timestamp 1621261055
+transform 1 0 29184 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_300
+timestamp 1621261055
+transform 1 0 29952 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_308
+timestamp 1621261055
+transform 1 0 30720 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_316
+timestamp 1621261055
+transform 1 0 31488 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_35_324
+timestamp 1621261055
+transform 1 0 32256 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_547
+timestamp 1621261055
+transform 1 0 32832 0 1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_328
+timestamp 1621261055
+transform 1 0 32640 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_331
+timestamp 1621261055
+transform 1 0 32928 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_339
+timestamp 1621261055
+transform 1 0 33696 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_347
+timestamp 1621261055
+transform 1 0 34464 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_355
+timestamp 1621261055
+transform 1 0 35232 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_363
+timestamp 1621261055
+transform 1 0 36000 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_371
+timestamp 1621261055
+transform 1 0 36768 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_548
+timestamp 1621261055
+transform 1 0 38112 0 1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_35_379
+timestamp 1621261055
+transform 1 0 37536 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_383
+timestamp 1621261055
+transform 1 0 37920 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_386
+timestamp 1621261055
+transform 1 0 38208 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_394
+timestamp 1621261055
+transform 1 0 38976 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_402
+timestamp 1621261055
+transform 1 0 39744 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_410
+timestamp 1621261055
+transform 1 0 40512 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_418
+timestamp 1621261055
+transform 1 0 41280 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_426
+timestamp 1621261055
+transform 1 0 42048 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_549
+timestamp 1621261055
+transform 1 0 43392 0 1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_35_434
+timestamp 1621261055
+transform 1 0 42816 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_438
+timestamp 1621261055
+transform 1 0 43200 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_441
+timestamp 1621261055
+transform 1 0 43488 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_449
+timestamp 1621261055
+transform 1 0 44256 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_457
+timestamp 1621261055
+transform 1 0 45024 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_465
+timestamp 1621261055
+transform 1 0 45792 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_473
+timestamp 1621261055
+transform 1 0 46560 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_481
+timestamp 1621261055
+transform 1 0 47328 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _047_
+timestamp 1621261055
+transform -1 0 49440 0 1 25974
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_550
+timestamp 1621261055
+transform 1 0 48672 0 1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_53
+timestamp 1621261055
+transform -1 0 49152 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_35_489
+timestamp 1621261055
+transform 1 0 48096 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_493
+timestamp 1621261055
+transform 1 0 48480 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_496
+timestamp 1621261055
+transform 1 0 48768 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_503
+timestamp 1621261055
+transform 1 0 49440 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_511
+timestamp 1621261055
+transform 1 0 50208 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_519
+timestamp 1621261055
+transform 1 0 50976 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_527
+timestamp 1621261055
+transform 1 0 51744 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_535
+timestamp 1621261055
+transform 1 0 52512 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_551
+timestamp 1621261055
+transform 1 0 53952 0 1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_35_543
+timestamp 1621261055
+transform 1 0 53280 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_547
+timestamp 1621261055
+transform 1 0 53664 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_35_549
+timestamp 1621261055
+transform 1 0 53856 0 1 25974
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_551
+timestamp 1621261055
+transform 1 0 54048 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_559
+timestamp 1621261055
+transform 1 0 54816 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_567
+timestamp 1621261055
+transform 1 0 55584 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_575
+timestamp 1621261055
+transform 1 0 56352 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_35_583
+timestamp 1621261055
+transform 1 0 57120 0 1 25974
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_35_591
+timestamp 1621261055
+transform 1 0 57888 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_71
+timestamp 1621261055
+transform -1 0 58848 0 1 25974
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_35_595
+timestamp 1621261055
+transform 1 0 58272 0 1 25974
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_72
+timestamp 1621261055
+transform 1 0 1152 0 -1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_552
+timestamp 1621261055
+transform 1 0 3840 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_36_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_36_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _184_
+timestamp 1621261055
+transform 1 0 10752 0 -1 27306
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_553
+timestamp 1621261055
+transform 1 0 9120 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_45
+timestamp 1621261055
+transform 1 0 11520 0 -1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_36_103
+timestamp 1621261055
+transform 1 0 11040 0 -1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_36_107
+timestamp 1621261055
+transform 1 0 11424 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _044_
+timestamp 1621261055
+transform 1 0 11712 0 -1 27306
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_113
+timestamp 1621261055
+transform 1 0 12000 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_121
+timestamp 1621261055
+transform 1 0 12768 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_129
+timestamp 1621261055
+transform 1 0 13536 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_554
+timestamp 1621261055
+transform 1 0 14400 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_36_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_36_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _081_
+timestamp 1621261055
+transform 1 0 20736 0 -1 27306
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_555
+timestamp 1621261055
+transform 1 0 19680 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_36_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_36_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_207
+timestamp 1621261055
+transform 1 0 21024 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_215
+timestamp 1621261055
+transform 1 0 21792 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_223
+timestamp 1621261055
+transform 1 0 22560 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_231
+timestamp 1621261055
+transform 1 0 23328 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_239
+timestamp 1621261055
+transform 1 0 24096 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_556
+timestamp 1621261055
+transform 1 0 24960 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_36_247
+timestamp 1621261055
+transform 1 0 24864 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_36_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_557
+timestamp 1621261055
+transform 1 0 30240 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_36_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_558
+timestamp 1621261055
+transform 1 0 35520 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_36_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_36_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_559
+timestamp 1621261055
+transform 1 0 40800 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_36_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_36_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_560
+timestamp 1621261055
+transform 1 0 46080 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_36_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_36_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_561
+timestamp 1621261055
+transform 1 0 51360 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_36_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_36_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_562
+timestamp 1621261055
+transform 1 0 56640 0 -1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_36_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_36_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_36_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_73
+timestamp 1621261055
+transform -1 0 58848 0 -1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_36_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_74
+timestamp 1621261055
+transform 1 0 1152 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_18
+timestamp 1621261055
+transform 1 0 3648 0 1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_4
+timestamp 1621261055
+transform 1 0 1536 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_12
+timestamp 1621261055
+transform 1 0 2304 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_37_20
+timestamp 1621261055
+transform 1 0 3072 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_37_24
+timestamp 1621261055
+transform 1 0 3456 0 1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _029_
+timestamp 1621261055
+transform 1 0 3840 0 1 27306
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_31
+timestamp 1621261055
+transform 1 0 4128 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_39
+timestamp 1621261055
+transform 1 0 4896 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_47
+timestamp 1621261055
+transform 1 0 5664 0 1 27306
+box -38 -49 806 715
+use XNOR2X1  XNOR2X1
+timestamp 1624954255
+transform 1 0 7680 0 1 27306
+box 0 -48 2016 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_563
+timestamp 1621261055
+transform 1 0 6432 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_56
+timestamp 1621261055
+transform 1 0 6528 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_37_64
+timestamp 1621261055
+transform 1 0 7296 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_89
+timestamp 1621261055
+transform 1 0 9696 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_97
+timestamp 1621261055
+transform 1 0 10464 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_37_105
+timestamp 1621261055
+transform 1 0 11232 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_564
+timestamp 1621261055
+transform 1 0 11712 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_37_109
+timestamp 1621261055
+transform 1 0 11616 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_111
+timestamp 1621261055
+transform 1 0 11808 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_119
+timestamp 1621261055
+transform 1 0 12576 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_127
+timestamp 1621261055
+transform 1 0 13344 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_135
+timestamp 1621261055
+transform 1 0 14112 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _119_
+timestamp 1621261055
+transform 1 0 15744 0 1 27306
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_143
+timestamp 1621261055
+transform 1 0 14880 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_37_151
+timestamp 1621261055
+transform 1 0 15648 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_155
+timestamp 1621261055
+transform 1 0 16032 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_565
+timestamp 1621261055
+transform 1 0 16992 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_37_163
+timestamp 1621261055
+transform 1 0 16800 0 1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_166
+timestamp 1621261055
+transform 1 0 17088 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_174
+timestamp 1621261055
+transform 1 0 17856 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_182
+timestamp 1621261055
+transform 1 0 18624 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_190
+timestamp 1621261055
+transform 1 0 19392 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_198
+timestamp 1621261055
+transform 1 0 20160 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_206
+timestamp 1621261055
+transform 1 0 20928 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_37_214
+timestamp 1621261055
+transform 1 0 21696 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_566
+timestamp 1621261055
+transform 1 0 22272 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_37_218
+timestamp 1621261055
+transform 1 0 22080 0 1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_221
+timestamp 1621261055
+transform 1 0 22368 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_229
+timestamp 1621261055
+transform 1 0 23136 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_237
+timestamp 1621261055
+transform 1 0 23904 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_245
+timestamp 1621261055
+transform 1 0 24672 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_253
+timestamp 1621261055
+transform 1 0 25440 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_261
+timestamp 1621261055
+transform 1 0 26208 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_37_269
+timestamp 1621261055
+transform 1 0 26976 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_567
+timestamp 1621261055
+transform 1 0 27552 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_37_273
+timestamp 1621261055
+transform 1 0 27360 0 1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_276
+timestamp 1621261055
+transform 1 0 27648 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_284
+timestamp 1621261055
+transform 1 0 28416 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_292
+timestamp 1621261055
+transform 1 0 29184 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_300
+timestamp 1621261055
+transform 1 0 29952 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_308
+timestamp 1621261055
+transform 1 0 30720 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_316
+timestamp 1621261055
+transform 1 0 31488 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_37_324
+timestamp 1621261055
+transform 1 0 32256 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_568
+timestamp 1621261055
+transform 1 0 32832 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_37_328
+timestamp 1621261055
+transform 1 0 32640 0 1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_331
+timestamp 1621261055
+transform 1 0 32928 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_339
+timestamp 1621261055
+transform 1 0 33696 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_347
+timestamp 1621261055
+transform 1 0 34464 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_355
+timestamp 1621261055
+transform 1 0 35232 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_363
+timestamp 1621261055
+transform 1 0 36000 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_371
+timestamp 1621261055
+transform 1 0 36768 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_569
+timestamp 1621261055
+transform 1 0 38112 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_37_379
+timestamp 1621261055
+transform 1 0 37536 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_37_383
+timestamp 1621261055
+transform 1 0 37920 0 1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_386
+timestamp 1621261055
+transform 1 0 38208 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_394
+timestamp 1621261055
+transform 1 0 38976 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_402
+timestamp 1621261055
+transform 1 0 39744 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_410
+timestamp 1621261055
+transform 1 0 40512 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_418
+timestamp 1621261055
+transform 1 0 41280 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_426
+timestamp 1621261055
+transform 1 0 42048 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_570
+timestamp 1621261055
+transform 1 0 43392 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_37_434
+timestamp 1621261055
+transform 1 0 42816 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_37_438
+timestamp 1621261055
+transform 1 0 43200 0 1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_441
+timestamp 1621261055
+transform 1 0 43488 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_449
+timestamp 1621261055
+transform 1 0 44256 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_457
+timestamp 1621261055
+transform 1 0 45024 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_465
+timestamp 1621261055
+transform 1 0 45792 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_473
+timestamp 1621261055
+transform 1 0 46560 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_481
+timestamp 1621261055
+transform 1 0 47328 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_571
+timestamp 1621261055
+transform 1 0 48672 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_37_489
+timestamp 1621261055
+transform 1 0 48096 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_37_493
+timestamp 1621261055
+transform 1 0 48480 0 1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_496
+timestamp 1621261055
+transform 1 0 48768 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_504
+timestamp 1621261055
+transform 1 0 49536 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_512
+timestamp 1621261055
+transform 1 0 50304 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_520
+timestamp 1621261055
+transform 1 0 51072 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_528
+timestamp 1621261055
+transform 1 0 51840 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_536
+timestamp 1621261055
+transform 1 0 52608 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_572
+timestamp 1621261055
+transform 1 0 53952 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_37_544
+timestamp 1621261055
+transform 1 0 53376 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_37_548
+timestamp 1621261055
+transform 1 0 53760 0 1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_551
+timestamp 1621261055
+transform 1 0 54048 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_559
+timestamp 1621261055
+transform 1 0 54816 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_567
+timestamp 1621261055
+transform 1 0 55584 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _104_
+timestamp 1621261055
+transform 1 0 56352 0 1 27306
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_578
+timestamp 1621261055
+transform 1 0 56640 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_37_586
+timestamp 1621261055
+transform 1 0 57408 0 1 27306
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_37_594
+timestamp 1621261055
+transform 1 0 58176 0 1 27306
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_75
+timestamp 1621261055
+transform -1 0 58848 0 1 27306
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_37_596
+timestamp 1621261055
+transform 1 0 58368 0 1 27306
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  PHY_76
+timestamp 1621261055
+transform 1 0 1152 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_78
+timestamp 1621261055
+transform 1 0 1152 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_4
+timestamp 1621261055
+transform 1 0 1536 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_12
+timestamp 1621261055
+transform 1 0 2304 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_20
+timestamp 1621261055
+transform 1 0 3072 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_28
+timestamp 1621261055
+transform 1 0 3840 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_573
+timestamp 1621261055
+transform 1 0 3840 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_36
+timestamp 1621261055
+transform 1 0 4608 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_39
+timestamp 1621261055
+transform 1 0 5376 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_39_53
+timestamp 1621261055
+transform 1 0 6240 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_49
+timestamp 1621261055
+transform 1 0 5856 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _219_
+timestamp 1621261055
+transform 1 0 5568 0 1 28638
+box -38 -49 326 715
+use XOR2X1  XOR2X1
+timestamp 1624954255
+transform 1 0 7680 0 1 28638
+box 0 -48 2016 714
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_584
+timestamp 1621261055
+transform 1 0 6432 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_38_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_56
+timestamp 1621261055
+transform 1 0 6528 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_64
+timestamp 1621261055
+transform 1 0 7296 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_89
+timestamp 1621261055
+transform 1 0 9696 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_574
+timestamp 1621261055
+transform 1 0 9120 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_39_95
+timestamp 1621261055
+transform 1 0 10272 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_39_93
+timestamp 1621261055
+transform 1 0 10080 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_167
+timestamp 1621261055
+transform 1 0 10368 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _128_
+timestamp 1621261055
+transform 1 0 10560 0 1 28638
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_101
+timestamp 1621261055
+transform 1 0 10848 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_585
+timestamp 1621261055
+transform 1 0 11712 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_38_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_39_109
+timestamp 1621261055
+transform 1 0 11616 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_111
+timestamp 1621261055
+transform 1 0 11808 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_119
+timestamp 1621261055
+transform 1 0 12576 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_127
+timestamp 1621261055
+transform 1 0 13344 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_135
+timestamp 1621261055
+transform 1 0 14112 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_575
+timestamp 1621261055
+transform 1 0 14400 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_143
+timestamp 1621261055
+transform 1 0 14880 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_151
+timestamp 1621261055
+transform 1 0 15648 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_159
+timestamp 1621261055
+transform 1 0 16416 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_586
+timestamp 1621261055
+transform 1 0 16992 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_38_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_39_163
+timestamp 1621261055
+transform 1 0 16800 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_166
+timestamp 1621261055
+transform 1 0 17088 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_174
+timestamp 1621261055
+transform 1 0 17856 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_182
+timestamp 1621261055
+transform 1 0 18624 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_576
+timestamp 1621261055
+transform 1 0 19680 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_190
+timestamp 1621261055
+transform 1 0 19392 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_198
+timestamp 1621261055
+transform 1 0 20160 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_206
+timestamp 1621261055
+transform 1 0 20928 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_214
+timestamp 1621261055
+transform 1 0 21696 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_587
+timestamp 1621261055
+transform 1 0 22272 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_38_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_39_218
+timestamp 1621261055
+transform 1 0 22080 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_221
+timestamp 1621261055
+transform 1 0 22368 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_229
+timestamp 1621261055
+transform 1 0 23136 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_237
+timestamp 1621261055
+transform 1 0 23904 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_577
+timestamp 1621261055
+transform 1 0 24960 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_245
+timestamp 1621261055
+transform 1 0 24672 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_253
+timestamp 1621261055
+transform 1 0 25440 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_261
+timestamp 1621261055
+transform 1 0 26208 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_269
+timestamp 1621261055
+transform 1 0 26976 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_276
+timestamp 1621261055
+transform 1 0 27648 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_39_273
+timestamp 1621261055
+transform 1 0 27360 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_277
+timestamp 1621261055
+transform 1 0 27744 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_38_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_588
+timestamp 1621261055
+transform 1 0 27552 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_284
+timestamp 1621261055
+transform 1 0 28416 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_285
+timestamp 1621261055
+transform 1 0 28512 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_38_279
+timestamp 1621261055
+transform 1 0 27936 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_41
+timestamp 1621261055
+transform 1 0 28032 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _020_
+timestamp 1621261055
+transform 1 0 28224 0 -1 28638
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_292
+timestamp 1621261055
+transform 1 0 29184 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_293
+timestamp 1621261055
+transform 1 0 29280 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_578
+timestamp 1621261055
+transform 1 0 30240 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_300
+timestamp 1621261055
+transform 1 0 29952 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_308
+timestamp 1621261055
+transform 1 0 30720 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_316
+timestamp 1621261055
+transform 1 0 31488 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_324
+timestamp 1621261055
+transform 1 0 32256 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_589
+timestamp 1621261055
+transform 1 0 32832 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_39_328
+timestamp 1621261055
+transform 1 0 32640 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_331
+timestamp 1621261055
+transform 1 0 32928 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_339
+timestamp 1621261055
+transform 1 0 33696 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_347
+timestamp 1621261055
+transform 1 0 34464 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_579
+timestamp 1621261055
+transform 1 0 35520 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_38_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_355
+timestamp 1621261055
+transform 1 0 35232 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_363
+timestamp 1621261055
+transform 1 0 36000 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_371
+timestamp 1621261055
+transform 1 0 36768 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_590
+timestamp 1621261055
+transform 1 0 38112 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_379
+timestamp 1621261055
+transform 1 0 37536 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_39_383
+timestamp 1621261055
+transform 1 0 37920 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_386
+timestamp 1621261055
+transform 1 0 38208 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_394
+timestamp 1621261055
+transform 1 0 38976 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_402
+timestamp 1621261055
+transform 1 0 39744 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_580
+timestamp 1621261055
+transform 1 0 40800 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_38_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_410
+timestamp 1621261055
+transform 1 0 40512 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_418
+timestamp 1621261055
+transform 1 0 41280 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_426
+timestamp 1621261055
+transform 1 0 42048 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_591
+timestamp 1621261055
+transform 1 0 43392 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_434
+timestamp 1621261055
+transform 1 0 42816 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_39_438
+timestamp 1621261055
+transform 1 0 43200 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_441
+timestamp 1621261055
+transform 1 0 43488 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_449
+timestamp 1621261055
+transform 1 0 44256 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_457
+timestamp 1621261055
+transform 1 0 45024 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_466
+timestamp 1621261055
+transform 1 0 45888 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_39_461
+timestamp 1621261055
+transform 1 0 45408 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_38_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _067_
+timestamp 1621261055
+transform 1 0 45600 0 1 28638
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_474
+timestamp 1621261055
+transform 1 0 46656 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_581
+timestamp 1621261055
+transform 1 0 46080 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_482
+timestamp 1621261055
+transform 1 0 47424 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_592
+timestamp 1621261055
+transform 1 0 48672 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_490
+timestamp 1621261055
+transform 1 0 48192 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_39_494
+timestamp 1621261055
+transform 1 0 48576 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_496
+timestamp 1621261055
+transform 1 0 48768 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_504
+timestamp 1621261055
+transform 1 0 49536 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_512
+timestamp 1621261055
+transform 1 0 50304 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_582
+timestamp 1621261055
+transform 1 0 51360 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_38_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_520
+timestamp 1621261055
+transform 1 0 51072 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_528
+timestamp 1621261055
+transform 1 0 51840 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_536
+timestamp 1621261055
+transform 1 0 52608 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_593
+timestamp 1621261055
+transform 1 0 53952 0 1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_544
+timestamp 1621261055
+transform 1 0 53376 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_39_548
+timestamp 1621261055
+transform 1 0 53760 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_551
+timestamp 1621261055
+transform 1 0 54048 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_559
+timestamp 1621261055
+transform 1 0 54816 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_567
+timestamp 1621261055
+transform 1 0 55584 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_583
+timestamp 1621261055
+transform 1 0 56640 0 -1 28638
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_38_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_38_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_575
+timestamp 1621261055
+transform 1 0 56352 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_39_583
+timestamp 1621261055
+transform 1 0 57120 0 1 28638
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_39_591
+timestamp 1621261055
+transform 1 0 57888 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_77
+timestamp 1621261055
+transform -1 0 58848 0 -1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_79
+timestamp 1621261055
+transform -1 0 58848 0 1 28638
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_38_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_39_595
+timestamp 1621261055
+transform 1 0 58272 0 1 28638
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_80
+timestamp 1621261055
+transform 1 0 1152 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_594
+timestamp 1621261055
+transform 1 0 3840 0 -1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_40_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_40_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_595
+timestamp 1621261055
+transform 1 0 9120 0 -1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_40_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_596
+timestamp 1621261055
+transform 1 0 14400 0 -1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_40_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_40_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_597
+timestamp 1621261055
+transform 1 0 19680 0 -1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_40_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_40_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_598
+timestamp 1621261055
+transform 1 0 24960 0 -1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_40_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_40_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_599
+timestamp 1621261055
+transform 1 0 30240 0 -1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_40_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_600
+timestamp 1621261055
+transform 1 0 35520 0 -1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_40_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_40_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_601
+timestamp 1621261055
+transform 1 0 40800 0 -1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_40_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_40_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_602
+timestamp 1621261055
+transform 1 0 46080 0 -1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_40_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_40_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_603
+timestamp 1621261055
+transform 1 0 51360 0 -1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_40_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_40_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_604
+timestamp 1621261055
+transform 1 0 56640 0 -1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_40_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_40_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_40_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_81
+timestamp 1621261055
+transform -1 0 58848 0 -1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_40_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_82
+timestamp 1621261055
+transform 1 0 1152 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_4
+timestamp 1621261055
+transform 1 0 1536 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_12
+timestamp 1621261055
+transform 1 0 2304 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_20
+timestamp 1621261055
+transform 1 0 3072 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_28
+timestamp 1621261055
+transform 1 0 3840 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_36
+timestamp 1621261055
+transform 1 0 4608 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_44
+timestamp 1621261055
+transform 1 0 5376 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_41_52
+timestamp 1621261055
+transform 1 0 6144 0 1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_41_54
+timestamp 1621261055
+transform 1 0 6336 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_605
+timestamp 1621261055
+transform 1 0 6432 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_56
+timestamp 1621261055
+transform 1 0 6528 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_64
+timestamp 1621261055
+transform 1 0 7296 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_72
+timestamp 1621261055
+transform 1 0 8064 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_80
+timestamp 1621261055
+transform 1 0 8832 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_88
+timestamp 1621261055
+transform 1 0 9600 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_96
+timestamp 1621261055
+transform 1 0 10368 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_104
+timestamp 1621261055
+transform 1 0 11136 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_41_108
+timestamp 1621261055
+transform 1 0 11520 0 1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_606
+timestamp 1621261055
+transform 1 0 11712 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_111
+timestamp 1621261055
+transform 1 0 11808 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_119
+timestamp 1621261055
+transform 1 0 12576 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_127
+timestamp 1621261055
+transform 1 0 13344 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_135
+timestamp 1621261055
+transform 1 0 14112 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_143
+timestamp 1621261055
+transform 1 0 14880 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_151
+timestamp 1621261055
+transform 1 0 15648 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_159
+timestamp 1621261055
+transform 1 0 16416 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_607
+timestamp 1621261055
+transform 1 0 16992 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_41_163
+timestamp 1621261055
+transform 1 0 16800 0 1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_166
+timestamp 1621261055
+transform 1 0 17088 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_174
+timestamp 1621261055
+transform 1 0 17856 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_182
+timestamp 1621261055
+transform 1 0 18624 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_190
+timestamp 1621261055
+transform 1 0 19392 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_198
+timestamp 1621261055
+transform 1 0 20160 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_206
+timestamp 1621261055
+transform 1 0 20928 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_214
+timestamp 1621261055
+transform 1 0 21696 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_608
+timestamp 1621261055
+transform 1 0 22272 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_41_218
+timestamp 1621261055
+transform 1 0 22080 0 1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_221
+timestamp 1621261055
+transform 1 0 22368 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_229
+timestamp 1621261055
+transform 1 0 23136 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_237
+timestamp 1621261055
+transform 1 0 23904 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_245
+timestamp 1621261055
+transform 1 0 24672 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_253
+timestamp 1621261055
+transform 1 0 25440 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_261
+timestamp 1621261055
+transform 1 0 26208 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_269
+timestamp 1621261055
+transform 1 0 26976 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_609
+timestamp 1621261055
+transform 1 0 27552 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_41_273
+timestamp 1621261055
+transform 1 0 27360 0 1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_276
+timestamp 1621261055
+transform 1 0 27648 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_284
+timestamp 1621261055
+transform 1 0 28416 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_292
+timestamp 1621261055
+transform 1 0 29184 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_300
+timestamp 1621261055
+transform 1 0 29952 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_308
+timestamp 1621261055
+transform 1 0 30720 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_316
+timestamp 1621261055
+transform 1 0 31488 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_324
+timestamp 1621261055
+transform 1 0 32256 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_610
+timestamp 1621261055
+transform 1 0 32832 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_41_328
+timestamp 1621261055
+transform 1 0 32640 0 1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_331
+timestamp 1621261055
+transform 1 0 32928 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_339
+timestamp 1621261055
+transform 1 0 33696 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_347
+timestamp 1621261055
+transform 1 0 34464 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_355
+timestamp 1621261055
+transform 1 0 35232 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_363
+timestamp 1621261055
+transform 1 0 36000 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_371
+timestamp 1621261055
+transform 1 0 36768 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_611
+timestamp 1621261055
+transform 1 0 38112 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_379
+timestamp 1621261055
+transform 1 0 37536 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_41_383
+timestamp 1621261055
+transform 1 0 37920 0 1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_386
+timestamp 1621261055
+transform 1 0 38208 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_394
+timestamp 1621261055
+transform 1 0 38976 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_402
+timestamp 1621261055
+transform 1 0 39744 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_410
+timestamp 1621261055
+transform 1 0 40512 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_418
+timestamp 1621261055
+transform 1 0 41280 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_426
+timestamp 1621261055
+transform 1 0 42048 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_612
+timestamp 1621261055
+transform 1 0 43392 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_434
+timestamp 1621261055
+transform 1 0 42816 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_41_438
+timestamp 1621261055
+transform 1 0 43200 0 1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_441
+timestamp 1621261055
+transform 1 0 43488 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_449
+timestamp 1621261055
+transform 1 0 44256 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_457
+timestamp 1621261055
+transform 1 0 45024 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_465
+timestamp 1621261055
+transform 1 0 45792 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_473
+timestamp 1621261055
+transform 1 0 46560 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_481
+timestamp 1621261055
+transform 1 0 47328 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _178_
+timestamp 1621261055
+transform 1 0 49440 0 1 29970
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_613
+timestamp 1621261055
+transform 1 0 48672 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_489
+timestamp 1621261055
+transform 1 0 48096 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_41_493
+timestamp 1621261055
+transform 1 0 48480 0 1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_496
+timestamp 1621261055
+transform 1 0 48768 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_41_500
+timestamp 1621261055
+transform 1 0 49152 0 1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_41_502
+timestamp 1621261055
+transform 1 0 49344 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_506
+timestamp 1621261055
+transform 1 0 49728 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_514
+timestamp 1621261055
+transform 1 0 50496 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_522
+timestamp 1621261055
+transform 1 0 51264 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_530
+timestamp 1621261055
+transform 1 0 52032 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_538
+timestamp 1621261055
+transform 1 0 52800 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_614
+timestamp 1621261055
+transform 1 0 53952 0 1 29970
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_546
+timestamp 1621261055
+transform 1 0 53568 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_551
+timestamp 1621261055
+transform 1 0 54048 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_559
+timestamp 1621261055
+transform 1 0 54816 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_567
+timestamp 1621261055
+transform 1 0 55584 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_2  output445
+timestamp 1621261055
+transform 1 0 57696 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_41_575
+timestamp 1621261055
+transform 1 0 56352 0 1 29970
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_583
+timestamp 1621261055
+transform 1 0 57120 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_41_587
+timestamp 1621261055
+transform 1 0 57504 0 1 29970
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_41_593
+timestamp 1621261055
+transform 1 0 58080 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_83
+timestamp 1621261055
+transform -1 0 58848 0 1 29970
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_84
+timestamp 1621261055
+transform 1 0 1152 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_615
+timestamp 1621261055
+transform 1 0 3840 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _046_
+timestamp 1621261055
+transform 1 0 10464 0 -1 31302
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _152_
+timestamp 1621261055
+transform 1 0 9600 0 -1 31302
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_616
+timestamp 1621261055
+transform 1 0 9120 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_91
+timestamp 1621261055
+transform 1 0 9888 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_95
+timestamp 1621261055
+transform 1 0 10272 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_617
+timestamp 1621261055
+transform 1 0 14400 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _090_
+timestamp 1621261055
+transform 1 0 17472 0 -1 31302
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_167
+timestamp 1621261055
+transform 1 0 17184 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_42_169
+timestamp 1621261055
+transform 1 0 17376 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_173
+timestamp 1621261055
+transform 1 0 17760 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_181
+timestamp 1621261055
+transform 1 0 18528 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_189
+timestamp 1621261055
+transform 1 0 19296 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_618
+timestamp 1621261055
+transform 1 0 19680 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_619
+timestamp 1621261055
+transform 1 0 24960 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _200_
+timestamp 1621261055
+transform 1 0 30720 0 -1 31302
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_620
+timestamp 1621261055
+transform 1 0 30240 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_311
+timestamp 1621261055
+transform 1 0 31008 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_319
+timestamp 1621261055
+transform 1 0 31776 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_323
+timestamp 1621261055
+transform 1 0 32160 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _193_
+timestamp 1621261055
+transform 1 0 32352 0 -1 31302
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_621
+timestamp 1621261055
+transform 1 0 35520 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_622
+timestamp 1621261055
+transform 1 0 40800 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_623
+timestamp 1621261055
+transform 1 0 46080 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_624
+timestamp 1621261055
+transform 1 0 51360 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_42_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _143_
+timestamp 1621261055
+transform 1 0 55488 0 -1 31302
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_625
+timestamp 1621261055
+transform 1 0 56640 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_569
+timestamp 1621261055
+transform 1 0 55776 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_42_577
+timestamp 1621261055
+transform 1 0 56544 0 -1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_42_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_85
+timestamp 1621261055
+transform -1 0 58848 0 -1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_42_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_86
+timestamp 1621261055
+transform 1 0 1152 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_4
+timestamp 1621261055
+transform 1 0 1536 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_12
+timestamp 1621261055
+transform 1 0 2304 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_20
+timestamp 1621261055
+transform 1 0 3072 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_28
+timestamp 1621261055
+transform 1 0 3840 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_36
+timestamp 1621261055
+transform 1 0 4608 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_44
+timestamp 1621261055
+transform 1 0 5376 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_52
+timestamp 1621261055
+transform 1 0 6144 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_43_54
+timestamp 1621261055
+transform 1 0 6336 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_626
+timestamp 1621261055
+transform 1 0 6432 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_56
+timestamp 1621261055
+transform 1 0 6528 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_64
+timestamp 1621261055
+transform 1 0 7296 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_72
+timestamp 1621261055
+transform 1 0 8064 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_80
+timestamp 1621261055
+transform 1 0 8832 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_88
+timestamp 1621261055
+transform 1 0 9600 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_96
+timestamp 1621261055
+transform 1 0 10368 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_104
+timestamp 1621261055
+transform 1 0 11136 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_108
+timestamp 1621261055
+transform 1 0 11520 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_627
+timestamp 1621261055
+transform 1 0 11712 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_111
+timestamp 1621261055
+transform 1 0 11808 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_119
+timestamp 1621261055
+transform 1 0 12576 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_127
+timestamp 1621261055
+transform 1 0 13344 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_135
+timestamp 1621261055
+transform 1 0 14112 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_143
+timestamp 1621261055
+transform 1 0 14880 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_151
+timestamp 1621261055
+transform 1 0 15648 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_159
+timestamp 1621261055
+transform 1 0 16416 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _017_
+timestamp 1621261055
+transform 1 0 18816 0 1 31302
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_628
+timestamp 1621261055
+transform 1 0 16992 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_163
+timestamp 1621261055
+transform 1 0 16800 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_166
+timestamp 1621261055
+transform 1 0 17088 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_174
+timestamp 1621261055
+transform 1 0 17856 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_182
+timestamp 1621261055
+transform 1 0 18624 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_187
+timestamp 1621261055
+transform 1 0 19104 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_195
+timestamp 1621261055
+transform 1 0 19872 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_203
+timestamp 1621261055
+transform 1 0 20640 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_211
+timestamp 1621261055
+transform 1 0 21408 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_629
+timestamp 1621261055
+transform 1 0 22272 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_43_219
+timestamp 1621261055
+transform 1 0 22176 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_221
+timestamp 1621261055
+transform 1 0 22368 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_229
+timestamp 1621261055
+transform 1 0 23136 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_237
+timestamp 1621261055
+transform 1 0 23904 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_245
+timestamp 1621261055
+transform 1 0 24672 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_253
+timestamp 1621261055
+transform 1 0 25440 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_261
+timestamp 1621261055
+transform 1 0 26208 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_269
+timestamp 1621261055
+transform 1 0 26976 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_630
+timestamp 1621261055
+transform 1 0 27552 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_273
+timestamp 1621261055
+transform 1 0 27360 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_276
+timestamp 1621261055
+transform 1 0 27648 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_284
+timestamp 1621261055
+transform 1 0 28416 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_292
+timestamp 1621261055
+transform 1 0 29184 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_296
+timestamp 1621261055
+transform 1 0 29568 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _057_
+timestamp 1621261055
+transform 1 0 31968 0 1 31302
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _217_
+timestamp 1621261055
+transform 1 0 29760 0 1 31302
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_301
+timestamp 1621261055
+transform 1 0 30048 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_309
+timestamp 1621261055
+transform 1 0 30816 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_317
+timestamp 1621261055
+transform 1 0 31584 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_324
+timestamp 1621261055
+transform 1 0 32256 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_631
+timestamp 1621261055
+transform 1 0 32832 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_328
+timestamp 1621261055
+transform 1 0 32640 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_331
+timestamp 1621261055
+transform 1 0 32928 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_339
+timestamp 1621261055
+transform 1 0 33696 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_347
+timestamp 1621261055
+transform 1 0 34464 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_355
+timestamp 1621261055
+transform 1 0 35232 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_363
+timestamp 1621261055
+transform 1 0 36000 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_371
+timestamp 1621261055
+transform 1 0 36768 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_632
+timestamp 1621261055
+transform 1 0 38112 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_379
+timestamp 1621261055
+transform 1 0 37536 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_383
+timestamp 1621261055
+transform 1 0 37920 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_386
+timestamp 1621261055
+transform 1 0 38208 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_394
+timestamp 1621261055
+transform 1 0 38976 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_402
+timestamp 1621261055
+transform 1 0 39744 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _073_
+timestamp 1621261055
+transform 1 0 40416 0 1 31302
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_406
+timestamp 1621261055
+transform 1 0 40128 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_43_408
+timestamp 1621261055
+transform 1 0 40320 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_412
+timestamp 1621261055
+transform 1 0 40704 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_420
+timestamp 1621261055
+transform 1 0 41472 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_428
+timestamp 1621261055
+transform 1 0 42240 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_633
+timestamp 1621261055
+transform 1 0 43392 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_436
+timestamp 1621261055
+transform 1 0 43008 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_441
+timestamp 1621261055
+transform 1 0 43488 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_449
+timestamp 1621261055
+transform 1 0 44256 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_457
+timestamp 1621261055
+transform 1 0 45024 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_465
+timestamp 1621261055
+transform 1 0 45792 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_473
+timestamp 1621261055
+transform 1 0 46560 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_481
+timestamp 1621261055
+transform 1 0 47328 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_634
+timestamp 1621261055
+transform 1 0 48672 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_489
+timestamp 1621261055
+transform 1 0 48096 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_493
+timestamp 1621261055
+transform 1 0 48480 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_496
+timestamp 1621261055
+transform 1 0 48768 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_504
+timestamp 1621261055
+transform 1 0 49536 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_512
+timestamp 1621261055
+transform 1 0 50304 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_520
+timestamp 1621261055
+transform 1 0 51072 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_528
+timestamp 1621261055
+transform 1 0 51840 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_536
+timestamp 1621261055
+transform 1 0 52608 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_635
+timestamp 1621261055
+transform 1 0 53952 0 1 31302
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_544
+timestamp 1621261055
+transform 1 0 53376 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_548
+timestamp 1621261055
+transform 1 0 53760 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_551
+timestamp 1621261055
+transform 1 0 54048 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_559
+timestamp 1621261055
+transform 1 0 54816 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_567
+timestamp 1621261055
+transform 1 0 55584 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_575
+timestamp 1621261055
+transform 1 0 56352 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_43_583
+timestamp 1621261055
+transform 1 0 57120 0 1 31302
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_43_591
+timestamp 1621261055
+transform 1 0 57888 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_87
+timestamp 1621261055
+transform -1 0 58848 0 1 31302
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_43_595
+timestamp 1621261055
+transform 1 0 58272 0 1 31302
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_88
+timestamp 1621261055
+transform 1 0 1152 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _009_
+timestamp 1621261055
+transform 1 0 4800 0 -1 32634
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_636
+timestamp 1621261055
+transform 1 0 3840 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_44_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_41
+timestamp 1621261055
+transform 1 0 5088 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_49
+timestamp 1621261055
+transform 1 0 5856 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_57
+timestamp 1621261055
+transform 1 0 6624 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_65
+timestamp 1621261055
+transform 1 0 7392 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_73
+timestamp 1621261055
+transform 1 0 8160 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_44_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_637
+timestamp 1621261055
+transform 1 0 9120 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_44_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _179_
+timestamp 1621261055
+transform 1 0 12096 0 -1 32634
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_44_112
+timestamp 1621261055
+transform 1 0 11904 0 -1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_117
+timestamp 1621261055
+transform 1 0 12384 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_125
+timestamp 1621261055
+transform 1 0 13152 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_44_133
+timestamp 1621261055
+transform 1 0 13920 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_638
+timestamp 1621261055
+transform 1 0 14400 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_44_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_44_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_639
+timestamp 1621261055
+transform 1 0 19680 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_44_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_44_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_640
+timestamp 1621261055
+transform 1 0 24960 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_44_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_44_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_641
+timestamp 1621261055
+transform 1 0 30240 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_44_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_642
+timestamp 1621261055
+transform 1 0 35520 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_44_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_44_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_643
+timestamp 1621261055
+transform 1 0 40800 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_44_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_44_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_644
+timestamp 1621261055
+transform 1 0 46080 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_44_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_44_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_645
+timestamp 1621261055
+transform 1 0 51360 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_44_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_44_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_646
+timestamp 1621261055
+transform 1 0 56640 0 -1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_44_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_44_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_44_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_89
+timestamp 1621261055
+transform -1 0 58848 0 -1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_44_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_90
+timestamp 1621261055
+transform 1 0 1152 0 1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_4
+timestamp 1621261055
+transform 1 0 1536 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_12
+timestamp 1621261055
+transform 1 0 2304 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_20
+timestamp 1621261055
+transform 1 0 3072 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_28
+timestamp 1621261055
+transform 1 0 3840 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_36
+timestamp 1621261055
+transform 1 0 4608 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_44
+timestamp 1621261055
+transform 1 0 5376 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_45_52
+timestamp 1621261055
+transform 1 0 6144 0 1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_45_54
+timestamp 1621261055
+transform 1 0 6336 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_647
+timestamp 1621261055
+transform 1 0 6432 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_56
+timestamp 1621261055
+transform 1 0 6528 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_64
+timestamp 1621261055
+transform 1 0 7296 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_72
+timestamp 1621261055
+transform 1 0 8064 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_80
+timestamp 1621261055
+transform 1 0 8832 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_88
+timestamp 1621261055
+transform 1 0 9600 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_96
+timestamp 1621261055
+transform 1 0 10368 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_45_104
+timestamp 1621261055
+transform 1 0 11136 0 1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_45_108
+timestamp 1621261055
+transform 1 0 11520 0 1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_648
+timestamp 1621261055
+transform 1 0 11712 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_111
+timestamp 1621261055
+transform 1 0 11808 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_119
+timestamp 1621261055
+transform 1 0 12576 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_127
+timestamp 1621261055
+transform 1 0 13344 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_135
+timestamp 1621261055
+transform 1 0 14112 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_143
+timestamp 1621261055
+transform 1 0 14880 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_151
+timestamp 1621261055
+transform 1 0 15648 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_45_159
+timestamp 1621261055
+transform 1 0 16416 0 1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_649
+timestamp 1621261055
+transform 1 0 16992 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_45_163
+timestamp 1621261055
+transform 1 0 16800 0 1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_166
+timestamp 1621261055
+transform 1 0 17088 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_174
+timestamp 1621261055
+transform 1 0 17856 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_182
+timestamp 1621261055
+transform 1 0 18624 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_190
+timestamp 1621261055
+transform 1 0 19392 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_198
+timestamp 1621261055
+transform 1 0 20160 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_206
+timestamp 1621261055
+transform 1 0 20928 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_45_214
+timestamp 1621261055
+transform 1 0 21696 0 1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_650
+timestamp 1621261055
+transform 1 0 22272 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_45_218
+timestamp 1621261055
+transform 1 0 22080 0 1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_221
+timestamp 1621261055
+transform 1 0 22368 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_229
+timestamp 1621261055
+transform 1 0 23136 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_237
+timestamp 1621261055
+transform 1 0 23904 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_245
+timestamp 1621261055
+transform 1 0 24672 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_253
+timestamp 1621261055
+transform 1 0 25440 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_261
+timestamp 1621261055
+transform 1 0 26208 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_45_269
+timestamp 1621261055
+transform 1 0 26976 0 1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_651
+timestamp 1621261055
+transform 1 0 27552 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_45_273
+timestamp 1621261055
+transform 1 0 27360 0 1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_276
+timestamp 1621261055
+transform 1 0 27648 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_284
+timestamp 1621261055
+transform 1 0 28416 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_292
+timestamp 1621261055
+transform 1 0 29184 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_300
+timestamp 1621261055
+transform 1 0 29952 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_308
+timestamp 1621261055
+transform 1 0 30720 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_316
+timestamp 1621261055
+transform 1 0 31488 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_45_324
+timestamp 1621261055
+transform 1 0 32256 0 1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_652
+timestamp 1621261055
+transform 1 0 32832 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_45_328
+timestamp 1621261055
+transform 1 0 32640 0 1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_331
+timestamp 1621261055
+transform 1 0 32928 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_339
+timestamp 1621261055
+transform 1 0 33696 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_347
+timestamp 1621261055
+transform 1 0 34464 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _106_
+timestamp 1621261055
+transform 1 0 36096 0 1 32634
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_355
+timestamp 1621261055
+transform 1 0 35232 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_45_363
+timestamp 1621261055
+transform 1 0 36000 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_367
+timestamp 1621261055
+transform 1 0 36384 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_375
+timestamp 1621261055
+transform 1 0 37152 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_653
+timestamp 1621261055
+transform 1 0 38112 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_45_383
+timestamp 1621261055
+transform 1 0 37920 0 1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_386
+timestamp 1621261055
+transform 1 0 38208 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_394
+timestamp 1621261055
+transform 1 0 38976 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_402
+timestamp 1621261055
+transform 1 0 39744 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_410
+timestamp 1621261055
+transform 1 0 40512 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_418
+timestamp 1621261055
+transform 1 0 41280 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_426
+timestamp 1621261055
+transform 1 0 42048 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_654
+timestamp 1621261055
+transform 1 0 43392 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_45_434
+timestamp 1621261055
+transform 1 0 42816 0 1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_45_438
+timestamp 1621261055
+transform 1 0 43200 0 1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_441
+timestamp 1621261055
+transform 1 0 43488 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_449
+timestamp 1621261055
+transform 1 0 44256 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_457
+timestamp 1621261055
+transform 1 0 45024 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_465
+timestamp 1621261055
+transform 1 0 45792 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_473
+timestamp 1621261055
+transform 1 0 46560 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_481
+timestamp 1621261055
+transform 1 0 47328 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_655
+timestamp 1621261055
+transform 1 0 48672 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_45_489
+timestamp 1621261055
+transform 1 0 48096 0 1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_45_493
+timestamp 1621261055
+transform 1 0 48480 0 1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_496
+timestamp 1621261055
+transform 1 0 48768 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_504
+timestamp 1621261055
+transform 1 0 49536 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_512
+timestamp 1621261055
+transform 1 0 50304 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_520
+timestamp 1621261055
+transform 1 0 51072 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_528
+timestamp 1621261055
+transform 1 0 51840 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_536
+timestamp 1621261055
+transform 1 0 52608 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_656
+timestamp 1621261055
+transform 1 0 53952 0 1 32634
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_45_544
+timestamp 1621261055
+transform 1 0 53376 0 1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_45_548
+timestamp 1621261055
+transform 1 0 53760 0 1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_551
+timestamp 1621261055
+transform 1 0 54048 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_559
+timestamp 1621261055
+transform 1 0 54816 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_567
+timestamp 1621261055
+transform 1 0 55584 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_575
+timestamp 1621261055
+transform 1 0 56352 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_45_583
+timestamp 1621261055
+transform 1 0 57120 0 1 32634
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_45_591
+timestamp 1621261055
+transform 1 0 57888 0 1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_91
+timestamp 1621261055
+transform -1 0 58848 0 1 32634
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_45_595
+timestamp 1621261055
+transform 1 0 58272 0 1 32634
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_92
+timestamp 1621261055
+transform 1 0 1152 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_94
+timestamp 1621261055
+transform 1 0 1152 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_4
+timestamp 1621261055
+transform 1 0 1536 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_12
+timestamp 1621261055
+transform 1 0 2304 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_20
+timestamp 1621261055
+transform 1 0 3072 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_28
+timestamp 1621261055
+transform 1 0 3840 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_657
+timestamp 1621261055
+transform 1 0 3840 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_44
+timestamp 1621261055
+transform 1 0 5376 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_36
+timestamp 1621261055
+transform 1 0 4608 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_47_52
+timestamp 1621261055
+transform 1 0 6144 0 1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_47_54
+timestamp 1621261055
+transform 1 0 6336 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_668
+timestamp 1621261055
+transform 1 0 6432 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_46_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_46_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_56
+timestamp 1621261055
+transform 1 0 6528 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_64
+timestamp 1621261055
+transform 1 0 7296 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_72
+timestamp 1621261055
+transform 1 0 8064 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_80
+timestamp 1621261055
+transform 1 0 8832 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_658
+timestamp 1621261055
+transform 1 0 9120 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_88
+timestamp 1621261055
+transform 1 0 9600 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_96
+timestamp 1621261055
+transform 1 0 10368 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_104
+timestamp 1621261055
+transform 1 0 11136 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_47_108
+timestamp 1621261055
+transform 1 0 11520 0 1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _109_
+timestamp 1621261055
+transform 1 0 12384 0 1 33966
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_669
+timestamp 1621261055
+transform 1 0 11712 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_147
+timestamp 1621261055
+transform 1 0 12192 0 1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_46_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_111
+timestamp 1621261055
+transform 1 0 11808 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_120
+timestamp 1621261055
+transform 1 0 12672 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_128
+timestamp 1621261055
+transform 1 0 13440 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_659
+timestamp 1621261055
+transform 1 0 14400 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_46_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_136
+timestamp 1621261055
+transform 1 0 14208 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_144
+timestamp 1621261055
+transform 1 0 14976 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_152
+timestamp 1621261055
+transform 1 0 15744 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_160
+timestamp 1621261055
+transform 1 0 16512 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_670
+timestamp 1621261055
+transform 1 0 16992 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_46_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_47_164
+timestamp 1621261055
+transform 1 0 16896 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_166
+timestamp 1621261055
+transform 1 0 17088 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_174
+timestamp 1621261055
+transform 1 0 17856 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_182
+timestamp 1621261055
+transform 1 0 18624 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_660
+timestamp 1621261055
+transform 1 0 19680 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_46_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_190
+timestamp 1621261055
+transform 1 0 19392 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_198
+timestamp 1621261055
+transform 1 0 20160 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_206
+timestamp 1621261055
+transform 1 0 20928 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_214
+timestamp 1621261055
+transform 1 0 21696 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_671
+timestamp 1621261055
+transform 1 0 22272 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_46_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_47_218
+timestamp 1621261055
+transform 1 0 22080 0 1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_221
+timestamp 1621261055
+transform 1 0 22368 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_229
+timestamp 1621261055
+transform 1 0 23136 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_237
+timestamp 1621261055
+transform 1 0 23904 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_245
+timestamp 1621261055
+transform 1 0 24672 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_46_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_661
+timestamp 1621261055
+transform 1 0 24960 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_260
+timestamp 1621261055
+transform 1 0 26112 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_253
+timestamp 1621261055
+transform 1 0 25440 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _034_
+timestamp 1621261055
+transform 1 0 25824 0 1 33966
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_268
+timestamp 1621261055
+transform 1 0 26880 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_276
+timestamp 1621261055
+transform 1 0 27648 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_47_274
+timestamp 1621261055
+transform 1 0 27456 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_47_272
+timestamp 1621261055
+transform 1 0 27264 0 1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_672
+timestamp 1621261055
+transform 1 0 27552 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_284
+timestamp 1621261055
+transform 1 0 28416 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_292
+timestamp 1621261055
+transform 1 0 29184 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_46_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_662
+timestamp 1621261055
+transform 1 0 30240 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_46_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_300
+timestamp 1621261055
+transform 1 0 29952 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_308
+timestamp 1621261055
+transform 1 0 30720 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_316
+timestamp 1621261055
+transform 1 0 31488 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_324
+timestamp 1621261055
+transform 1 0 32256 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_673
+timestamp 1621261055
+transform 1 0 32832 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_47_328
+timestamp 1621261055
+transform 1 0 32640 0 1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_331
+timestamp 1621261055
+transform 1 0 32928 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_339
+timestamp 1621261055
+transform 1 0 33696 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_347
+timestamp 1621261055
+transform 1 0 34464 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_663
+timestamp 1621261055
+transform 1 0 35520 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_46_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_46_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_355
+timestamp 1621261055
+transform 1 0 35232 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_363
+timestamp 1621261055
+transform 1 0 36000 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_371
+timestamp 1621261055
+transform 1 0 36768 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_674
+timestamp 1621261055
+transform 1 0 38112 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_379
+timestamp 1621261055
+transform 1 0 37536 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_47_383
+timestamp 1621261055
+transform 1 0 37920 0 1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_386
+timestamp 1621261055
+transform 1 0 38208 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_394
+timestamp 1621261055
+transform 1 0 38976 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_402
+timestamp 1621261055
+transform 1 0 39744 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_664
+timestamp 1621261055
+transform 1 0 40800 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_46_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_46_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_410
+timestamp 1621261055
+transform 1 0 40512 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_418
+timestamp 1621261055
+transform 1 0 41280 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_426
+timestamp 1621261055
+transform 1 0 42048 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_675
+timestamp 1621261055
+transform 1 0 43392 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_434
+timestamp 1621261055
+transform 1 0 42816 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_47_438
+timestamp 1621261055
+transform 1 0 43200 0 1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_441
+timestamp 1621261055
+transform 1 0 43488 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_449
+timestamp 1621261055
+transform 1 0 44256 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_457
+timestamp 1621261055
+transform 1 0 45024 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_665
+timestamp 1621261055
+transform 1 0 46080 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_46_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_46_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_465
+timestamp 1621261055
+transform 1 0 45792 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_473
+timestamp 1621261055
+transform 1 0 46560 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_481
+timestamp 1621261055
+transform 1 0 47328 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_676
+timestamp 1621261055
+transform 1 0 48672 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_489
+timestamp 1621261055
+transform 1 0 48096 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_47_493
+timestamp 1621261055
+transform 1 0 48480 0 1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_496
+timestamp 1621261055
+transform 1 0 48768 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_504
+timestamp 1621261055
+transform 1 0 49536 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_512
+timestamp 1621261055
+transform 1 0 50304 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_666
+timestamp 1621261055
+transform 1 0 51360 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_46_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_46_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_520
+timestamp 1621261055
+transform 1 0 51072 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_528
+timestamp 1621261055
+transform 1 0 51840 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_536
+timestamp 1621261055
+transform 1 0 52608 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_677
+timestamp 1621261055
+transform 1 0 53952 0 1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_544
+timestamp 1621261055
+transform 1 0 53376 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_47_548
+timestamp 1621261055
+transform 1 0 53760 0 1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_551
+timestamp 1621261055
+transform 1 0 54048 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_559
+timestamp 1621261055
+transform 1 0 54816 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_567
+timestamp 1621261055
+transform 1 0 55584 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_575
+timestamp 1621261055
+transform 1 0 56352 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_46_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_46_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_47_583
+timestamp 1621261055
+transform 1 0 57120 0 1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_46_583
+timestamp 1621261055
+transform 1 0 57120 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_46_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_187
+timestamp 1621261055
+transform -1 0 57408 0 -1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_667
+timestamp 1621261055
+transform 1 0 56640 0 -1 33966
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_47_591
+timestamp 1621261055
+transform 1 0 57888 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_46_589
+timestamp 1621261055
+transform 1 0 57696 0 -1 33966
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _149_
+timestamp 1621261055
+transform -1 0 57696 0 -1 33966
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  PHY_93
+timestamp 1621261055
+transform -1 0 58848 0 -1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_95
+timestamp 1621261055
+transform -1 0 58848 0 1 33966
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_47_595
+timestamp 1621261055
+transform 1 0 58272 0 1 33966
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_96
+timestamp 1621261055
+transform 1 0 1152 0 -1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_678
+timestamp 1621261055
+transform 1 0 3840 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_48_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_48_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_679
+timestamp 1621261055
+transform 1 0 9120 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_48_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_680
+timestamp 1621261055
+transform 1 0 14400 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_48_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_48_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_681
+timestamp 1621261055
+transform 1 0 19680 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_48_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_48_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _155_
+timestamp 1621261055
+transform 1 0 26784 0 -1 35298
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_682
+timestamp 1621261055
+transform 1 0 24960 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_195
+timestamp 1621261055
+transform 1 0 26592 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_48_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_270
+timestamp 1621261055
+transform 1 0 27072 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_278
+timestamp 1621261055
+transform 1 0 27840 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_286
+timestamp 1621261055
+transform 1 0 28608 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_294
+timestamp 1621261055
+transform 1 0 29376 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _088_
+timestamp 1621261055
+transform -1 0 31008 0 -1 35298
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_683
+timestamp 1621261055
+transform 1 0 30240 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_131
+timestamp 1621261055
+transform -1 0 30720 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_48_302
+timestamp 1621261055
+transform 1 0 30144 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_48_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_311
+timestamp 1621261055
+transform 1 0 31008 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_319
+timestamp 1621261055
+transform 1 0 31776 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_327
+timestamp 1621261055
+transform 1 0 32544 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_335
+timestamp 1621261055
+transform 1 0 33312 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_343
+timestamp 1621261055
+transform 1 0 34080 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_48_351
+timestamp 1621261055
+transform 1 0 34848 0 -1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_684
+timestamp 1621261055
+transform 1 0 35520 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_48_355
+timestamp 1621261055
+transform 1 0 35232 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_48_357
+timestamp 1621261055
+transform 1 0 35424 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_685
+timestamp 1621261055
+transform 1 0 40800 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_48_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_48_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_686
+timestamp 1621261055
+transform 1 0 46080 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_48_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_48_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_687
+timestamp 1621261055
+transform 1 0 51360 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_48_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_48_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_688
+timestamp 1621261055
+transform 1 0 56640 0 -1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_48_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_48_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_48_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_97
+timestamp 1621261055
+transform -1 0 58848 0 -1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_48_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_98
+timestamp 1621261055
+transform 1 0 1152 0 1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_4
+timestamp 1621261055
+transform 1 0 1536 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_12
+timestamp 1621261055
+transform 1 0 2304 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_20
+timestamp 1621261055
+transform 1 0 3072 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_28
+timestamp 1621261055
+transform 1 0 3840 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_36
+timestamp 1621261055
+transform 1 0 4608 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_44
+timestamp 1621261055
+transform 1 0 5376 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_52
+timestamp 1621261055
+transform 1 0 6144 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_49_54
+timestamp 1621261055
+transform 1 0 6336 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_689
+timestamp 1621261055
+transform 1 0 6432 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_56
+timestamp 1621261055
+transform 1 0 6528 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_64
+timestamp 1621261055
+transform 1 0 7296 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_72
+timestamp 1621261055
+transform 1 0 8064 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_80
+timestamp 1621261055
+transform 1 0 8832 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_88
+timestamp 1621261055
+transform 1 0 9600 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_96
+timestamp 1621261055
+transform 1 0 10368 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_49_104
+timestamp 1621261055
+transform 1 0 11136 0 1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_108
+timestamp 1621261055
+transform 1 0 11520 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_690
+timestamp 1621261055
+transform 1 0 11712 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_111
+timestamp 1621261055
+transform 1 0 11808 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_119
+timestamp 1621261055
+transform 1 0 12576 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_127
+timestamp 1621261055
+transform 1 0 13344 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_135
+timestamp 1621261055
+transform 1 0 14112 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_143
+timestamp 1621261055
+transform 1 0 14880 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_151
+timestamp 1621261055
+transform 1 0 15648 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_49_159
+timestamp 1621261055
+transform 1 0 16416 0 1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_691
+timestamp 1621261055
+transform 1 0 16992 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_163
+timestamp 1621261055
+transform 1 0 16800 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_166
+timestamp 1621261055
+transform 1 0 17088 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_174
+timestamp 1621261055
+transform 1 0 17856 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_182
+timestamp 1621261055
+transform 1 0 18624 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_190
+timestamp 1621261055
+transform 1 0 19392 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_198
+timestamp 1621261055
+transform 1 0 20160 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_206
+timestamp 1621261055
+transform 1 0 20928 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_49_214
+timestamp 1621261055
+transform 1 0 21696 0 1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_692
+timestamp 1621261055
+transform 1 0 22272 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_218
+timestamp 1621261055
+transform 1 0 22080 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_221
+timestamp 1621261055
+transform 1 0 22368 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_229
+timestamp 1621261055
+transform 1 0 23136 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_237
+timestamp 1621261055
+transform 1 0 23904 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_245
+timestamp 1621261055
+transform 1 0 24672 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_253
+timestamp 1621261055
+transform 1 0 25440 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_261
+timestamp 1621261055
+transform 1 0 26208 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_49_269
+timestamp 1621261055
+transform 1 0 26976 0 1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_693
+timestamp 1621261055
+transform 1 0 27552 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_273
+timestamp 1621261055
+transform 1 0 27360 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_276
+timestamp 1621261055
+transform 1 0 27648 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_284
+timestamp 1621261055
+transform 1 0 28416 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_292
+timestamp 1621261055
+transform 1 0 29184 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _132_
+timestamp 1621261055
+transform 1 0 30816 0 1 35298
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _168_
+timestamp 1621261055
+transform 1 0 30048 0 1 35298
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_173
+timestamp 1621261055
+transform 1 0 30624 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_49_300
+timestamp 1621261055
+transform 1 0 29952 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_304
+timestamp 1621261055
+transform 1 0 30336 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_49_306
+timestamp 1621261055
+transform 1 0 30528 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_312
+timestamp 1621261055
+transform 1 0 31104 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_320
+timestamp 1621261055
+transform 1 0 31872 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_694
+timestamp 1621261055
+transform 1 0 32832 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_328
+timestamp 1621261055
+transform 1 0 32640 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_331
+timestamp 1621261055
+transform 1 0 32928 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_339
+timestamp 1621261055
+transform 1 0 33696 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_347
+timestamp 1621261055
+transform 1 0 34464 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_355
+timestamp 1621261055
+transform 1 0 35232 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_363
+timestamp 1621261055
+transform 1 0 36000 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_371
+timestamp 1621261055
+transform 1 0 36768 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_695
+timestamp 1621261055
+transform 1 0 38112 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_49_379
+timestamp 1621261055
+transform 1 0 37536 0 1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_383
+timestamp 1621261055
+transform 1 0 37920 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_386
+timestamp 1621261055
+transform 1 0 38208 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_394
+timestamp 1621261055
+transform 1 0 38976 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_402
+timestamp 1621261055
+transform 1 0 39744 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_410
+timestamp 1621261055
+transform 1 0 40512 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_418
+timestamp 1621261055
+transform 1 0 41280 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_426
+timestamp 1621261055
+transform 1 0 42048 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_696
+timestamp 1621261055
+transform 1 0 43392 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_49_434
+timestamp 1621261055
+transform 1 0 42816 0 1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_438
+timestamp 1621261055
+transform 1 0 43200 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_441
+timestamp 1621261055
+transform 1 0 43488 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_449
+timestamp 1621261055
+transform 1 0 44256 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_457
+timestamp 1621261055
+transform 1 0 45024 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_465
+timestamp 1621261055
+transform 1 0 45792 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_473
+timestamp 1621261055
+transform 1 0 46560 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_481
+timestamp 1621261055
+transform 1 0 47328 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_697
+timestamp 1621261055
+transform 1 0 48672 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_49_489
+timestamp 1621261055
+transform 1 0 48096 0 1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_493
+timestamp 1621261055
+transform 1 0 48480 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_496
+timestamp 1621261055
+transform 1 0 48768 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_504
+timestamp 1621261055
+transform 1 0 49536 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_512
+timestamp 1621261055
+transform 1 0 50304 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_520
+timestamp 1621261055
+transform 1 0 51072 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_528
+timestamp 1621261055
+transform 1 0 51840 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_536
+timestamp 1621261055
+transform 1 0 52608 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_698
+timestamp 1621261055
+transform 1 0 53952 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_49_544
+timestamp 1621261055
+transform 1 0 53376 0 1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_548
+timestamp 1621261055
+transform 1 0 53760 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_551
+timestamp 1621261055
+transform 1 0 54048 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_559
+timestamp 1621261055
+transform 1 0 54816 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_567
+timestamp 1621261055
+transform 1 0 55584 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _078_
+timestamp 1621261055
+transform -1 0 57504 0 1 35298
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_121
+timestamp 1621261055
+transform -1 0 57216 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_49_575
+timestamp 1621261055
+transform 1 0 56352 0 1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_579
+timestamp 1621261055
+transform 1 0 56736 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_49_581
+timestamp 1621261055
+transform 1 0 56928 0 1 35298
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_49_587
+timestamp 1621261055
+transform 1 0 57504 0 1 35298
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_99
+timestamp 1621261055
+transform -1 0 58848 0 1 35298
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_49_595
+timestamp 1621261055
+transform 1 0 58272 0 1 35298
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_100
+timestamp 1621261055
+transform 1 0 1152 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_699
+timestamp 1621261055
+transform 1 0 3840 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_50_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_700
+timestamp 1621261055
+transform 1 0 9120 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _198_
+timestamp 1621261055
+transform -1 0 13920 0 -1 36630
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_201
+timestamp 1621261055
+transform -1 0 13632 0 -1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_133
+timestamp 1621261055
+transform 1 0 13920 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _172_
+timestamp 1621261055
+transform 1 0 15552 0 -1 36630
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_701
+timestamp 1621261055
+transform 1 0 14400 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_106
+timestamp 1621261055
+transform 1 0 15360 0 -1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_50_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_50_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_153
+timestamp 1621261055
+transform 1 0 15840 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_161
+timestamp 1621261055
+transform 1 0 16608 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_169
+timestamp 1621261055
+transform 1 0 17376 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_177
+timestamp 1621261055
+transform 1 0 18144 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_185
+timestamp 1621261055
+transform 1 0 18912 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_702
+timestamp 1621261055
+transform 1 0 19680 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_703
+timestamp 1621261055
+transform 1 0 24960 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_50_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _063_
+timestamp 1621261055
+transform 1 0 30720 0 -1 36630
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_704
+timestamp 1621261055
+transform 1 0 30240 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_50_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_311
+timestamp 1621261055
+transform 1 0 31008 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_319
+timestamp 1621261055
+transform 1 0 31776 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_327
+timestamp 1621261055
+transform 1 0 32544 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_335
+timestamp 1621261055
+transform 1 0 33312 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_343
+timestamp 1621261055
+transform 1 0 34080 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_351
+timestamp 1621261055
+transform 1 0 34848 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_705
+timestamp 1621261055
+transform 1 0 35520 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_50_355
+timestamp 1621261055
+transform 1 0 35232 0 -1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_50_357
+timestamp 1621261055
+transform 1 0 35424 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_706
+timestamp 1621261055
+transform 1 0 40800 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_50_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _026_
+timestamp 1621261055
+transform 1 0 43104 0 -1 36630
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_50_434
+timestamp 1621261055
+transform 1 0 42816 0 -1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_50_436
+timestamp 1621261055
+transform 1 0 43008 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_440
+timestamp 1621261055
+transform 1 0 43392 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_448
+timestamp 1621261055
+transform 1 0 44160 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_456
+timestamp 1621261055
+transform 1 0 44928 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_707
+timestamp 1621261055
+transform 1 0 46080 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_464
+timestamp 1621261055
+transform 1 0 45696 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_708
+timestamp 1621261055
+transform 1 0 51360 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_50_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_709
+timestamp 1621261055
+transform 1 0 56640 0 -1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_50_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_50_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_50_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_101
+timestamp 1621261055
+transform -1 0 58848 0 -1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_50_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_102
+timestamp 1621261055
+transform 1 0 1152 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_4
+timestamp 1621261055
+transform 1 0 1536 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_12
+timestamp 1621261055
+transform 1 0 2304 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_20
+timestamp 1621261055
+transform 1 0 3072 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_28
+timestamp 1621261055
+transform 1 0 3840 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_36
+timestamp 1621261055
+transform 1 0 4608 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_44
+timestamp 1621261055
+transform 1 0 5376 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_51_52
+timestamp 1621261055
+transform 1 0 6144 0 1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_51_54
+timestamp 1621261055
+transform 1 0 6336 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_710
+timestamp 1621261055
+transform 1 0 6432 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_56
+timestamp 1621261055
+transform 1 0 6528 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_64
+timestamp 1621261055
+transform 1 0 7296 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_72
+timestamp 1621261055
+transform 1 0 8064 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_80
+timestamp 1621261055
+transform 1 0 8832 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_88
+timestamp 1621261055
+transform 1 0 9600 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_96
+timestamp 1621261055
+transform 1 0 10368 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_51_104
+timestamp 1621261055
+transform 1 0 11136 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_51_108
+timestamp 1621261055
+transform 1 0 11520 0 1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_711
+timestamp 1621261055
+transform 1 0 11712 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_111
+timestamp 1621261055
+transform 1 0 11808 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_119
+timestamp 1621261055
+transform 1 0 12576 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_127
+timestamp 1621261055
+transform 1 0 13344 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_135
+timestamp 1621261055
+transform 1 0 14112 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_143
+timestamp 1621261055
+transform 1 0 14880 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_151
+timestamp 1621261055
+transform 1 0 15648 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_51_159
+timestamp 1621261055
+transform 1 0 16416 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _048_
+timestamp 1621261055
+transform 1 0 19104 0 1 36630
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_712
+timestamp 1621261055
+transform 1 0 16992 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_51_163
+timestamp 1621261055
+transform 1 0 16800 0 1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_166
+timestamp 1621261055
+transform 1 0 17088 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_174
+timestamp 1621261055
+transform 1 0 17856 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_51_182
+timestamp 1621261055
+transform 1 0 18624 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_51_186
+timestamp 1621261055
+transform 1 0 19008 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_190
+timestamp 1621261055
+transform 1 0 19392 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_198
+timestamp 1621261055
+transform 1 0 20160 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_206
+timestamp 1621261055
+transform 1 0 20928 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_51_214
+timestamp 1621261055
+transform 1 0 21696 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _097_
+timestamp 1621261055
+transform 1 0 24192 0 1 36630
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_713
+timestamp 1621261055
+transform 1 0 22272 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_139
+timestamp 1621261055
+transform 1 0 24000 0 1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_51_218
+timestamp 1621261055
+transform 1 0 22080 0 1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_221
+timestamp 1621261055
+transform 1 0 22368 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_229
+timestamp 1621261055
+transform 1 0 23136 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_51_237
+timestamp 1621261055
+transform 1 0 23904 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_243
+timestamp 1621261055
+transform 1 0 24480 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_251
+timestamp 1621261055
+transform 1 0 25248 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_259
+timestamp 1621261055
+transform 1 0 26016 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_267
+timestamp 1621261055
+transform 1 0 26784 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _173_
+timestamp 1621261055
+transform 1 0 29280 0 1 36630
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_714
+timestamp 1621261055
+transform 1 0 27552 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_276
+timestamp 1621261055
+transform 1 0 27648 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_284
+timestamp 1621261055
+transform 1 0 28416 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_51_292
+timestamp 1621261055
+transform 1 0 29184 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_296
+timestamp 1621261055
+transform 1 0 29568 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_304
+timestamp 1621261055
+transform 1 0 30336 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_312
+timestamp 1621261055
+transform 1 0 31104 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_320
+timestamp 1621261055
+transform 1 0 31872 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_715
+timestamp 1621261055
+transform 1 0 32832 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_51_328
+timestamp 1621261055
+transform 1 0 32640 0 1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_331
+timestamp 1621261055
+transform 1 0 32928 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_339
+timestamp 1621261055
+transform 1 0 33696 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_347
+timestamp 1621261055
+transform 1 0 34464 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_355
+timestamp 1621261055
+transform 1 0 35232 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_363
+timestamp 1621261055
+transform 1 0 36000 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_371
+timestamp 1621261055
+transform 1 0 36768 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_716
+timestamp 1621261055
+transform 1 0 38112 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_51_379
+timestamp 1621261055
+transform 1 0 37536 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_51_383
+timestamp 1621261055
+transform 1 0 37920 0 1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_386
+timestamp 1621261055
+transform 1 0 38208 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_394
+timestamp 1621261055
+transform 1 0 38976 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_402
+timestamp 1621261055
+transform 1 0 39744 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_410
+timestamp 1621261055
+transform 1 0 40512 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_418
+timestamp 1621261055
+transform 1 0 41280 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_426
+timestamp 1621261055
+transform 1 0 42048 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_717
+timestamp 1621261055
+transform 1 0 43392 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_51_434
+timestamp 1621261055
+transform 1 0 42816 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_51_438
+timestamp 1621261055
+transform 1 0 43200 0 1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_441
+timestamp 1621261055
+transform 1 0 43488 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_449
+timestamp 1621261055
+transform 1 0 44256 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_457
+timestamp 1621261055
+transform 1 0 45024 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _176_
+timestamp 1621261055
+transform 1 0 47136 0 1 36630
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_465
+timestamp 1621261055
+transform 1 0 45792 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_51_473
+timestamp 1621261055
+transform 1 0 46560 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_51_477
+timestamp 1621261055
+transform 1 0 46944 0 1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_482
+timestamp 1621261055
+transform 1 0 47424 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_718
+timestamp 1621261055
+transform 1 0 48672 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_51_490
+timestamp 1621261055
+transform 1 0 48192 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_51_494
+timestamp 1621261055
+transform 1 0 48576 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_496
+timestamp 1621261055
+transform 1 0 48768 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_504
+timestamp 1621261055
+transform 1 0 49536 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_512
+timestamp 1621261055
+transform 1 0 50304 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_520
+timestamp 1621261055
+transform 1 0 51072 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_528
+timestamp 1621261055
+transform 1 0 51840 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_536
+timestamp 1621261055
+transform 1 0 52608 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_719
+timestamp 1621261055
+transform 1 0 53952 0 1 36630
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_51_544
+timestamp 1621261055
+transform 1 0 53376 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_51_548
+timestamp 1621261055
+transform 1 0 53760 0 1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_551
+timestamp 1621261055
+transform 1 0 54048 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_559
+timestamp 1621261055
+transform 1 0 54816 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_567
+timestamp 1621261055
+transform 1 0 55584 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_575
+timestamp 1621261055
+transform 1 0 56352 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_51_583
+timestamp 1621261055
+transform 1 0 57120 0 1 36630
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_51_591
+timestamp 1621261055
+transform 1 0 57888 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_103
+timestamp 1621261055
+transform -1 0 58848 0 1 36630
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_51_595
+timestamp 1621261055
+transform 1 0 58272 0 1 36630
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_104
+timestamp 1621261055
+transform 1 0 1152 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_720
+timestamp 1621261055
+transform 1 0 3840 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_52_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_721
+timestamp 1621261055
+transform 1 0 9120 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_52_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _199_
+timestamp 1621261055
+transform -1 0 15168 0 -1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_722
+timestamp 1621261055
+transform 1 0 14400 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_203
+timestamp 1621261055
+transform -1 0 14880 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_146
+timestamp 1621261055
+transform 1 0 15168 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_154
+timestamp 1621261055
+transform 1 0 15936 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_162
+timestamp 1621261055
+transform 1 0 16704 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_170
+timestamp 1621261055
+transform 1 0 17472 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_178
+timestamp 1621261055
+transform 1 0 18240 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_52_186
+timestamp 1621261055
+transform 1 0 19008 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _035_
+timestamp 1621261055
+transform 1 0 20640 0 -1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_723
+timestamp 1621261055
+transform 1 0 19680 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_190
+timestamp 1621261055
+transform 1 0 19392 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_52_192
+timestamp 1621261055
+transform 1 0 19584 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_52_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_206
+timestamp 1621261055
+transform 1 0 20928 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_214
+timestamp 1621261055
+transform 1 0 21696 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_222
+timestamp 1621261055
+transform 1 0 22464 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_230
+timestamp 1621261055
+transform 1 0 23232 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_238
+timestamp 1621261055
+transform 1 0 24000 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _011_
+timestamp 1621261055
+transform 1 0 26976 0 -1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_724
+timestamp 1621261055
+transform 1 0 24960 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_52_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_272
+timestamp 1621261055
+transform 1 0 27264 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_280
+timestamp 1621261055
+transform 1 0 28032 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_288
+timestamp 1621261055
+transform 1 0 28800 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_52_296
+timestamp 1621261055
+transform 1 0 29568 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_725
+timestamp 1621261055
+transform 1 0 30240 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_300
+timestamp 1621261055
+transform 1 0 29952 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_52_302
+timestamp 1621261055
+transform 1 0 30144 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_726
+timestamp 1621261055
+transform 1 0 35520 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_52_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _084_
+timestamp 1621261055
+transform -1 0 41952 0 -1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_727
+timestamp 1621261055
+transform 1 0 40800 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_127
+timestamp 1621261055
+transform -1 0 41664 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_52_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_52_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_418
+timestamp 1621261055
+transform 1 0 41280 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_425
+timestamp 1621261055
+transform 1 0 41952 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_433
+timestamp 1621261055
+transform 1 0 42720 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_441
+timestamp 1621261055
+transform 1 0 43488 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_449
+timestamp 1621261055
+transform 1 0 44256 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_457
+timestamp 1621261055
+transform 1 0 45024 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_728
+timestamp 1621261055
+transform 1 0 46080 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_465
+timestamp 1621261055
+transform 1 0 45792 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_52_467
+timestamp 1621261055
+transform 1 0 45984 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_729
+timestamp 1621261055
+transform 1 0 51360 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_52_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_730
+timestamp 1621261055
+transform 1 0 56640 0 -1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_52_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_52_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_105
+timestamp 1621261055
+transform -1 0 58848 0 -1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_52_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _181_
+timestamp 1621261055
+transform 1 0 2496 0 1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  PHY_106
+timestamp 1621261055
+transform 1 0 1152 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_114
+timestamp 1621261055
+transform 1 0 2304 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_4
+timestamp 1621261055
+transform 1 0 1536 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_17
+timestamp 1621261055
+transform 1 0 2784 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_25
+timestamp 1621261055
+transform 1 0 3552 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_33
+timestamp 1621261055
+transform 1 0 4320 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_41
+timestamp 1621261055
+transform 1 0 5088 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_49
+timestamp 1621261055
+transform 1 0 5856 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_53
+timestamp 1621261055
+transform 1 0 6240 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_731
+timestamp 1621261055
+transform 1 0 6432 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_56
+timestamp 1621261055
+transform 1 0 6528 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_64
+timestamp 1621261055
+transform 1 0 7296 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_72
+timestamp 1621261055
+transform 1 0 8064 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_80
+timestamp 1621261055
+transform 1 0 8832 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_88
+timestamp 1621261055
+transform 1 0 9600 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_96
+timestamp 1621261055
+transform 1 0 10368 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_104
+timestamp 1621261055
+transform 1 0 11136 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_108
+timestamp 1621261055
+transform 1 0 11520 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_732
+timestamp 1621261055
+transform 1 0 11712 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_111
+timestamp 1621261055
+transform 1 0 11808 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_119
+timestamp 1621261055
+transform 1 0 12576 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_127
+timestamp 1621261055
+transform 1 0 13344 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_135
+timestamp 1621261055
+transform 1 0 14112 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_143
+timestamp 1621261055
+transform 1 0 14880 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_151
+timestamp 1621261055
+transform 1 0 15648 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_159
+timestamp 1621261055
+transform 1 0 16416 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_733
+timestamp 1621261055
+transform 1 0 16992 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_163
+timestamp 1621261055
+transform 1 0 16800 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_166
+timestamp 1621261055
+transform 1 0 17088 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_174
+timestamp 1621261055
+transform 1 0 17856 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_182
+timestamp 1621261055
+transform 1 0 18624 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _177_
+timestamp 1621261055
+transform 1 0 19968 0 1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _207_
+timestamp 1621261055
+transform -1 0 20928 0 1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_216
+timestamp 1621261055
+transform -1 0 20640 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_190
+timestamp 1621261055
+transform 1 0 19392 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_194
+timestamp 1621261055
+transform 1 0 19776 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_199
+timestamp 1621261055
+transform 1 0 20256 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_206
+timestamp 1621261055
+transform 1 0 20928 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_214
+timestamp 1621261055
+transform 1 0 21696 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_734
+timestamp 1621261055
+transform 1 0 22272 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_218
+timestamp 1621261055
+transform 1 0 22080 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_221
+timestamp 1621261055
+transform 1 0 22368 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_229
+timestamp 1621261055
+transform 1 0 23136 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_237
+timestamp 1621261055
+transform 1 0 23904 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _138_
+timestamp 1621261055
+transform 1 0 26688 0 1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_177
+timestamp 1621261055
+transform 1 0 26496 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_245
+timestamp 1621261055
+transform 1 0 24672 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_253
+timestamp 1621261055
+transform 1 0 25440 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_261
+timestamp 1621261055
+transform 1 0 26208 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_53_263
+timestamp 1621261055
+transform 1 0 26400 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_269
+timestamp 1621261055
+transform 1 0 26976 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_735
+timestamp 1621261055
+transform 1 0 27552 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_273
+timestamp 1621261055
+transform 1 0 27360 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_276
+timestamp 1621261055
+transform 1 0 27648 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_284
+timestamp 1621261055
+transform 1 0 28416 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_292
+timestamp 1621261055
+transform 1 0 29184 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_300
+timestamp 1621261055
+transform 1 0 29952 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_308
+timestamp 1621261055
+transform 1 0 30720 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_316
+timestamp 1621261055
+transform 1 0 31488 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_324
+timestamp 1621261055
+transform 1 0 32256 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_736
+timestamp 1621261055
+transform 1 0 32832 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_328
+timestamp 1621261055
+transform 1 0 32640 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_331
+timestamp 1621261055
+transform 1 0 32928 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_339
+timestamp 1621261055
+transform 1 0 33696 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_347
+timestamp 1621261055
+transform 1 0 34464 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_355
+timestamp 1621261055
+transform 1 0 35232 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_363
+timestamp 1621261055
+transform 1 0 36000 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_371
+timestamp 1621261055
+transform 1 0 36768 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_737
+timestamp 1621261055
+transform 1 0 38112 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_379
+timestamp 1621261055
+transform 1 0 37536 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_383
+timestamp 1621261055
+transform 1 0 37920 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_386
+timestamp 1621261055
+transform 1 0 38208 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_394
+timestamp 1621261055
+transform 1 0 38976 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_402
+timestamp 1621261055
+transform 1 0 39744 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_410
+timestamp 1621261055
+transform 1 0 40512 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_418
+timestamp 1621261055
+transform 1 0 41280 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_426
+timestamp 1621261055
+transform 1 0 42048 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_738
+timestamp 1621261055
+transform 1 0 43392 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_434
+timestamp 1621261055
+transform 1 0 42816 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_438
+timestamp 1621261055
+transform 1 0 43200 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_441
+timestamp 1621261055
+transform 1 0 43488 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_449
+timestamp 1621261055
+transform 1 0 44256 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_457
+timestamp 1621261055
+transform 1 0 45024 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _096_
+timestamp 1621261055
+transform -1 0 47328 0 1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_137
+timestamp 1621261055
+transform -1 0 47040 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_465
+timestamp 1621261055
+transform 1 0 45792 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_473
+timestamp 1621261055
+transform 1 0 46560 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_53_475
+timestamp 1621261055
+transform 1 0 46752 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_481
+timestamp 1621261055
+transform 1 0 47328 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_739
+timestamp 1621261055
+transform 1 0 48672 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_489
+timestamp 1621261055
+transform 1 0 48096 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_493
+timestamp 1621261055
+transform 1 0 48480 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_496
+timestamp 1621261055
+transform 1 0 48768 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_504
+timestamp 1621261055
+transform 1 0 49536 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_512
+timestamp 1621261055
+transform 1 0 50304 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_520
+timestamp 1621261055
+transform 1 0 51072 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_528
+timestamp 1621261055
+transform 1 0 51840 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_536
+timestamp 1621261055
+transform 1 0 52608 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _058_
+timestamp 1621261055
+transform 1 0 54432 0 1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_740
+timestamp 1621261055
+transform 1 0 53952 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_544
+timestamp 1621261055
+transform 1 0 53376 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_548
+timestamp 1621261055
+transform 1 0 53760 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_551
+timestamp 1621261055
+transform 1 0 54048 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_558
+timestamp 1621261055
+transform 1 0 54720 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_53_566
+timestamp 1621261055
+transform 1 0 55488 0 1 37962
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _050_
+timestamp 1621261055
+transform 1 0 56928 0 1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _187_
+timestamp 1621261055
+transform -1 0 57888 0 1 37962
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_212
+timestamp 1621261055
+transform -1 0 57600 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_574
+timestamp 1621261055
+transform 1 0 56256 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_578
+timestamp 1621261055
+transform 1 0 56640 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_53_580
+timestamp 1621261055
+transform 1 0 56832 0 1 37962
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_584
+timestamp 1621261055
+transform 1 0 57216 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_53_591
+timestamp 1621261055
+transform 1 0 57888 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_107
+timestamp 1621261055
+transform -1 0 58848 0 1 37962
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_53_595
+timestamp 1621261055
+transform 1 0 58272 0 1 37962
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_108
+timestamp 1621261055
+transform 1 0 1152 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_110
+timestamp 1621261055
+transform 1 0 1152 0 1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_4
+timestamp 1621261055
+transform 1 0 1536 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_12
+timestamp 1621261055
+transform 1 0 2304 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_20
+timestamp 1621261055
+transform 1 0 3072 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_28
+timestamp 1621261055
+transform 1 0 3840 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_741
+timestamp 1621261055
+transform 1 0 3840 0 -1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_44
+timestamp 1621261055
+transform 1 0 5376 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_36
+timestamp 1621261055
+transform 1 0 4608 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_55_52
+timestamp 1621261055
+transform 1 0 6144 0 1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_55_54
+timestamp 1621261055
+transform 1 0 6336 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_752
+timestamp 1621261055
+transform 1 0 6432 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_54_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_54_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_56
+timestamp 1621261055
+transform 1 0 6528 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_64
+timestamp 1621261055
+transform 1 0 7296 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_72
+timestamp 1621261055
+transform 1 0 8064 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_80
+timestamp 1621261055
+transform 1 0 8832 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_742
+timestamp 1621261055
+transform 1 0 9120 0 -1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_88
+timestamp 1621261055
+transform 1 0 9600 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_96
+timestamp 1621261055
+transform 1 0 10368 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_55_104
+timestamp 1621261055
+transform 1 0 11136 0 1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_55_108
+timestamp 1621261055
+transform 1 0 11520 0 1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_753
+timestamp 1621261055
+transform 1 0 11712 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_54_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_111
+timestamp 1621261055
+transform 1 0 11808 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_119
+timestamp 1621261055
+transform 1 0 12576 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_127
+timestamp 1621261055
+transform 1 0 13344 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_135
+timestamp 1621261055
+transform 1 0 14112 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_743
+timestamp 1621261055
+transform 1 0 14400 0 -1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_54_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_143
+timestamp 1621261055
+transform 1 0 14880 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_151
+timestamp 1621261055
+transform 1 0 15648 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_55_159
+timestamp 1621261055
+transform 1 0 16416 0 1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_754
+timestamp 1621261055
+transform 1 0 16992 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_54_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_55_163
+timestamp 1621261055
+transform 1 0 16800 0 1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_166
+timestamp 1621261055
+transform 1 0 17088 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_174
+timestamp 1621261055
+transform 1 0 17856 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_182
+timestamp 1621261055
+transform 1 0 18624 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_744
+timestamp 1621261055
+transform 1 0 19680 0 -1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_54_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_190
+timestamp 1621261055
+transform 1 0 19392 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_198
+timestamp 1621261055
+transform 1 0 20160 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_206
+timestamp 1621261055
+transform 1 0 20928 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_55_214
+timestamp 1621261055
+transform 1 0 21696 0 1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_755
+timestamp 1621261055
+transform 1 0 22272 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_54_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_55_218
+timestamp 1621261055
+transform 1 0 22080 0 1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_221
+timestamp 1621261055
+transform 1 0 22368 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_229
+timestamp 1621261055
+transform 1 0 23136 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_237
+timestamp 1621261055
+transform 1 0 23904 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_745
+timestamp 1621261055
+transform 1 0 24960 0 -1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_54_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_245
+timestamp 1621261055
+transform 1 0 24672 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_253
+timestamp 1621261055
+transform 1 0 25440 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_261
+timestamp 1621261055
+transform 1 0 26208 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_55_269
+timestamp 1621261055
+transform 1 0 26976 0 1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_756
+timestamp 1621261055
+transform 1 0 27552 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_54_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_55_273
+timestamp 1621261055
+transform 1 0 27360 0 1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_276
+timestamp 1621261055
+transform 1 0 27648 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_284
+timestamp 1621261055
+transform 1 0 28416 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_292
+timestamp 1621261055
+transform 1 0 29184 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_746
+timestamp 1621261055
+transform 1 0 30240 0 -1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_54_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_300
+timestamp 1621261055
+transform 1 0 29952 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_308
+timestamp 1621261055
+transform 1 0 30720 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_316
+timestamp 1621261055
+transform 1 0 31488 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_55_324
+timestamp 1621261055
+transform 1 0 32256 0 1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_757
+timestamp 1621261055
+transform 1 0 32832 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_55_328
+timestamp 1621261055
+transform 1 0 32640 0 1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_331
+timestamp 1621261055
+transform 1 0 32928 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_339
+timestamp 1621261055
+transform 1 0 33696 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_347
+timestamp 1621261055
+transform 1 0 34464 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_747
+timestamp 1621261055
+transform 1 0 35520 0 -1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_54_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_54_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_355
+timestamp 1621261055
+transform 1 0 35232 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_363
+timestamp 1621261055
+transform 1 0 36000 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_371
+timestamp 1621261055
+transform 1 0 36768 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_758
+timestamp 1621261055
+transform 1 0 38112 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_55_379
+timestamp 1621261055
+transform 1 0 37536 0 1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_55_383
+timestamp 1621261055
+transform 1 0 37920 0 1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_386
+timestamp 1621261055
+transform 1 0 38208 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_394
+timestamp 1621261055
+transform 1 0 38976 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_402
+timestamp 1621261055
+transform 1 0 39744 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_748
+timestamp 1621261055
+transform 1 0 40800 0 -1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_54_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_54_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_410
+timestamp 1621261055
+transform 1 0 40512 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_418
+timestamp 1621261055
+transform 1 0 41280 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_426
+timestamp 1621261055
+transform 1 0 42048 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_759
+timestamp 1621261055
+transform 1 0 43392 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_55_434
+timestamp 1621261055
+transform 1 0 42816 0 1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_55_438
+timestamp 1621261055
+transform 1 0 43200 0 1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_441
+timestamp 1621261055
+transform 1 0 43488 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_449
+timestamp 1621261055
+transform 1 0 44256 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_457
+timestamp 1621261055
+transform 1 0 45024 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_749
+timestamp 1621261055
+transform 1 0 46080 0 -1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_54_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_54_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_465
+timestamp 1621261055
+transform 1 0 45792 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_473
+timestamp 1621261055
+transform 1 0 46560 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_481
+timestamp 1621261055
+transform 1 0 47328 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_760
+timestamp 1621261055
+transform 1 0 48672 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_55_489
+timestamp 1621261055
+transform 1 0 48096 0 1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_55_493
+timestamp 1621261055
+transform 1 0 48480 0 1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_496
+timestamp 1621261055
+transform 1 0 48768 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_504
+timestamp 1621261055
+transform 1 0 49536 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_512
+timestamp 1621261055
+transform 1 0 50304 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_520
+timestamp 1621261055
+transform 1 0 51072 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_54_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_54_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_528
+timestamp 1621261055
+transform 1 0 51840 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_750
+timestamp 1621261055
+transform 1 0 51360 0 -1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_55_538
+timestamp 1621261055
+transform 1 0 52800 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_55_536
+timestamp 1621261055
+transform 1 0 52608 0 1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _036_
+timestamp 1621261055
+transform 1 0 52896 0 1 39294
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_761
+timestamp 1621261055
+transform 1 0 53952 0 1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_542
+timestamp 1621261055
+transform 1 0 53184 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_551
+timestamp 1621261055
+transform 1 0 54048 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_559
+timestamp 1621261055
+transform 1 0 54816 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_567
+timestamp 1621261055
+transform 1 0 55584 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_751
+timestamp 1621261055
+transform 1 0 56640 0 -1 39294
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_54_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_54_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_54_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_575
+timestamp 1621261055
+transform 1 0 56352 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_55_583
+timestamp 1621261055
+transform 1 0 57120 0 1 39294
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_55_591
+timestamp 1621261055
+transform 1 0 57888 0 1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_109
+timestamp 1621261055
+transform -1 0 58848 0 -1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_111
+timestamp 1621261055
+transform -1 0 58848 0 1 39294
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_54_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_55_595
+timestamp 1621261055
+transform 1 0 58272 0 1 39294
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_112
+timestamp 1621261055
+transform 1 0 1152 0 -1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_762
+timestamp 1621261055
+transform 1 0 3840 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_56_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_763
+timestamp 1621261055
+transform 1 0 9120 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_56_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_764
+timestamp 1621261055
+transform 1 0 14400 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_56_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_765
+timestamp 1621261055
+transform 1 0 19680 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _118_
+timestamp 1621261055
+transform 1 0 22560 0 -1 40626
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_157
+timestamp 1621261055
+transform 1 0 22368 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_56_220
+timestamp 1621261055
+transform 1 0 22272 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_56_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_766
+timestamp 1621261055
+transform 1 0 24960 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_56_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_767
+timestamp 1621261055
+transform 1 0 30240 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_768
+timestamp 1621261055
+transform 1 0 35520 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_56_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_769
+timestamp 1621261055
+transform 1 0 40800 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_56_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_770
+timestamp 1621261055
+transform 1 0 46080 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_56_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _001_
+timestamp 1621261055
+transform 1 0 50304 0 -1 40626
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_56_511
+timestamp 1621261055
+transform 1 0 50208 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_771
+timestamp 1621261055
+transform 1 0 51360 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_515
+timestamp 1621261055
+transform 1 0 50592 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _002_
+timestamp 1621261055
+transform 1 0 53760 0 -1 40626
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_551
+timestamp 1621261055
+transform 1 0 54048 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_559
+timestamp 1621261055
+transform 1 0 54816 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_567
+timestamp 1621261055
+transform 1 0 55584 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_772
+timestamp 1621261055
+transform 1 0 56640 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_575
+timestamp 1621261055
+transform 1 0 56352 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_56_577
+timestamp 1621261055
+transform 1 0 56544 0 -1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_56_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_113
+timestamp 1621261055
+transform -1 0 58848 0 -1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_56_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_114
+timestamp 1621261055
+transform 1 0 1152 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_4
+timestamp 1621261055
+transform 1 0 1536 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_12
+timestamp 1621261055
+transform 1 0 2304 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_20
+timestamp 1621261055
+transform 1 0 3072 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_28
+timestamp 1621261055
+transform 1 0 3840 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_36
+timestamp 1621261055
+transform 1 0 4608 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_44
+timestamp 1621261055
+transform 1 0 5376 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_57_52
+timestamp 1621261055
+transform 1 0 6144 0 1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_57_54
+timestamp 1621261055
+transform 1 0 6336 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_773
+timestamp 1621261055
+transform 1 0 6432 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_56
+timestamp 1621261055
+transform 1 0 6528 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_64
+timestamp 1621261055
+transform 1 0 7296 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_72
+timestamp 1621261055
+transform 1 0 8064 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_80
+timestamp 1621261055
+transform 1 0 8832 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_88
+timestamp 1621261055
+transform 1 0 9600 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_96
+timestamp 1621261055
+transform 1 0 10368 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_57_104
+timestamp 1621261055
+transform 1 0 11136 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_57_108
+timestamp 1621261055
+transform 1 0 11520 0 1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_774
+timestamp 1621261055
+transform 1 0 11712 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_111
+timestamp 1621261055
+transform 1 0 11808 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_119
+timestamp 1621261055
+transform 1 0 12576 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_127
+timestamp 1621261055
+transform 1 0 13344 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_135
+timestamp 1621261055
+transform 1 0 14112 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_143
+timestamp 1621261055
+transform 1 0 14880 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_151
+timestamp 1621261055
+transform 1 0 15648 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_57_159
+timestamp 1621261055
+transform 1 0 16416 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_775
+timestamp 1621261055
+transform 1 0 16992 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_57_163
+timestamp 1621261055
+transform 1 0 16800 0 1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_166
+timestamp 1621261055
+transform 1 0 17088 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_174
+timestamp 1621261055
+transform 1 0 17856 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_182
+timestamp 1621261055
+transform 1 0 18624 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_190
+timestamp 1621261055
+transform 1 0 19392 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_198
+timestamp 1621261055
+transform 1 0 20160 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_206
+timestamp 1621261055
+transform 1 0 20928 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_57_214
+timestamp 1621261055
+transform 1 0 21696 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_776
+timestamp 1621261055
+transform 1 0 22272 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_57_218
+timestamp 1621261055
+transform 1 0 22080 0 1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_221
+timestamp 1621261055
+transform 1 0 22368 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_229
+timestamp 1621261055
+transform 1 0 23136 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_237
+timestamp 1621261055
+transform 1 0 23904 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_245
+timestamp 1621261055
+transform 1 0 24672 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_253
+timestamp 1621261055
+transform 1 0 25440 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_261
+timestamp 1621261055
+transform 1 0 26208 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_57_269
+timestamp 1621261055
+transform 1 0 26976 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_777
+timestamp 1621261055
+transform 1 0 27552 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_57_273
+timestamp 1621261055
+transform 1 0 27360 0 1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_276
+timestamp 1621261055
+transform 1 0 27648 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_284
+timestamp 1621261055
+transform 1 0 28416 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_292
+timestamp 1621261055
+transform 1 0 29184 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_300
+timestamp 1621261055
+transform 1 0 29952 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_308
+timestamp 1621261055
+transform 1 0 30720 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_316
+timestamp 1621261055
+transform 1 0 31488 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_57_324
+timestamp 1621261055
+transform 1 0 32256 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_778
+timestamp 1621261055
+transform 1 0 32832 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_57_328
+timestamp 1621261055
+transform 1 0 32640 0 1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_331
+timestamp 1621261055
+transform 1 0 32928 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_339
+timestamp 1621261055
+transform 1 0 33696 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_347
+timestamp 1621261055
+transform 1 0 34464 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_355
+timestamp 1621261055
+transform 1 0 35232 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_363
+timestamp 1621261055
+transform 1 0 36000 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_371
+timestamp 1621261055
+transform 1 0 36768 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_779
+timestamp 1621261055
+transform 1 0 38112 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_57_379
+timestamp 1621261055
+transform 1 0 37536 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_57_383
+timestamp 1621261055
+transform 1 0 37920 0 1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_386
+timestamp 1621261055
+transform 1 0 38208 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_394
+timestamp 1621261055
+transform 1 0 38976 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_57_402
+timestamp 1621261055
+transform 1 0 39744 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _040_
+timestamp 1621261055
+transform 1 0 40224 0 1 40626
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_1  FILLER_57_406
+timestamp 1621261055
+transform 1 0 40128 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_410
+timestamp 1621261055
+transform 1 0 40512 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_418
+timestamp 1621261055
+transform 1 0 41280 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_426
+timestamp 1621261055
+transform 1 0 42048 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_780
+timestamp 1621261055
+transform 1 0 43392 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_57_434
+timestamp 1621261055
+transform 1 0 42816 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_57_438
+timestamp 1621261055
+transform 1 0 43200 0 1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_441
+timestamp 1621261055
+transform 1 0 43488 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_449
+timestamp 1621261055
+transform 1 0 44256 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_457
+timestamp 1621261055
+transform 1 0 45024 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_465
+timestamp 1621261055
+transform 1 0 45792 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_473
+timestamp 1621261055
+transform 1 0 46560 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_481
+timestamp 1621261055
+transform 1 0 47328 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_781
+timestamp 1621261055
+transform 1 0 48672 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_57_489
+timestamp 1621261055
+transform 1 0 48096 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_57_493
+timestamp 1621261055
+transform 1 0 48480 0 1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_496
+timestamp 1621261055
+transform 1 0 48768 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_504
+timestamp 1621261055
+transform 1 0 49536 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_512
+timestamp 1621261055
+transform 1 0 50304 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_520
+timestamp 1621261055
+transform 1 0 51072 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_528
+timestamp 1621261055
+transform 1 0 51840 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_536
+timestamp 1621261055
+transform 1 0 52608 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_782
+timestamp 1621261055
+transform 1 0 53952 0 1 40626
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_57_544
+timestamp 1621261055
+transform 1 0 53376 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_57_548
+timestamp 1621261055
+transform 1 0 53760 0 1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_551
+timestamp 1621261055
+transform 1 0 54048 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_559
+timestamp 1621261055
+transform 1 0 54816 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_567
+timestamp 1621261055
+transform 1 0 55584 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_575
+timestamp 1621261055
+transform 1 0 56352 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_57_583
+timestamp 1621261055
+transform 1 0 57120 0 1 40626
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_57_591
+timestamp 1621261055
+transform 1 0 57888 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_115
+timestamp 1621261055
+transform -1 0 58848 0 1 40626
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_57_595
+timestamp 1621261055
+transform 1 0 58272 0 1 40626
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_116
+timestamp 1621261055
+transform 1 0 1152 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_783
+timestamp 1621261055
+transform 1 0 3840 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_58_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _213_
+timestamp 1621261055
+transform 1 0 9600 0 -1 41958
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_784
+timestamp 1621261055
+transform 1 0 9120 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_209
+timestamp 1621261055
+transform -1 0 11712 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_222
+timestamp 1621261055
+transform 1 0 9408 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_91
+timestamp 1621261055
+transform 1 0 9888 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_99
+timestamp 1621261055
+transform 1 0 10656 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_58_107
+timestamp 1621261055
+transform 1 0 11424 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _204_
+timestamp 1621261055
+transform -1 0 12000 0 -1 41958
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_113
+timestamp 1621261055
+transform 1 0 12000 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_121
+timestamp 1621261055
+transform 1 0 12768 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_129
+timestamp 1621261055
+transform 1 0 13536 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_785
+timestamp 1621261055
+transform 1 0 14400 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_58_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _113_
+timestamp 1621261055
+transform 1 0 17280 0 -1 41958
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_151
+timestamp 1621261055
+transform 1 0 17088 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_58_165
+timestamp 1621261055
+transform 1 0 16992 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_58_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_786
+timestamp 1621261055
+transform 1 0 19680 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_58_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_787
+timestamp 1621261055
+transform 1 0 24960 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_58_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_788
+timestamp 1621261055
+transform 1 0 30240 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_789
+timestamp 1621261055
+transform 1 0 35520 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_58_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _042_
+timestamp 1621261055
+transform 1 0 39840 0 -1 41958
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_58_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_790
+timestamp 1621261055
+transform 1 0 40800 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_58_406
+timestamp 1621261055
+transform 1 0 40128 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_410
+timestamp 1621261055
+transform 1 0 40512 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_58_412
+timestamp 1621261055
+transform 1 0 40704 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_58_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _087_
+timestamp 1621261055
+transform -1 0 43488 0 -1 41958
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_129
+timestamp 1621261055
+transform -1 0 43200 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_434
+timestamp 1621261055
+transform 1 0 42816 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_441
+timestamp 1621261055
+transform 1 0 43488 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_449
+timestamp 1621261055
+transform 1 0 44256 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_457
+timestamp 1621261055
+transform 1 0 45024 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_791
+timestamp 1621261055
+transform 1 0 46080 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_465
+timestamp 1621261055
+transform 1 0 45792 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_58_467
+timestamp 1621261055
+transform 1 0 45984 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_792
+timestamp 1621261055
+transform 1 0 51360 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_58_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_793
+timestamp 1621261055
+transform 1 0 56640 0 -1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_58_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_58_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_117
+timestamp 1621261055
+transform -1 0 58848 0 -1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_58_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_118
+timestamp 1621261055
+transform 1 0 1152 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_4
+timestamp 1621261055
+transform 1 0 1536 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_12
+timestamp 1621261055
+transform 1 0 2304 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_20
+timestamp 1621261055
+transform 1 0 3072 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_28
+timestamp 1621261055
+transform 1 0 3840 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_36
+timestamp 1621261055
+transform 1 0 4608 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_44
+timestamp 1621261055
+transform 1 0 5376 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_52
+timestamp 1621261055
+transform 1 0 6144 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_59_54
+timestamp 1621261055
+transform 1 0 6336 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_794
+timestamp 1621261055
+transform 1 0 6432 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_56
+timestamp 1621261055
+transform 1 0 6528 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_64
+timestamp 1621261055
+transform 1 0 7296 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_72
+timestamp 1621261055
+transform 1 0 8064 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_80
+timestamp 1621261055
+transform 1 0 8832 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_88
+timestamp 1621261055
+transform 1 0 9600 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_96
+timestamp 1621261055
+transform 1 0 10368 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_59_104
+timestamp 1621261055
+transform 1 0 11136 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_108
+timestamp 1621261055
+transform 1 0 11520 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_795
+timestamp 1621261055
+transform 1 0 11712 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_111
+timestamp 1621261055
+transform 1 0 11808 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_119
+timestamp 1621261055
+transform 1 0 12576 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_127
+timestamp 1621261055
+transform 1 0 13344 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_135
+timestamp 1621261055
+transform 1 0 14112 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_143
+timestamp 1621261055
+transform 1 0 14880 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_151
+timestamp 1621261055
+transform 1 0 15648 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_59_159
+timestamp 1621261055
+transform 1 0 16416 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_796
+timestamp 1621261055
+transform 1 0 16992 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_163
+timestamp 1621261055
+transform 1 0 16800 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_166
+timestamp 1621261055
+transform 1 0 17088 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_174
+timestamp 1621261055
+transform 1 0 17856 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_182
+timestamp 1621261055
+transform 1 0 18624 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_190
+timestamp 1621261055
+transform 1 0 19392 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_198
+timestamp 1621261055
+transform 1 0 20160 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_206
+timestamp 1621261055
+transform 1 0 20928 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_59_214
+timestamp 1621261055
+transform 1 0 21696 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _121_
+timestamp 1621261055
+transform 1 0 23232 0 1 41958
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_797
+timestamp 1621261055
+transform 1 0 22272 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_159
+timestamp 1621261055
+transform 1 0 23040 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_218
+timestamp 1621261055
+transform 1 0 22080 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_59_221
+timestamp 1621261055
+transform 1 0 22368 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_225
+timestamp 1621261055
+transform 1 0 22752 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_59_227
+timestamp 1621261055
+transform 1 0 22944 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_233
+timestamp 1621261055
+transform 1 0 23520 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_241
+timestamp 1621261055
+transform 1 0 24288 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_249
+timestamp 1621261055
+transform 1 0 25056 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_257
+timestamp 1621261055
+transform 1 0 25824 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_265
+timestamp 1621261055
+transform 1 0 26592 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_798
+timestamp 1621261055
+transform 1 0 27552 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_273
+timestamp 1621261055
+transform 1 0 27360 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_276
+timestamp 1621261055
+transform 1 0 27648 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_284
+timestamp 1621261055
+transform 1 0 28416 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_292
+timestamp 1621261055
+transform 1 0 29184 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_300
+timestamp 1621261055
+transform 1 0 29952 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_308
+timestamp 1621261055
+transform 1 0 30720 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_316
+timestamp 1621261055
+transform 1 0 31488 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_59_324
+timestamp 1621261055
+transform 1 0 32256 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_799
+timestamp 1621261055
+transform 1 0 32832 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_328
+timestamp 1621261055
+transform 1 0 32640 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_331
+timestamp 1621261055
+transform 1 0 32928 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_339
+timestamp 1621261055
+transform 1 0 33696 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_347
+timestamp 1621261055
+transform 1 0 34464 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_355
+timestamp 1621261055
+transform 1 0 35232 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_363
+timestamp 1621261055
+transform 1 0 36000 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_371
+timestamp 1621261055
+transform 1 0 36768 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_800
+timestamp 1621261055
+transform 1 0 38112 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_232
+timestamp 1621261055
+transform -1 0 40128 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_59_379
+timestamp 1621261055
+transform 1 0 37536 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_383
+timestamp 1621261055
+transform 1 0 37920 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_386
+timestamp 1621261055
+transform 1 0 38208 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_394
+timestamp 1621261055
+transform 1 0 38976 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_402
+timestamp 1621261055
+transform 1 0 39744 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _191_
+timestamp 1621261055
+transform -1 0 40416 0 1 41958
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_409
+timestamp 1621261055
+transform 1 0 40416 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_417
+timestamp 1621261055
+transform 1 0 41184 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_425
+timestamp 1621261055
+transform 1 0 41952 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_801
+timestamp 1621261055
+transform 1 0 43392 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_59_433
+timestamp 1621261055
+transform 1 0 42720 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_437
+timestamp 1621261055
+transform 1 0 43104 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_59_439
+timestamp 1621261055
+transform 1 0 43296 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_441
+timestamp 1621261055
+transform 1 0 43488 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_449
+timestamp 1621261055
+transform 1 0 44256 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_457
+timestamp 1621261055
+transform 1 0 45024 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_465
+timestamp 1621261055
+transform 1 0 45792 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_473
+timestamp 1621261055
+transform 1 0 46560 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_481
+timestamp 1621261055
+transform 1 0 47328 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_802
+timestamp 1621261055
+transform 1 0 48672 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_59_489
+timestamp 1621261055
+transform 1 0 48096 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_493
+timestamp 1621261055
+transform 1 0 48480 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_496
+timestamp 1621261055
+transform 1 0 48768 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_504
+timestamp 1621261055
+transform 1 0 49536 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_512
+timestamp 1621261055
+transform 1 0 50304 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_520
+timestamp 1621261055
+transform 1 0 51072 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_528
+timestamp 1621261055
+transform 1 0 51840 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_536
+timestamp 1621261055
+transform 1 0 52608 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_803
+timestamp 1621261055
+transform 1 0 53952 0 1 41958
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_59_544
+timestamp 1621261055
+transform 1 0 53376 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_548
+timestamp 1621261055
+transform 1 0 53760 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_551
+timestamp 1621261055
+transform 1 0 54048 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_559
+timestamp 1621261055
+transform 1 0 54816 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_567
+timestamp 1621261055
+transform 1 0 55584 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_575
+timestamp 1621261055
+transform 1 0 56352 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_59_583
+timestamp 1621261055
+transform 1 0 57120 0 1 41958
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_59_591
+timestamp 1621261055
+transform 1 0 57888 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_119
+timestamp 1621261055
+transform -1 0 58848 0 1 41958
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_59_595
+timestamp 1621261055
+transform 1 0 58272 0 1 41958
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_120
+timestamp 1621261055
+transform 1 0 1152 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_804
+timestamp 1621261055
+transform 1 0 3840 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_60_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_805
+timestamp 1621261055
+transform 1 0 9120 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_60_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_806
+timestamp 1621261055
+transform 1 0 14400 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_60_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_807
+timestamp 1621261055
+transform 1 0 19680 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_60_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_808
+timestamp 1621261055
+transform 1 0 24960 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_60_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_809
+timestamp 1621261055
+transform 1 0 30240 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_810
+timestamp 1621261055
+transform 1 0 35520 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_228
+timestamp 1621261055
+transform -1 0 37632 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_60_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_60_377
+timestamp 1621261055
+transform 1 0 37344 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _188_
+timestamp 1621261055
+transform -1 0 37920 0 -1 43290
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_811
+timestamp 1621261055
+transform 1 0 40800 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_60_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_812
+timestamp 1621261055
+transform 1 0 46080 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_60_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_813
+timestamp 1621261055
+transform 1 0 51360 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_60_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_814
+timestamp 1621261055
+transform 1 0 56640 0 -1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_60_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_60_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_121
+timestamp 1621261055
+transform -1 0 58848 0 -1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_60_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_122
+timestamp 1621261055
+transform 1 0 1152 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_124
+timestamp 1621261055
+transform 1 0 1152 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_4
+timestamp 1621261055
+transform 1 0 1536 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_12
+timestamp 1621261055
+transform 1 0 2304 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_20
+timestamp 1621261055
+transform 1 0 3072 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_28
+timestamp 1621261055
+transform 1 0 3840 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_825
+timestamp 1621261055
+transform 1 0 3840 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_44
+timestamp 1621261055
+transform 1 0 5376 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_36
+timestamp 1621261055
+transform 1 0 4608 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_61_52
+timestamp 1621261055
+transform 1 0 6144 0 1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_61_54
+timestamp 1621261055
+transform 1 0 6336 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_815
+timestamp 1621261055
+transform 1 0 6432 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_56
+timestamp 1621261055
+transform 1 0 6528 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_64
+timestamp 1621261055
+transform 1 0 7296 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_72
+timestamp 1621261055
+transform 1 0 8064 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_80
+timestamp 1621261055
+transform 1 0 8832 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_62_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_826
+timestamp 1621261055
+transform 1 0 9120 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_88
+timestamp 1621261055
+transform 1 0 9600 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_96
+timestamp 1621261055
+transform 1 0 10368 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_61_104
+timestamp 1621261055
+transform 1 0 11136 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_61_108
+timestamp 1621261055
+transform 1 0 11520 0 1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_816
+timestamp 1621261055
+transform 1 0 11712 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_111
+timestamp 1621261055
+transform 1 0 11808 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_119
+timestamp 1621261055
+transform 1 0 12576 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_127
+timestamp 1621261055
+transform 1 0 13344 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_135
+timestamp 1621261055
+transform 1 0 14112 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_62_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_827
+timestamp 1621261055
+transform 1 0 14400 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_143
+timestamp 1621261055
+transform 1 0 14880 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_151
+timestamp 1621261055
+transform 1 0 15648 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_61_159
+timestamp 1621261055
+transform 1 0 16416 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_817
+timestamp 1621261055
+transform 1 0 16992 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_61_163
+timestamp 1621261055
+transform 1 0 16800 0 1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_166
+timestamp 1621261055
+transform 1 0 17088 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_174
+timestamp 1621261055
+transform 1 0 17856 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_182
+timestamp 1621261055
+transform 1 0 18624 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_62_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_828
+timestamp 1621261055
+transform 1 0 19680 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_190
+timestamp 1621261055
+transform 1 0 19392 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_198
+timestamp 1621261055
+transform 1 0 20160 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_206
+timestamp 1621261055
+transform 1 0 20928 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_61_214
+timestamp 1621261055
+transform 1 0 21696 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_818
+timestamp 1621261055
+transform 1 0 22272 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_61_218
+timestamp 1621261055
+transform 1 0 22080 0 1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_221
+timestamp 1621261055
+transform 1 0 22368 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_229
+timestamp 1621261055
+transform 1 0 23136 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_237
+timestamp 1621261055
+transform 1 0 23904 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_62_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_829
+timestamp 1621261055
+transform 1 0 24960 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_245
+timestamp 1621261055
+transform 1 0 24672 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_253
+timestamp 1621261055
+transform 1 0 25440 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_261
+timestamp 1621261055
+transform 1 0 26208 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_61_269
+timestamp 1621261055
+transform 1 0 26976 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_276
+timestamp 1621261055
+transform 1 0 27648 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_61_273
+timestamp 1621261055
+transform 1 0 27360 0 1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_220
+timestamp 1621261055
+transform -1 0 27744 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_819
+timestamp 1621261055
+transform 1 0 27552 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _211_
+timestamp 1621261055
+transform -1 0 28032 0 -1 44622
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_280
+timestamp 1621261055
+transform 1 0 28032 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_284
+timestamp 1621261055
+transform 1 0 28416 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_62_296
+timestamp 1621261055
+transform 1 0 29568 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_288
+timestamp 1621261055
+transform 1 0 28800 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_292
+timestamp 1621261055
+transform 1 0 29184 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_62_302
+timestamp 1621261055
+transform 1 0 30144 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_300
+timestamp 1621261055
+transform 1 0 29952 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_300
+timestamp 1621261055
+transform 1 0 29952 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_830
+timestamp 1621261055
+transform 1 0 30240 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_308
+timestamp 1621261055
+transform 1 0 30720 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_316
+timestamp 1621261055
+transform 1 0 31488 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_61_324
+timestamp 1621261055
+transform 1 0 32256 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_820
+timestamp 1621261055
+transform 1 0 32832 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_61_328
+timestamp 1621261055
+transform 1 0 32640 0 1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_331
+timestamp 1621261055
+transform 1 0 32928 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_339
+timestamp 1621261055
+transform 1 0 33696 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_347
+timestamp 1621261055
+transform 1 0 34464 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_62_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_355
+timestamp 1621261055
+transform 1 0 35232 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_831
+timestamp 1621261055
+transform 1 0 35520 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_363
+timestamp 1621261055
+transform 1 0 36000 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_61_377
+timestamp 1621261055
+transform 1 0 37344 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_61_375
+timestamp 1621261055
+transform 1 0 37152 0 1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_61_371
+timestamp 1621261055
+transform 1 0 36768 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _027_
+timestamp 1621261055
+transform 1 0 37440 0 1 43290
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_821
+timestamp 1621261055
+transform 1 0 38112 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_61_381
+timestamp 1621261055
+transform 1 0 37728 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_386
+timestamp 1621261055
+transform 1 0 38208 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_394
+timestamp 1621261055
+transform 1 0 38976 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_402
+timestamp 1621261055
+transform 1 0 39744 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_62_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_410
+timestamp 1621261055
+transform 1 0 40512 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_832
+timestamp 1621261055
+transform 1 0 40800 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_421
+timestamp 1621261055
+transform 1 0 41568 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_418
+timestamp 1621261055
+transform 1 0 41280 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_145
+timestamp 1621261055
+transform -1 0 41280 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _108_
+timestamp 1621261055
+transform -1 0 41568 0 -1 44622
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_429
+timestamp 1621261055
+transform 1 0 42336 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_426
+timestamp 1621261055
+transform 1 0 42048 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_822
+timestamp 1621261055
+transform 1 0 43392 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_61_434
+timestamp 1621261055
+transform 1 0 42816 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_61_438
+timestamp 1621261055
+transform 1 0 43200 0 1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_441
+timestamp 1621261055
+transform 1 0 43488 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_449
+timestamp 1621261055
+transform 1 0 44256 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_457
+timestamp 1621261055
+transform 1 0 45024 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_437
+timestamp 1621261055
+transform 1 0 43104 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_445
+timestamp 1621261055
+transform 1 0 43872 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_453
+timestamp 1621261055
+transform 1 0 44640 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_62_467
+timestamp 1621261055
+transform 1 0 45984 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_465
+timestamp 1621261055
+transform 1 0 45792 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_62_461
+timestamp 1621261055
+transform 1 0 45408 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_465
+timestamp 1621261055
+transform 1 0 45792 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_473
+timestamp 1621261055
+transform 1 0 46560 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_833
+timestamp 1621261055
+transform 1 0 46080 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_481
+timestamp 1621261055
+transform 1 0 47328 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_823
+timestamp 1621261055
+transform 1 0 48672 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_61_489
+timestamp 1621261055
+transform 1 0 48096 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_61_493
+timestamp 1621261055
+transform 1 0 48480 0 1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_496
+timestamp 1621261055
+transform 1 0 48768 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_504
+timestamp 1621261055
+transform 1 0 49536 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_512
+timestamp 1621261055
+transform 1 0 50304 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_834
+timestamp 1621261055
+transform 1 0 51360 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_520
+timestamp 1621261055
+transform 1 0 51072 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_528
+timestamp 1621261055
+transform 1 0 51840 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_536
+timestamp 1621261055
+transform 1 0 52608 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_62_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_824
+timestamp 1621261055
+transform 1 0 53952 0 1 43290
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_61_544
+timestamp 1621261055
+transform 1 0 53376 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_61_548
+timestamp 1621261055
+transform 1 0 53760 0 1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_551
+timestamp 1621261055
+transform 1 0 54048 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_559
+timestamp 1621261055
+transform 1 0 54816 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_567
+timestamp 1621261055
+transform 1 0 55584 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_835
+timestamp 1621261055
+transform 1 0 56640 0 -1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_575
+timestamp 1621261055
+transform 1 0 56352 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_61_583
+timestamp 1621261055
+transform 1 0 57120 0 1 43290
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_61_591
+timestamp 1621261055
+transform 1 0 57888 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_62_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_62_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_123
+timestamp 1621261055
+transform -1 0 58848 0 1 43290
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_125
+timestamp 1621261055
+transform -1 0 58848 0 -1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_61_595
+timestamp 1621261055
+transform 1 0 58272 0 1 43290
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_62_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_126
+timestamp 1621261055
+transform 1 0 1152 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output446
+timestamp 1621261055
+transform 1 0 1536 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_153
+timestamp 1621261055
+transform 1 0 3744 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_8
+timestamp 1621261055
+transform 1 0 1920 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_16
+timestamp 1621261055
+transform 1 0 2688 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_63_24
+timestamp 1621261055
+transform 1 0 3456 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_63_26
+timestamp 1621261055
+transform 1 0 3648 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _115_
+timestamp 1621261055
+transform 1 0 3936 0 1 44622
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_32
+timestamp 1621261055
+transform 1 0 4224 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_40
+timestamp 1621261055
+transform 1 0 4992 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_63_48
+timestamp 1621261055
+transform 1 0 5760 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_63_52
+timestamp 1621261055
+transform 1 0 6144 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_63_54
+timestamp 1621261055
+transform 1 0 6336 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_836
+timestamp 1621261055
+transform 1 0 6432 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_56
+timestamp 1621261055
+transform 1 0 6528 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_64
+timestamp 1621261055
+transform 1 0 7296 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_72
+timestamp 1621261055
+transform 1 0 8064 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_80
+timestamp 1621261055
+transform 1 0 8832 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_88
+timestamp 1621261055
+transform 1 0 9600 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_96
+timestamp 1621261055
+transform 1 0 10368 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_63_104
+timestamp 1621261055
+transform 1 0 11136 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_63_108
+timestamp 1621261055
+transform 1 0 11520 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _195_
+timestamp 1621261055
+transform -1 0 13056 0 1 44622
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_837
+timestamp 1621261055
+transform 1 0 11712 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_234
+timestamp 1621261055
+transform -1 0 12768 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_111
+timestamp 1621261055
+transform 1 0 11808 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_124
+timestamp 1621261055
+transform 1 0 13056 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_132
+timestamp 1621261055
+transform 1 0 13824 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_140
+timestamp 1621261055
+transform 1 0 14592 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_148
+timestamp 1621261055
+transform 1 0 15360 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_156
+timestamp 1621261055
+transform 1 0 16128 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_838
+timestamp 1621261055
+transform 1 0 16992 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_63_164
+timestamp 1621261055
+transform 1 0 16896 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_166
+timestamp 1621261055
+transform 1 0 17088 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_174
+timestamp 1621261055
+transform 1 0 17856 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_182
+timestamp 1621261055
+transform 1 0 18624 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_190
+timestamp 1621261055
+transform 1 0 19392 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_198
+timestamp 1621261055
+transform 1 0 20160 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_206
+timestamp 1621261055
+transform 1 0 20928 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_63_214
+timestamp 1621261055
+transform 1 0 21696 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_839
+timestamp 1621261055
+transform 1 0 22272 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_63_218
+timestamp 1621261055
+transform 1 0 22080 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_221
+timestamp 1621261055
+transform 1 0 22368 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_229
+timestamp 1621261055
+transform 1 0 23136 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_237
+timestamp 1621261055
+transform 1 0 23904 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_245
+timestamp 1621261055
+transform 1 0 24672 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_253
+timestamp 1621261055
+transform 1 0 25440 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_261
+timestamp 1621261055
+transform 1 0 26208 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_63_269
+timestamp 1621261055
+transform 1 0 26976 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_840
+timestamp 1621261055
+transform 1 0 27552 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_63_273
+timestamp 1621261055
+transform 1 0 27360 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_276
+timestamp 1621261055
+transform 1 0 27648 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_284
+timestamp 1621261055
+transform 1 0 28416 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_292
+timestamp 1621261055
+transform 1 0 29184 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_300
+timestamp 1621261055
+transform 1 0 29952 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_308
+timestamp 1621261055
+transform 1 0 30720 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_316
+timestamp 1621261055
+transform 1 0 31488 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_63_324
+timestamp 1621261055
+transform 1 0 32256 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_841
+timestamp 1621261055
+transform 1 0 32832 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_63_328
+timestamp 1621261055
+transform 1 0 32640 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_331
+timestamp 1621261055
+transform 1 0 32928 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_339
+timestamp 1621261055
+transform 1 0 33696 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_347
+timestamp 1621261055
+transform 1 0 34464 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_355
+timestamp 1621261055
+transform 1 0 35232 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_363
+timestamp 1621261055
+transform 1 0 36000 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_371
+timestamp 1621261055
+transform 1 0 36768 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_842
+timestamp 1621261055
+transform 1 0 38112 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_63_379
+timestamp 1621261055
+transform 1 0 37536 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_63_383
+timestamp 1621261055
+transform 1 0 37920 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_386
+timestamp 1621261055
+transform 1 0 38208 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_394
+timestamp 1621261055
+transform 1 0 38976 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_402
+timestamp 1621261055
+transform 1 0 39744 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_410
+timestamp 1621261055
+transform 1 0 40512 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_418
+timestamp 1621261055
+transform 1 0 41280 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_426
+timestamp 1621261055
+transform 1 0 42048 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_843
+timestamp 1621261055
+transform 1 0 43392 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_63_434
+timestamp 1621261055
+transform 1 0 42816 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_63_438
+timestamp 1621261055
+transform 1 0 43200 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_441
+timestamp 1621261055
+transform 1 0 43488 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_449
+timestamp 1621261055
+transform 1 0 44256 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_457
+timestamp 1621261055
+transform 1 0 45024 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_465
+timestamp 1621261055
+transform 1 0 45792 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_473
+timestamp 1621261055
+transform 1 0 46560 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_481
+timestamp 1621261055
+transform 1 0 47328 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_844
+timestamp 1621261055
+transform 1 0 48672 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_63_489
+timestamp 1621261055
+transform 1 0 48096 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_63_493
+timestamp 1621261055
+transform 1 0 48480 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_496
+timestamp 1621261055
+transform 1 0 48768 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_504
+timestamp 1621261055
+transform 1 0 49536 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_512
+timestamp 1621261055
+transform 1 0 50304 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_520
+timestamp 1621261055
+transform 1 0 51072 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_528
+timestamp 1621261055
+transform 1 0 51840 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_536
+timestamp 1621261055
+transform 1 0 52608 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_845
+timestamp 1621261055
+transform 1 0 53952 0 1 44622
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_63_544
+timestamp 1621261055
+transform 1 0 53376 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_63_548
+timestamp 1621261055
+transform 1 0 53760 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_551
+timestamp 1621261055
+transform 1 0 54048 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_559
+timestamp 1621261055
+transform 1 0 54816 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_567
+timestamp 1621261055
+transform 1 0 55584 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_575
+timestamp 1621261055
+transform 1 0 56352 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_63_583
+timestamp 1621261055
+transform 1 0 57120 0 1 44622
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_63_591
+timestamp 1621261055
+transform 1 0 57888 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_127
+timestamp 1621261055
+transform -1 0 58848 0 1 44622
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_63_595
+timestamp 1621261055
+transform 1 0 58272 0 1 44622
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_128
+timestamp 1621261055
+transform 1 0 1152 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_846
+timestamp 1621261055
+transform 1 0 3840 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_64_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _151_
+timestamp 1621261055
+transform 1 0 9792 0 -1 45954
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_847
+timestamp 1621261055
+transform 1 0 9120 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_190
+timestamp 1621261055
+transform 1 0 9600 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_93
+timestamp 1621261055
+transform 1 0 10080 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_101
+timestamp 1621261055
+transform 1 0 10848 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_109
+timestamp 1621261055
+transform 1 0 11616 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_117
+timestamp 1621261055
+transform 1 0 12384 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_125
+timestamp 1621261055
+transform 1 0 13152 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_133
+timestamp 1621261055
+transform 1 0 13920 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_848
+timestamp 1621261055
+transform 1 0 14400 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_64_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _079_
+timestamp 1621261055
+transform 1 0 17664 0 -1 45954
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_123
+timestamp 1621261055
+transform 1 0 17472 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_64_167
+timestamp 1621261055
+transform 1 0 17184 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_64_169
+timestamp 1621261055
+transform 1 0 17376 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_175
+timestamp 1621261055
+transform 1 0 17952 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_183
+timestamp 1621261055
+transform 1 0 18720 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_849
+timestamp 1621261055
+transform 1 0 19680 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_64_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_850
+timestamp 1621261055
+transform 1 0 24960 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_64_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_851
+timestamp 1621261055
+transform 1 0 30240 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_64_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_852
+timestamp 1621261055
+transform 1 0 35520 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_64_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_853
+timestamp 1621261055
+transform 1 0 40800 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_64_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _028_
+timestamp 1621261055
+transform 1 0 43872 0 -1 45954
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_64_442
+timestamp 1621261055
+transform 1 0 43584 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_64_444
+timestamp 1621261055
+transform 1 0 43776 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_448
+timestamp 1621261055
+transform 1 0 44160 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_456
+timestamp 1621261055
+transform 1 0 44928 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_854
+timestamp 1621261055
+transform 1 0 46080 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_464
+timestamp 1621261055
+transform 1 0 45696 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_64_513
+timestamp 1621261055
+transform 1 0 50400 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _148_
+timestamp 1621261055
+transform -1 0 50976 0 -1 45954
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_855
+timestamp 1621261055
+transform 1 0 51360 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_185
+timestamp 1621261055
+transform -1 0 50688 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_519
+timestamp 1621261055
+transform 1 0 50976 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_856
+timestamp 1621261055
+transform 1 0 56640 0 -1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_64_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_64_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_64_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_129
+timestamp 1621261055
+transform -1 0 58848 0 -1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_64_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_130
+timestamp 1621261055
+transform 1 0 1152 0 1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_4
+timestamp 1621261055
+transform 1 0 1536 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_12
+timestamp 1621261055
+transform 1 0 2304 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_20
+timestamp 1621261055
+transform 1 0 3072 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_28
+timestamp 1621261055
+transform 1 0 3840 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_36
+timestamp 1621261055
+transform 1 0 4608 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_44
+timestamp 1621261055
+transform 1 0 5376 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_65_52
+timestamp 1621261055
+transform 1 0 6144 0 1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_65_54
+timestamp 1621261055
+transform 1 0 6336 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_857
+timestamp 1621261055
+transform 1 0 6432 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_56
+timestamp 1621261055
+transform 1 0 6528 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_64
+timestamp 1621261055
+transform 1 0 7296 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_72
+timestamp 1621261055
+transform 1 0 8064 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_80
+timestamp 1621261055
+transform 1 0 8832 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_88
+timestamp 1621261055
+transform 1 0 9600 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_96
+timestamp 1621261055
+transform 1 0 10368 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_65_104
+timestamp 1621261055
+transform 1 0 11136 0 1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_65_108
+timestamp 1621261055
+transform 1 0 11520 0 1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_858
+timestamp 1621261055
+transform 1 0 11712 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_111
+timestamp 1621261055
+transform 1 0 11808 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_119
+timestamp 1621261055
+transform 1 0 12576 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_127
+timestamp 1621261055
+transform 1 0 13344 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_135
+timestamp 1621261055
+transform 1 0 14112 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_143
+timestamp 1621261055
+transform 1 0 14880 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_151
+timestamp 1621261055
+transform 1 0 15648 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_65_159
+timestamp 1621261055
+transform 1 0 16416 0 1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_859
+timestamp 1621261055
+transform 1 0 16992 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_65_163
+timestamp 1621261055
+transform 1 0 16800 0 1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_166
+timestamp 1621261055
+transform 1 0 17088 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_174
+timestamp 1621261055
+transform 1 0 17856 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_182
+timestamp 1621261055
+transform 1 0 18624 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_190
+timestamp 1621261055
+transform 1 0 19392 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_198
+timestamp 1621261055
+transform 1 0 20160 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_206
+timestamp 1621261055
+transform 1 0 20928 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_65_214
+timestamp 1621261055
+transform 1 0 21696 0 1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_860
+timestamp 1621261055
+transform 1 0 22272 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_65_218
+timestamp 1621261055
+transform 1 0 22080 0 1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_221
+timestamp 1621261055
+transform 1 0 22368 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_229
+timestamp 1621261055
+transform 1 0 23136 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_237
+timestamp 1621261055
+transform 1 0 23904 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_245
+timestamp 1621261055
+transform 1 0 24672 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_253
+timestamp 1621261055
+transform 1 0 25440 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_261
+timestamp 1621261055
+transform 1 0 26208 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_65_269
+timestamp 1621261055
+transform 1 0 26976 0 1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_861
+timestamp 1621261055
+transform 1 0 27552 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_65_273
+timestamp 1621261055
+transform 1 0 27360 0 1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_276
+timestamp 1621261055
+transform 1 0 27648 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_284
+timestamp 1621261055
+transform 1 0 28416 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_292
+timestamp 1621261055
+transform 1 0 29184 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_300
+timestamp 1621261055
+transform 1 0 29952 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_308
+timestamp 1621261055
+transform 1 0 30720 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_316
+timestamp 1621261055
+transform 1 0 31488 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_65_324
+timestamp 1621261055
+transform 1 0 32256 0 1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_862
+timestamp 1621261055
+transform 1 0 32832 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_65_328
+timestamp 1621261055
+transform 1 0 32640 0 1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_331
+timestamp 1621261055
+transform 1 0 32928 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_339
+timestamp 1621261055
+transform 1 0 33696 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_347
+timestamp 1621261055
+transform 1 0 34464 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_355
+timestamp 1621261055
+transform 1 0 35232 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_363
+timestamp 1621261055
+transform 1 0 36000 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_371
+timestamp 1621261055
+transform 1 0 36768 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_863
+timestamp 1621261055
+transform 1 0 38112 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_65_379
+timestamp 1621261055
+transform 1 0 37536 0 1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_65_383
+timestamp 1621261055
+transform 1 0 37920 0 1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_386
+timestamp 1621261055
+transform 1 0 38208 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_394
+timestamp 1621261055
+transform 1 0 38976 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_402
+timestamp 1621261055
+transform 1 0 39744 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_410
+timestamp 1621261055
+transform 1 0 40512 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_418
+timestamp 1621261055
+transform 1 0 41280 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_426
+timestamp 1621261055
+transform 1 0 42048 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_864
+timestamp 1621261055
+transform 1 0 43392 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_65_434
+timestamp 1621261055
+transform 1 0 42816 0 1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_65_438
+timestamp 1621261055
+transform 1 0 43200 0 1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_441
+timestamp 1621261055
+transform 1 0 43488 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_449
+timestamp 1621261055
+transform 1 0 44256 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_457
+timestamp 1621261055
+transform 1 0 45024 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_465
+timestamp 1621261055
+transform 1 0 45792 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_473
+timestamp 1621261055
+transform 1 0 46560 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_481
+timestamp 1621261055
+transform 1 0 47328 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_865
+timestamp 1621261055
+transform 1 0 48672 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_65_489
+timestamp 1621261055
+transform 1 0 48096 0 1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_65_493
+timestamp 1621261055
+transform 1 0 48480 0 1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_496
+timestamp 1621261055
+transform 1 0 48768 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_504
+timestamp 1621261055
+transform 1 0 49536 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_512
+timestamp 1621261055
+transform 1 0 50304 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_520
+timestamp 1621261055
+transform 1 0 51072 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_528
+timestamp 1621261055
+transform 1 0 51840 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_536
+timestamp 1621261055
+transform 1 0 52608 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_866
+timestamp 1621261055
+transform 1 0 53952 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_65_544
+timestamp 1621261055
+transform 1 0 53376 0 1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_65_548
+timestamp 1621261055
+transform 1 0 53760 0 1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_551
+timestamp 1621261055
+transform 1 0 54048 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_559
+timestamp 1621261055
+transform 1 0 54816 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_567
+timestamp 1621261055
+transform 1 0 55584 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _107_
+timestamp 1621261055
+transform -1 0 57696 0 1 45954
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_143
+timestamp 1621261055
+transform -1 0 57408 0 1 45954
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_575
+timestamp 1621261055
+transform 1 0 56352 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_65_583
+timestamp 1621261055
+transform 1 0 57120 0 1 45954
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_65_589
+timestamp 1621261055
+transform 1 0 57696 0 1 45954
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_131
+timestamp 1621261055
+transform -1 0 58848 0 1 45954
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_132
+timestamp 1621261055
+transform 1 0 1152 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_867
+timestamp 1621261055
+transform 1 0 3840 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_868
+timestamp 1621261055
+transform 1 0 9120 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_869
+timestamp 1621261055
+transform 1 0 14400 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_870
+timestamp 1621261055
+transform 1 0 19680 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _129_
+timestamp 1621261055
+transform 1 0 23616 0 -1 47286
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_169
+timestamp 1621261055
+transform 1 0 23424 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_230
+timestamp 1621261055
+transform 1 0 23232 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_237
+timestamp 1621261055
+transform 1 0 23904 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_871
+timestamp 1621261055
+transform 1 0 24960 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_245
+timestamp 1621261055
+transform 1 0 24672 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_66_247
+timestamp 1621261055
+transform 1 0 24864 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _061_
+timestamp 1621261055
+transform 1 0 30816 0 -1 47286
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _180_
+timestamp 1621261055
+transform 1 0 31488 0 -1 47286
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_872
+timestamp 1621261055
+transform 1 0 30240 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_112
+timestamp 1621261055
+transform 1 0 31296 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_66_308
+timestamp 1621261055
+transform 1 0 30720 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_319
+timestamp 1621261055
+transform 1 0 31776 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_327
+timestamp 1621261055
+transform 1 0 32544 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_335
+timestamp 1621261055
+transform 1 0 33312 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_343
+timestamp 1621261055
+transform 1 0 34080 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_351
+timestamp 1621261055
+transform 1 0 34848 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_873
+timestamp 1621261055
+transform 1 0 35520 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_355
+timestamp 1621261055
+transform 1 0 35232 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_66_357
+timestamp 1621261055
+transform 1 0 35424 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_874
+timestamp 1621261055
+transform 1 0 40800 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_875
+timestamp 1621261055
+transform 1 0 46080 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _024_
+timestamp 1621261055
+transform 1 0 52704 0 -1 47286
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_876
+timestamp 1621261055
+transform 1 0 51360 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_66_536
+timestamp 1621261055
+transform 1 0 52608 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_877
+timestamp 1621261055
+transform 1 0 56640 0 -1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_66_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_66_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_133
+timestamp 1621261055
+transform -1 0 58848 0 -1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_66_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_134
+timestamp 1621261055
+transform 1 0 1152 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_4
+timestamp 1621261055
+transform 1 0 1536 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_12
+timestamp 1621261055
+transform 1 0 2304 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_20
+timestamp 1621261055
+transform 1 0 3072 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_28
+timestamp 1621261055
+transform 1 0 3840 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_36
+timestamp 1621261055
+transform 1 0 4608 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_44
+timestamp 1621261055
+transform 1 0 5376 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_52
+timestamp 1621261055
+transform 1 0 6144 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_67_54
+timestamp 1621261055
+transform 1 0 6336 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_878
+timestamp 1621261055
+transform 1 0 6432 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_56
+timestamp 1621261055
+transform 1 0 6528 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_64
+timestamp 1621261055
+transform 1 0 7296 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_72
+timestamp 1621261055
+transform 1 0 8064 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_80
+timestamp 1621261055
+transform 1 0 8832 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _038_
+timestamp 1621261055
+transform 1 0 11040 0 1 47286
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_88
+timestamp 1621261055
+transform 1 0 9600 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_67_96
+timestamp 1621261055
+transform 1 0 10368 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_100
+timestamp 1621261055
+transform 1 0 10752 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_67_102
+timestamp 1621261055
+transform 1 0 10944 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_67_106
+timestamp 1621261055
+transform 1 0 11328 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_879
+timestamp 1621261055
+transform 1 0 11712 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_111
+timestamp 1621261055
+transform 1 0 11808 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_119
+timestamp 1621261055
+transform 1 0 12576 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_127
+timestamp 1621261055
+transform 1 0 13344 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_135
+timestamp 1621261055
+transform 1 0 14112 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _008_
+timestamp 1621261055
+transform 1 0 15744 0 1 47286
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_143
+timestamp 1621261055
+transform 1 0 14880 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_67_151
+timestamp 1621261055
+transform 1 0 15648 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_155
+timestamp 1621261055
+transform 1 0 16032 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_880
+timestamp 1621261055
+transform 1 0 16992 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_163
+timestamp 1621261055
+transform 1 0 16800 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_166
+timestamp 1621261055
+transform 1 0 17088 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_174
+timestamp 1621261055
+transform 1 0 17856 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_182
+timestamp 1621261055
+transform 1 0 18624 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_190
+timestamp 1621261055
+transform 1 0 19392 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_198
+timestamp 1621261055
+transform 1 0 20160 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_206
+timestamp 1621261055
+transform 1 0 20928 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_67_214
+timestamp 1621261055
+transform 1 0 21696 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_881
+timestamp 1621261055
+transform 1 0 22272 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_218
+timestamp 1621261055
+transform 1 0 22080 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_221
+timestamp 1621261055
+transform 1 0 22368 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_229
+timestamp 1621261055
+transform 1 0 23136 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_237
+timestamp 1621261055
+transform 1 0 23904 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_245
+timestamp 1621261055
+transform 1 0 24672 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_253
+timestamp 1621261055
+transform 1 0 25440 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_261
+timestamp 1621261055
+transform 1 0 26208 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_67_269
+timestamp 1621261055
+transform 1 0 26976 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_882
+timestamp 1621261055
+transform 1 0 27552 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_273
+timestamp 1621261055
+transform 1 0 27360 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_276
+timestamp 1621261055
+transform 1 0 27648 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_284
+timestamp 1621261055
+transform 1 0 28416 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_292
+timestamp 1621261055
+transform 1 0 29184 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_300
+timestamp 1621261055
+transform 1 0 29952 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_308
+timestamp 1621261055
+transform 1 0 30720 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_316
+timestamp 1621261055
+transform 1 0 31488 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_67_324
+timestamp 1621261055
+transform 1 0 32256 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_883
+timestamp 1621261055
+transform 1 0 32832 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_328
+timestamp 1621261055
+transform 1 0 32640 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_331
+timestamp 1621261055
+transform 1 0 32928 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_339
+timestamp 1621261055
+transform 1 0 33696 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_347
+timestamp 1621261055
+transform 1 0 34464 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_355
+timestamp 1621261055
+transform 1 0 35232 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_363
+timestamp 1621261055
+transform 1 0 36000 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_371
+timestamp 1621261055
+transform 1 0 36768 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_884
+timestamp 1621261055
+transform 1 0 38112 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_67_379
+timestamp 1621261055
+transform 1 0 37536 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_383
+timestamp 1621261055
+transform 1 0 37920 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_386
+timestamp 1621261055
+transform 1 0 38208 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_394
+timestamp 1621261055
+transform 1 0 38976 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_402
+timestamp 1621261055
+transform 1 0 39744 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_410
+timestamp 1621261055
+transform 1 0 40512 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_418
+timestamp 1621261055
+transform 1 0 41280 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_426
+timestamp 1621261055
+transform 1 0 42048 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _080_
+timestamp 1621261055
+transform -1 0 44640 0 1 47286
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_885
+timestamp 1621261055
+transform 1 0 43392 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_125
+timestamp 1621261055
+transform -1 0 44352 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_67_434
+timestamp 1621261055
+transform 1 0 42816 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_438
+timestamp 1621261055
+transform 1 0 43200 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_67_441
+timestamp 1621261055
+transform 1 0 43488 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_445
+timestamp 1621261055
+transform 1 0 43872 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_67_447
+timestamp 1621261055
+transform 1 0 44064 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_453
+timestamp 1621261055
+transform 1 0 44640 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_461
+timestamp 1621261055
+transform 1 0 45408 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_469
+timestamp 1621261055
+transform 1 0 46176 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_477
+timestamp 1621261055
+transform 1 0 46944 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_485
+timestamp 1621261055
+transform 1 0 47712 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_886
+timestamp 1621261055
+transform 1 0 48672 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_493
+timestamp 1621261055
+transform 1 0 48480 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_496
+timestamp 1621261055
+transform 1 0 48768 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_504
+timestamp 1621261055
+transform 1 0 49536 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_512
+timestamp 1621261055
+transform 1 0 50304 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_520
+timestamp 1621261055
+transform 1 0 51072 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_528
+timestamp 1621261055
+transform 1 0 51840 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_536
+timestamp 1621261055
+transform 1 0 52608 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_887
+timestamp 1621261055
+transform 1 0 53952 0 1 47286
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_67_544
+timestamp 1621261055
+transform 1 0 53376 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_548
+timestamp 1621261055
+transform 1 0 53760 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_551
+timestamp 1621261055
+transform 1 0 54048 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_559
+timestamp 1621261055
+transform 1 0 54816 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_567
+timestamp 1621261055
+transform 1 0 55584 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_575
+timestamp 1621261055
+transform 1 0 56352 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_67_583
+timestamp 1621261055
+transform 1 0 57120 0 1 47286
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_67_591
+timestamp 1621261055
+transform 1 0 57888 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_135
+timestamp 1621261055
+transform -1 0 58848 0 1 47286
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_67_595
+timestamp 1621261055
+transform 1 0 58272 0 1 47286
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_136
+timestamp 1621261055
+transform 1 0 1152 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _089_
+timestamp 1621261055
+transform 1 0 4704 0 -1 48618
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_888
+timestamp 1621261055
+transform 1 0 3840 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_133
+timestamp 1621261055
+transform 1 0 4512 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_68_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_33
+timestamp 1621261055
+transform 1 0 4320 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_40
+timestamp 1621261055
+transform 1 0 4992 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_48
+timestamp 1621261055
+transform 1 0 5760 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_56
+timestamp 1621261055
+transform 1 0 6528 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_64
+timestamp 1621261055
+transform 1 0 7296 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_72
+timestamp 1621261055
+transform 1 0 8064 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_80
+timestamp 1621261055
+transform 1 0 8832 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_889
+timestamp 1621261055
+transform 1 0 9120 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_68_82
+timestamp 1621261055
+transform 1 0 9024 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_68_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_890
+timestamp 1621261055
+transform 1 0 14400 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_68_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_891
+timestamp 1621261055
+transform 1 0 19680 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _164_
+timestamp 1621261055
+transform 1 0 23520 0 -1 48618
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_96
+timestamp 1621261055
+transform 1 0 23328 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_68_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_68_230
+timestamp 1621261055
+transform 1 0 23232 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_236
+timestamp 1621261055
+transform 1 0 23808 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_892
+timestamp 1621261055
+transform 1 0 24960 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_68_244
+timestamp 1621261055
+transform 1 0 24576 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_68_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_893
+timestamp 1621261055
+transform 1 0 30240 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_894
+timestamp 1621261055
+transform 1 0 35520 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_68_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_895
+timestamp 1621261055
+transform 1 0 40800 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_68_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _196_
+timestamp 1621261055
+transform -1 0 44256 0 -1 48618
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_199
+timestamp 1621261055
+transform -1 0 43968 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_68_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_442
+timestamp 1621261055
+transform 1 0 43584 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_449
+timestamp 1621261055
+transform 1 0 44256 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_457
+timestamp 1621261055
+transform 1 0 45024 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_896
+timestamp 1621261055
+transform 1 0 46080 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_465
+timestamp 1621261055
+transform 1 0 45792 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_68_467
+timestamp 1621261055
+transform 1 0 45984 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_897
+timestamp 1621261055
+transform 1 0 51360 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_68_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_898
+timestamp 1621261055
+transform 1 0 56640 0 -1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_68_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_68_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_137
+timestamp 1621261055
+transform -1 0 58848 0 -1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_68_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_4
+timestamp 1621261055
+transform 1 0 1536 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_140
+timestamp 1621261055
+transform 1 0 1152 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_138
+timestamp 1621261055
+transform 1 0 1152 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_12
+timestamp 1621261055
+transform 1 0 2304 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_25
+timestamp 1621261055
+transform 1 0 3552 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_103
+timestamp 1621261055
+transform 1 0 3072 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _170_
+timestamp 1621261055
+transform 1 0 3264 0 1 48618
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_909
+timestamp 1621261055
+transform 1 0 3840 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_33
+timestamp 1621261055
+transform 1 0 4320 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_41
+timestamp 1621261055
+transform 1 0 5088 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_69_49
+timestamp 1621261055
+transform 1 0 5856 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_53
+timestamp 1621261055
+transform 1 0 6240 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_899
+timestamp 1621261055
+transform 1 0 6432 0 1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_56
+timestamp 1621261055
+transform 1 0 6528 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_64
+timestamp 1621261055
+transform 1 0 7296 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_72
+timestamp 1621261055
+transform 1 0 8064 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_80
+timestamp 1621261055
+transform 1 0 8832 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_70_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_70_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_910
+timestamp 1621261055
+transform 1 0 9120 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_88
+timestamp 1621261055
+transform 1 0 9600 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_96
+timestamp 1621261055
+transform 1 0 10368 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_69_104
+timestamp 1621261055
+transform 1 0 11136 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_108
+timestamp 1621261055
+transform 1 0 11520 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_900
+timestamp 1621261055
+transform 1 0 11712 0 1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_111
+timestamp 1621261055
+transform 1 0 11808 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_119
+timestamp 1621261055
+transform 1 0 12576 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_127
+timestamp 1621261055
+transform 1 0 13344 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_135
+timestamp 1621261055
+transform 1 0 14112 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_70_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_911
+timestamp 1621261055
+transform 1 0 14400 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_143
+timestamp 1621261055
+transform 1 0 14880 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_151
+timestamp 1621261055
+transform 1 0 15648 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_69_159
+timestamp 1621261055
+transform 1 0 16416 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_70_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_901
+timestamp 1621261055
+transform 1 0 16992 0 1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_163
+timestamp 1621261055
+transform 1 0 16800 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_166
+timestamp 1621261055
+transform 1 0 17088 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_174
+timestamp 1621261055
+transform 1 0 17856 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_182
+timestamp 1621261055
+transform 1 0 18624 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_70_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_912
+timestamp 1621261055
+transform 1 0 19680 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_190
+timestamp 1621261055
+transform 1 0 19392 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_198
+timestamp 1621261055
+transform 1 0 20160 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_206
+timestamp 1621261055
+transform 1 0 20928 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_69_214
+timestamp 1621261055
+transform 1 0 21696 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_70_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_69_221
+timestamp 1621261055
+transform 1 0 22368 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_218
+timestamp 1621261055
+transform 1 0 22080 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_902
+timestamp 1621261055
+transform 1 0 22272 0 1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_233
+timestamp 1621261055
+transform 1 0 23520 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_69_227
+timestamp 1621261055
+transform 1 0 22944 0 1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_225
+timestamp 1621261055
+transform 1 0 22752 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_100
+timestamp 1621261055
+transform 1 0 23040 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _167_
+timestamp 1621261055
+transform 1 0 23232 0 1 48618
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_70_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_241
+timestamp 1621261055
+transform 1 0 24288 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_913
+timestamp 1621261055
+transform 1 0 24960 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_249
+timestamp 1621261055
+transform 1 0 25056 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_257
+timestamp 1621261055
+transform 1 0 25824 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_265
+timestamp 1621261055
+transform 1 0 26592 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_70_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_276
+timestamp 1621261055
+transform 1 0 27648 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_273
+timestamp 1621261055
+transform 1 0 27360 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_903
+timestamp 1621261055
+transform 1 0 27552 0 1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_284
+timestamp 1621261055
+transform 1 0 28416 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_70_296
+timestamp 1621261055
+transform 1 0 29568 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_70_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_292
+timestamp 1621261055
+transform 1 0 29184 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _030_
+timestamp 1621261055
+transform 1 0 29280 0 -1 49950
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_70_302
+timestamp 1621261055
+transform 1 0 30144 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_70_300
+timestamp 1621261055
+transform 1 0 29952 0 -1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_300
+timestamp 1621261055
+transform 1 0 29952 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_914
+timestamp 1621261055
+transform 1 0 30240 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_308
+timestamp 1621261055
+transform 1 0 30720 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_316
+timestamp 1621261055
+transform 1 0 31488 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_69_324
+timestamp 1621261055
+transform 1 0 32256 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_904
+timestamp 1621261055
+transform 1 0 32832 0 1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_328
+timestamp 1621261055
+transform 1 0 32640 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_331
+timestamp 1621261055
+transform 1 0 32928 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_339
+timestamp 1621261055
+transform 1 0 33696 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_347
+timestamp 1621261055
+transform 1 0 34464 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_915
+timestamp 1621261055
+transform 1 0 35520 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_355
+timestamp 1621261055
+transform 1 0 35232 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_363
+timestamp 1621261055
+transform 1 0 36000 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_371
+timestamp 1621261055
+transform 1 0 36768 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_70_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_70_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_905
+timestamp 1621261055
+transform 1 0 38112 0 1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_69_379
+timestamp 1621261055
+transform 1 0 37536 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_383
+timestamp 1621261055
+transform 1 0 37920 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_386
+timestamp 1621261055
+transform 1 0 38208 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_394
+timestamp 1621261055
+transform 1 0 38976 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_402
+timestamp 1621261055
+transform 1 0 39744 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_916
+timestamp 1621261055
+transform 1 0 40800 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_410
+timestamp 1621261055
+transform 1 0 40512 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_418
+timestamp 1621261055
+transform 1 0 41280 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_426
+timestamp 1621261055
+transform 1 0 42048 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_70_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_70_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_906
+timestamp 1621261055
+transform 1 0 43392 0 1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_69_434
+timestamp 1621261055
+transform 1 0 42816 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_438
+timestamp 1621261055
+transform 1 0 43200 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_441
+timestamp 1621261055
+transform 1 0 43488 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_449
+timestamp 1621261055
+transform 1 0 44256 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_457
+timestamp 1621261055
+transform 1 0 45024 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_917
+timestamp 1621261055
+transform 1 0 46080 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_465
+timestamp 1621261055
+transform 1 0 45792 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_473
+timestamp 1621261055
+transform 1 0 46560 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_481
+timestamp 1621261055
+transform 1 0 47328 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_70_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_70_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_907
+timestamp 1621261055
+transform 1 0 48672 0 1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_69_489
+timestamp 1621261055
+transform 1 0 48096 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_493
+timestamp 1621261055
+transform 1 0 48480 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_496
+timestamp 1621261055
+transform 1 0 48768 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_504
+timestamp 1621261055
+transform 1 0 49536 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_512
+timestamp 1621261055
+transform 1 0 50304 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_918
+timestamp 1621261055
+transform 1 0 51360 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_520
+timestamp 1621261055
+transform 1 0 51072 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_528
+timestamp 1621261055
+transform 1 0 51840 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_536
+timestamp 1621261055
+transform 1 0 52608 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_70_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_70_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_908
+timestamp 1621261055
+transform 1 0 53952 0 1 48618
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_69_544
+timestamp 1621261055
+transform 1 0 53376 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_548
+timestamp 1621261055
+transform 1 0 53760 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_551
+timestamp 1621261055
+transform 1 0 54048 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_559
+timestamp 1621261055
+transform 1 0 54816 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_567
+timestamp 1621261055
+transform 1 0 55584 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_919
+timestamp 1621261055
+transform 1 0 56640 0 -1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_575
+timestamp 1621261055
+transform 1 0 56352 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_69_583
+timestamp 1621261055
+transform 1 0 57120 0 1 48618
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_69_591
+timestamp 1621261055
+transform 1 0 57888 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_70_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_70_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_70_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_139
+timestamp 1621261055
+transform -1 0 58848 0 1 48618
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_141
+timestamp 1621261055
+transform -1 0 58848 0 -1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_69_595
+timestamp 1621261055
+transform 1 0 58272 0 1 48618
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_70_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_142
+timestamp 1621261055
+transform 1 0 1152 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_4
+timestamp 1621261055
+transform 1 0 1536 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_12
+timestamp 1621261055
+transform 1 0 2304 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_20
+timestamp 1621261055
+transform 1 0 3072 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_28
+timestamp 1621261055
+transform 1 0 3840 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_36
+timestamp 1621261055
+transform 1 0 4608 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_44
+timestamp 1621261055
+transform 1 0 5376 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_52
+timestamp 1621261055
+transform 1 0 6144 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_71_54
+timestamp 1621261055
+transform 1 0 6336 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_920
+timestamp 1621261055
+transform 1 0 6432 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_56
+timestamp 1621261055
+transform 1 0 6528 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_64
+timestamp 1621261055
+transform 1 0 7296 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_72
+timestamp 1621261055
+transform 1 0 8064 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_80
+timestamp 1621261055
+transform 1 0 8832 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_88
+timestamp 1621261055
+transform 1 0 9600 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_96
+timestamp 1621261055
+transform 1 0 10368 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_104
+timestamp 1621261055
+transform 1 0 11136 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_108
+timestamp 1621261055
+transform 1 0 11520 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_921
+timestamp 1621261055
+transform 1 0 11712 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_111
+timestamp 1621261055
+transform 1 0 11808 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_119
+timestamp 1621261055
+transform 1 0 12576 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_127
+timestamp 1621261055
+transform 1 0 13344 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_135
+timestamp 1621261055
+transform 1 0 14112 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_143
+timestamp 1621261055
+transform 1 0 14880 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_151
+timestamp 1621261055
+transform 1 0 15648 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_159
+timestamp 1621261055
+transform 1 0 16416 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_922
+timestamp 1621261055
+transform 1 0 16992 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_163
+timestamp 1621261055
+transform 1 0 16800 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_166
+timestamp 1621261055
+transform 1 0 17088 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_174
+timestamp 1621261055
+transform 1 0 17856 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_182
+timestamp 1621261055
+transform 1 0 18624 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_190
+timestamp 1621261055
+transform 1 0 19392 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_198
+timestamp 1621261055
+transform 1 0 20160 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_206
+timestamp 1621261055
+transform 1 0 20928 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_214
+timestamp 1621261055
+transform 1 0 21696 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_923
+timestamp 1621261055
+transform 1 0 22272 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_218
+timestamp 1621261055
+transform 1 0 22080 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_221
+timestamp 1621261055
+transform 1 0 22368 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_229
+timestamp 1621261055
+transform 1 0 23136 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_237
+timestamp 1621261055
+transform 1 0 23904 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_245
+timestamp 1621261055
+transform 1 0 24672 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_253
+timestamp 1621261055
+transform 1 0 25440 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_261
+timestamp 1621261055
+transform 1 0 26208 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_269
+timestamp 1621261055
+transform 1 0 26976 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_924
+timestamp 1621261055
+transform 1 0 27552 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_273
+timestamp 1621261055
+transform 1 0 27360 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_276
+timestamp 1621261055
+transform 1 0 27648 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_284
+timestamp 1621261055
+transform 1 0 28416 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_292
+timestamp 1621261055
+transform 1 0 29184 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_300
+timestamp 1621261055
+transform 1 0 29952 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_308
+timestamp 1621261055
+transform 1 0 30720 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_316
+timestamp 1621261055
+transform 1 0 31488 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_324
+timestamp 1621261055
+transform 1 0 32256 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_925
+timestamp 1621261055
+transform 1 0 32832 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_328
+timestamp 1621261055
+transform 1 0 32640 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_331
+timestamp 1621261055
+transform 1 0 32928 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_339
+timestamp 1621261055
+transform 1 0 33696 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_347
+timestamp 1621261055
+transform 1 0 34464 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_355
+timestamp 1621261055
+transform 1 0 35232 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_363
+timestamp 1621261055
+transform 1 0 36000 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_371
+timestamp 1621261055
+transform 1 0 36768 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_926
+timestamp 1621261055
+transform 1 0 38112 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_379
+timestamp 1621261055
+transform 1 0 37536 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_383
+timestamp 1621261055
+transform 1 0 37920 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_386
+timestamp 1621261055
+transform 1 0 38208 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_394
+timestamp 1621261055
+transform 1 0 38976 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_402
+timestamp 1621261055
+transform 1 0 39744 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_410
+timestamp 1621261055
+transform 1 0 40512 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_418
+timestamp 1621261055
+transform 1 0 41280 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_426
+timestamp 1621261055
+transform 1 0 42048 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _147_
+timestamp 1621261055
+transform 1 0 44352 0 1 49950
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_927
+timestamp 1621261055
+transform 1 0 43392 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_183
+timestamp 1621261055
+transform 1 0 44160 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_434
+timestamp 1621261055
+transform 1 0 42816 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_438
+timestamp 1621261055
+transform 1 0 43200 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_441
+timestamp 1621261055
+transform 1 0 43488 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_445
+timestamp 1621261055
+transform 1 0 43872 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_71_447
+timestamp 1621261055
+transform 1 0 44064 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_453
+timestamp 1621261055
+transform 1 0 44640 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _019_
+timestamp 1621261055
+transform 1 0 45696 0 1 49950
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_461
+timestamp 1621261055
+transform 1 0 45408 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_71_463
+timestamp 1621261055
+transform 1 0 45600 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_467
+timestamp 1621261055
+transform 1 0 45984 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_475
+timestamp 1621261055
+transform 1 0 46752 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_483
+timestamp 1621261055
+transform 1 0 47520 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_928
+timestamp 1621261055
+transform 1 0 48672 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_491
+timestamp 1621261055
+transform 1 0 48288 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_496
+timestamp 1621261055
+transform 1 0 48768 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_504
+timestamp 1621261055
+transform 1 0 49536 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_512
+timestamp 1621261055
+transform 1 0 50304 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _215_
+timestamp 1621261055
+transform -1 0 52992 0 1 49950
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_226
+timestamp 1621261055
+transform -1 0 52704 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_520
+timestamp 1621261055
+transform 1 0 51072 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_528
+timestamp 1621261055
+transform 1 0 51840 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_532
+timestamp 1621261055
+transform 1 0 52224 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_71_534
+timestamp 1621261055
+transform 1 0 52416 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_540
+timestamp 1621261055
+transform 1 0 52992 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _069_
+timestamp 1621261055
+transform -1 0 54912 0 1 49950
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _125_
+timestamp 1621261055
+transform -1 0 55872 0 1 49950
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_929
+timestamp 1621261055
+transform 1 0 53952 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_98
+timestamp 1621261055
+transform -1 0 54624 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_163
+timestamp 1621261055
+transform -1 0 55584 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_548
+timestamp 1621261055
+transform 1 0 53760 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_551
+timestamp 1621261055
+transform 1 0 54048 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_71_560
+timestamp 1621261055
+transform 1 0 54912 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_71_564
+timestamp 1621261055
+transform 1 0 55296 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_570
+timestamp 1621261055
+transform 1 0 55872 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_578
+timestamp 1621261055
+transform 1 0 56640 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_71_586
+timestamp 1621261055
+transform 1 0 57408 0 1 49950
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_71_594
+timestamp 1621261055
+transform 1 0 58176 0 1 49950
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_143
+timestamp 1621261055
+transform -1 0 58848 0 1 49950
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_71_596
+timestamp 1621261055
+transform 1 0 58368 0 1 49950
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  PHY_144
+timestamp 1621261055
+transform 1 0 1152 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_930
+timestamp 1621261055
+transform 1 0 3840 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _137_
+timestamp 1621261055
+transform 1 0 8448 0 -1 51282
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_175
+timestamp 1621261055
+transform 1 0 8256 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_72_73
+timestamp 1621261055
+transform 1 0 8160 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_79
+timestamp 1621261055
+transform 1 0 8736 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_931
+timestamp 1621261055
+transform 1 0 9120 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_932
+timestamp 1621261055
+transform 1 0 14400 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_72_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_933
+timestamp 1621261055
+transform 1 0 19680 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_72_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_934
+timestamp 1621261055
+transform 1 0 24960 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_72_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _092_
+timestamp 1621261055
+transform -1 0 31008 0 -1 51282
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_935
+timestamp 1621261055
+transform 1 0 30240 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_135
+timestamp 1621261055
+transform -1 0 30720 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_72_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_72_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_311
+timestamp 1621261055
+transform 1 0 31008 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_319
+timestamp 1621261055
+transform 1 0 31776 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_327
+timestamp 1621261055
+transform 1 0 32544 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_335
+timestamp 1621261055
+transform 1 0 33312 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_343
+timestamp 1621261055
+transform 1 0 34080 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_351
+timestamp 1621261055
+transform 1 0 34848 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_936
+timestamp 1621261055
+transform 1 0 35520 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_72_355
+timestamp 1621261055
+transform 1 0 35232 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_72_357
+timestamp 1621261055
+transform 1 0 35424 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_937
+timestamp 1621261055
+transform 1 0 40800 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_72_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_938
+timestamp 1621261055
+transform 1 0 46080 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_72_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _010_
+timestamp 1621261055
+transform 1 0 52704 0 -1 51282
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_939
+timestamp 1621261055
+transform 1 0 51360 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_72_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_72_536
+timestamp 1621261055
+transform 1 0 52608 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_940
+timestamp 1621261055
+transform 1 0 56640 0 -1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_72_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_72_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_72_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_145
+timestamp 1621261055
+transform -1 0 58848 0 -1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_72_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_146
+timestamp 1621261055
+transform 1 0 1152 0 1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_4
+timestamp 1621261055
+transform 1 0 1536 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_12
+timestamp 1621261055
+transform 1 0 2304 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_20
+timestamp 1621261055
+transform 1 0 3072 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_28
+timestamp 1621261055
+transform 1 0 3840 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_36
+timestamp 1621261055
+transform 1 0 4608 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_44
+timestamp 1621261055
+transform 1 0 5376 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_52
+timestamp 1621261055
+transform 1 0 6144 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_73_54
+timestamp 1621261055
+transform 1 0 6336 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_941
+timestamp 1621261055
+transform 1 0 6432 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_56
+timestamp 1621261055
+transform 1 0 6528 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_64
+timestamp 1621261055
+transform 1 0 7296 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_72
+timestamp 1621261055
+transform 1 0 8064 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_80
+timestamp 1621261055
+transform 1 0 8832 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_88
+timestamp 1621261055
+transform 1 0 9600 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_96
+timestamp 1621261055
+transform 1 0 10368 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_73_104
+timestamp 1621261055
+transform 1 0 11136 0 1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_108
+timestamp 1621261055
+transform 1 0 11520 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_942
+timestamp 1621261055
+transform 1 0 11712 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_111
+timestamp 1621261055
+transform 1 0 11808 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_119
+timestamp 1621261055
+transform 1 0 12576 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_127
+timestamp 1621261055
+transform 1 0 13344 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_135
+timestamp 1621261055
+transform 1 0 14112 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _214_
+timestamp 1621261055
+transform -1 0 15552 0 1 51282
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_224
+timestamp 1621261055
+transform -1 0 15264 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_143
+timestamp 1621261055
+transform 1 0 14880 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_150
+timestamp 1621261055
+transform 1 0 15552 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_73_158
+timestamp 1621261055
+transform 1 0 16320 0 1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_162
+timestamp 1621261055
+transform 1 0 16704 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_943
+timestamp 1621261055
+transform 1 0 16992 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_73_164
+timestamp 1621261055
+transform 1 0 16896 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_166
+timestamp 1621261055
+transform 1 0 17088 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_174
+timestamp 1621261055
+transform 1 0 17856 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_182
+timestamp 1621261055
+transform 1 0 18624 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_190
+timestamp 1621261055
+transform 1 0 19392 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_198
+timestamp 1621261055
+transform 1 0 20160 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_206
+timestamp 1621261055
+transform 1 0 20928 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_73_214
+timestamp 1621261055
+transform 1 0 21696 0 1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _146_
+timestamp 1621261055
+transform 1 0 24384 0 1 51282
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_944
+timestamp 1621261055
+transform 1 0 22272 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_181
+timestamp 1621261055
+transform 1 0 24192 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_218
+timestamp 1621261055
+transform 1 0 22080 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_221
+timestamp 1621261055
+transform 1 0 22368 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_229
+timestamp 1621261055
+transform 1 0 23136 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_237
+timestamp 1621261055
+transform 1 0 23904 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_73_239
+timestamp 1621261055
+transform 1 0 24096 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _208_
+timestamp 1621261055
+transform -1 0 25344 0 1 51282
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_218
+timestamp 1621261055
+transform -1 0 25056 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_245
+timestamp 1621261055
+transform 1 0 24672 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_252
+timestamp 1621261055
+transform 1 0 25344 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_260
+timestamp 1621261055
+transform 1 0 26112 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_73_268
+timestamp 1621261055
+transform 1 0 26880 0 1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_945
+timestamp 1621261055
+transform 1 0 27552 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_272
+timestamp 1621261055
+transform 1 0 27264 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_73_274
+timestamp 1621261055
+transform 1 0 27456 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_276
+timestamp 1621261055
+transform 1 0 27648 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_284
+timestamp 1621261055
+transform 1 0 28416 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_292
+timestamp 1621261055
+transform 1 0 29184 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_300
+timestamp 1621261055
+transform 1 0 29952 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_308
+timestamp 1621261055
+transform 1 0 30720 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_316
+timestamp 1621261055
+transform 1 0 31488 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_73_324
+timestamp 1621261055
+transform 1 0 32256 0 1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_946
+timestamp 1621261055
+transform 1 0 32832 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_328
+timestamp 1621261055
+transform 1 0 32640 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_331
+timestamp 1621261055
+transform 1 0 32928 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_339
+timestamp 1621261055
+transform 1 0 33696 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_347
+timestamp 1621261055
+transform 1 0 34464 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_355
+timestamp 1621261055
+transform 1 0 35232 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_363
+timestamp 1621261055
+transform 1 0 36000 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_371
+timestamp 1621261055
+transform 1 0 36768 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_947
+timestamp 1621261055
+transform 1 0 38112 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_73_379
+timestamp 1621261055
+transform 1 0 37536 0 1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_383
+timestamp 1621261055
+transform 1 0 37920 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_386
+timestamp 1621261055
+transform 1 0 38208 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_394
+timestamp 1621261055
+transform 1 0 38976 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_402
+timestamp 1621261055
+transform 1 0 39744 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_410
+timestamp 1621261055
+transform 1 0 40512 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_418
+timestamp 1621261055
+transform 1 0 41280 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_426
+timestamp 1621261055
+transform 1 0 42048 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_948
+timestamp 1621261055
+transform 1 0 43392 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_73_434
+timestamp 1621261055
+transform 1 0 42816 0 1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_438
+timestamp 1621261055
+transform 1 0 43200 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_441
+timestamp 1621261055
+transform 1 0 43488 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_449
+timestamp 1621261055
+transform 1 0 44256 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_457
+timestamp 1621261055
+transform 1 0 45024 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_465
+timestamp 1621261055
+transform 1 0 45792 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_473
+timestamp 1621261055
+transform 1 0 46560 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_481
+timestamp 1621261055
+transform 1 0 47328 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_949
+timestamp 1621261055
+transform 1 0 48672 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_73_489
+timestamp 1621261055
+transform 1 0 48096 0 1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_493
+timestamp 1621261055
+transform 1 0 48480 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_496
+timestamp 1621261055
+transform 1 0 48768 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_504
+timestamp 1621261055
+transform 1 0 49536 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_512
+timestamp 1621261055
+transform 1 0 50304 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _025_
+timestamp 1621261055
+transform 1 0 51168 0 1 51282
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_1  FILLER_73_520
+timestamp 1621261055
+transform 1 0 51072 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_524
+timestamp 1621261055
+transform 1 0 51456 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_532
+timestamp 1621261055
+transform 1 0 52224 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_540
+timestamp 1621261055
+transform 1 0 52992 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_950
+timestamp 1621261055
+transform 1 0 53952 0 1 51282
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_548
+timestamp 1621261055
+transform 1 0 53760 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_551
+timestamp 1621261055
+transform 1 0 54048 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_559
+timestamp 1621261055
+transform 1 0 54816 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_567
+timestamp 1621261055
+transform 1 0 55584 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_575
+timestamp 1621261055
+transform 1 0 56352 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_73_583
+timestamp 1621261055
+transform 1 0 57120 0 1 51282
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_73_591
+timestamp 1621261055
+transform 1 0 57888 0 1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_147
+timestamp 1621261055
+transform -1 0 58848 0 1 51282
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_73_595
+timestamp 1621261055
+transform 1 0 58272 0 1 51282
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_148
+timestamp 1621261055
+transform 1 0 1152 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_951
+timestamp 1621261055
+transform 1 0 3840 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_74_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_952
+timestamp 1621261055
+transform 1 0 9120 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_74_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_953
+timestamp 1621261055
+transform 1 0 14400 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_74_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_954
+timestamp 1621261055
+transform 1 0 19680 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_74_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _021_
+timestamp 1621261055
+transform 1 0 27072 0 -1 52614
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _105_
+timestamp 1621261055
+transform 1 0 25440 0 -1 52614
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_955
+timestamp 1621261055
+transform 1 0 24960 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_141
+timestamp 1621261055
+transform 1 0 25248 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_256
+timestamp 1621261055
+transform 1 0 25728 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_74_264
+timestamp 1621261055
+transform 1 0 26496 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_268
+timestamp 1621261055
+transform 1 0 26880 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_74_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_956
+timestamp 1621261055
+transform 1 0 30240 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_957
+timestamp 1621261055
+transform 1 0 35520 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_74_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_958
+timestamp 1621261055
+transform 1 0 40800 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_74_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_959
+timestamp 1621261055
+transform 1 0 46080 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_205
+timestamp 1621261055
+transform -1 0 48000 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_74_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_74_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _201_
+timestamp 1621261055
+transform -1 0 48288 0 -1 52614
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_491
+timestamp 1621261055
+transform 1 0 48288 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_499
+timestamp 1621261055
+transform 1 0 49056 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_507
+timestamp 1621261055
+transform 1 0 49824 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_960
+timestamp 1621261055
+transform 1 0 51360 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_515
+timestamp 1621261055
+transform 1 0 50592 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_961
+timestamp 1621261055
+transform 1 0 56640 0 -1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_74_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_74_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_149
+timestamp 1621261055
+transform -1 0 58848 0 -1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_74_595
+timestamp 1621261055
+transform 1 0 58272 0 -1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_150
+timestamp 1621261055
+transform 1 0 1152 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_4
+timestamp 1621261055
+transform 1 0 1536 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_12
+timestamp 1621261055
+transform 1 0 2304 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_20
+timestamp 1621261055
+transform 1 0 3072 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_28
+timestamp 1621261055
+transform 1 0 3840 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_36
+timestamp 1621261055
+transform 1 0 4608 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_44
+timestamp 1621261055
+transform 1 0 5376 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_52
+timestamp 1621261055
+transform 1 0 6144 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_75_54
+timestamp 1621261055
+transform 1 0 6336 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_962
+timestamp 1621261055
+transform 1 0 6432 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_56
+timestamp 1621261055
+transform 1 0 6528 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_64
+timestamp 1621261055
+transform 1 0 7296 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_72
+timestamp 1621261055
+transform 1 0 8064 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_80
+timestamp 1621261055
+transform 1 0 8832 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_88
+timestamp 1621261055
+transform 1 0 9600 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_96
+timestamp 1621261055
+transform 1 0 10368 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_75_104
+timestamp 1621261055
+transform 1 0 11136 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_108
+timestamp 1621261055
+transform 1 0 11520 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_963
+timestamp 1621261055
+transform 1 0 11712 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_111
+timestamp 1621261055
+transform 1 0 11808 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_119
+timestamp 1621261055
+transform 1 0 12576 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_127
+timestamp 1621261055
+transform 1 0 13344 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_135
+timestamp 1621261055
+transform 1 0 14112 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_143
+timestamp 1621261055
+transform 1 0 14880 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_151
+timestamp 1621261055
+transform 1 0 15648 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_75_159
+timestamp 1621261055
+transform 1 0 16416 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_964
+timestamp 1621261055
+transform 1 0 16992 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_163
+timestamp 1621261055
+transform 1 0 16800 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_166
+timestamp 1621261055
+transform 1 0 17088 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_174
+timestamp 1621261055
+transform 1 0 17856 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_182
+timestamp 1621261055
+transform 1 0 18624 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_190
+timestamp 1621261055
+transform 1 0 19392 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_198
+timestamp 1621261055
+transform 1 0 20160 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_206
+timestamp 1621261055
+transform 1 0 20928 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_75_214
+timestamp 1621261055
+transform 1 0 21696 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_965
+timestamp 1621261055
+transform 1 0 22272 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_218
+timestamp 1621261055
+transform 1 0 22080 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_221
+timestamp 1621261055
+transform 1 0 22368 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_229
+timestamp 1621261055
+transform 1 0 23136 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_237
+timestamp 1621261055
+transform 1 0 23904 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_245
+timestamp 1621261055
+transform 1 0 24672 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_253
+timestamp 1621261055
+transform 1 0 25440 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_261
+timestamp 1621261055
+transform 1 0 26208 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_75_269
+timestamp 1621261055
+transform 1 0 26976 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_966
+timestamp 1621261055
+transform 1 0 27552 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_273
+timestamp 1621261055
+transform 1 0 27360 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_276
+timestamp 1621261055
+transform 1 0 27648 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_284
+timestamp 1621261055
+transform 1 0 28416 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_292
+timestamp 1621261055
+transform 1 0 29184 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _186_
+timestamp 1621261055
+transform -1 0 31488 0 1 52614
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_197
+timestamp 1621261055
+transform -1 0 31200 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_300
+timestamp 1621261055
+transform 1 0 29952 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_308
+timestamp 1621261055
+transform 1 0 30720 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_75_310
+timestamp 1621261055
+transform 1 0 30912 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_316
+timestamp 1621261055
+transform 1 0 31488 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_75_324
+timestamp 1621261055
+transform 1 0 32256 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _056_
+timestamp 1621261055
+transform 1 0 33312 0 1 52614
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_967
+timestamp 1621261055
+transform 1 0 32832 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_328
+timestamp 1621261055
+transform 1 0 32640 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_75_331
+timestamp 1621261055
+transform 1 0 32928 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_338
+timestamp 1621261055
+transform 1 0 33600 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_346
+timestamp 1621261055
+transform 1 0 34368 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_354
+timestamp 1621261055
+transform 1 0 35136 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_362
+timestamp 1621261055
+transform 1 0 35904 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_370
+timestamp 1621261055
+transform 1 0 36672 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_75_378
+timestamp 1621261055
+transform 1 0 37440 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_968
+timestamp 1621261055
+transform 1 0 38112 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_382
+timestamp 1621261055
+transform 1 0 37824 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_75_384
+timestamp 1621261055
+transform 1 0 38016 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_386
+timestamp 1621261055
+transform 1 0 38208 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_394
+timestamp 1621261055
+transform 1 0 38976 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_402
+timestamp 1621261055
+transform 1 0 39744 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_410
+timestamp 1621261055
+transform 1 0 40512 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_418
+timestamp 1621261055
+transform 1 0 41280 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_426
+timestamp 1621261055
+transform 1 0 42048 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_969
+timestamp 1621261055
+transform 1 0 43392 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_75_434
+timestamp 1621261055
+transform 1 0 42816 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_438
+timestamp 1621261055
+transform 1 0 43200 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_441
+timestamp 1621261055
+transform 1 0 43488 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_449
+timestamp 1621261055
+transform 1 0 44256 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_457
+timestamp 1621261055
+transform 1 0 45024 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_465
+timestamp 1621261055
+transform 1 0 45792 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_473
+timestamp 1621261055
+transform 1 0 46560 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_481
+timestamp 1621261055
+transform 1 0 47328 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_970
+timestamp 1621261055
+transform 1 0 48672 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_75_489
+timestamp 1621261055
+transform 1 0 48096 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_493
+timestamp 1621261055
+transform 1 0 48480 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_496
+timestamp 1621261055
+transform 1 0 48768 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_504
+timestamp 1621261055
+transform 1 0 49536 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_512
+timestamp 1621261055
+transform 1 0 50304 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_520
+timestamp 1621261055
+transform 1 0 51072 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_528
+timestamp 1621261055
+transform 1 0 51840 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_536
+timestamp 1621261055
+transform 1 0 52608 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_971
+timestamp 1621261055
+transform 1 0 53952 0 1 52614
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_75_544
+timestamp 1621261055
+transform 1 0 53376 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_548
+timestamp 1621261055
+transform 1 0 53760 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_551
+timestamp 1621261055
+transform 1 0 54048 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_559
+timestamp 1621261055
+transform 1 0 54816 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_567
+timestamp 1621261055
+transform 1 0 55584 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_575
+timestamp 1621261055
+transform 1 0 56352 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_75_583
+timestamp 1621261055
+transform 1 0 57120 0 1 52614
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_75_591
+timestamp 1621261055
+transform 1 0 57888 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_151
+timestamp 1621261055
+transform -1 0 58848 0 1 52614
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_75_595
+timestamp 1621261055
+transform 1 0 58272 0 1 52614
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_152
+timestamp 1621261055
+transform 1 0 1152 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_12
+timestamp 1621261055
+transform 1 0 2304 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_20
+timestamp 1621261055
+transform 1 0 3072 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_972
+timestamp 1621261055
+transform 1 0 3840 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_61
+timestamp 1621261055
+transform 1 0 7008 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_69
+timestamp 1621261055
+transform 1 0 7776 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_76_77
+timestamp 1621261055
+transform 1 0 8544 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_76_81
+timestamp 1621261055
+transform 1 0 8928 0 -1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_973
+timestamp 1621261055
+transform 1 0 9120 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_76_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _006_
+timestamp 1621261055
+transform 1 0 11712 0 -1 53946
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_113
+timestamp 1621261055
+transform 1 0 12000 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_121
+timestamp 1621261055
+transform 1 0 12768 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_129
+timestamp 1621261055
+transform 1 0 13536 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _071_
+timestamp 1621261055
+transform 1 0 16704 0 -1 53946
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_974
+timestamp 1621261055
+transform 1 0 14400 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_1  FILLER_76_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_76_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_76_159
+timestamp 1621261055
+transform 1 0 16416 0 -1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_76_161
+timestamp 1621261055
+transform 1 0 16608 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_165
+timestamp 1621261055
+transform 1 0 16992 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_173
+timestamp 1621261055
+transform 1 0 17760 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_181
+timestamp 1621261055
+transform 1 0 18528 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_76_189
+timestamp 1621261055
+transform 1 0 19296 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_975
+timestamp 1621261055
+transform 1 0 19680 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_76_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_976
+timestamp 1621261055
+transform 1 0 24960 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_76_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_76_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_977
+timestamp 1621261055
+transform 1 0 30240 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_76_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_978
+timestamp 1621261055
+transform 1 0 35520 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_76_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_76_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_979
+timestamp 1621261055
+transform 1 0 40800 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_76_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_76_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_430
+timestamp 1621261055
+transform 1 0 42432 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_438
+timestamp 1621261055
+transform 1 0 43200 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_446
+timestamp 1621261055
+transform 1 0 43968 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_454
+timestamp 1621261055
+transform 1 0 44736 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_980
+timestamp 1621261055
+transform 1 0 46080 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_76_462
+timestamp 1621261055
+transform 1 0 45504 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_76_466
+timestamp 1621261055
+transform 1 0 45888 0 -1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_981
+timestamp 1621261055
+transform 1 0 51360 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_76_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_76_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_982
+timestamp 1621261055
+transform 1 0 56640 0 -1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output436
+timestamp 1621261055
+transform -1 0 58080 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_86
+timestamp 1621261055
+transform -1 0 57696 0 -1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_76_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_76_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_76_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_76_593
+timestamp 1621261055
+transform 1 0 58080 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_153
+timestamp 1621261055
+transform -1 0 58848 0 -1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_8
+timestamp 1621261055
+transform 1 0 1920 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_4
+timestamp 1621261055
+transform 1 0 1536 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_4
+timestamp 1621261055
+transform 1 0 1536 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_156
+timestamp 1621261055
+transform 1 0 1152 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_154
+timestamp 1621261055
+transform 1 0 1152 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_16
+timestamp 1621261055
+transform 1 0 2688 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_78_10
+timestamp 1621261055
+transform 1 0 2112 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_12
+timestamp 1621261055
+transform 1 0 2304 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_165
+timestamp 1621261055
+transform 1 0 2208 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _127_
+timestamp 1621261055
+transform 1 0 2400 0 -1 55278
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_24
+timestamp 1621261055
+transform 1 0 3456 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_20
+timestamp 1621261055
+transform 1 0 3072 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_28
+timestamp 1621261055
+transform 1 0 3840 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_993
+timestamp 1621261055
+transform 1 0 3840 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_44
+timestamp 1621261055
+transform 1 0 5376 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_36
+timestamp 1621261055
+transform 1 0 4608 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_53
+timestamp 1621261055
+transform 1 0 6240 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_45
+timestamp 1621261055
+transform 1 0 5472 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_52
+timestamp 1621261055
+transform 1 0 6144 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_77_54
+timestamp 1621261055
+transform 1 0 6336 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_60
+timestamp 1621261055
+transform 1 0 6912 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_56
+timestamp 1621261055
+transform 1 0 6528 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_983
+timestamp 1621261055
+transform 1 0 6432 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _041_
+timestamp 1621261055
+transform 1 0 6624 0 -1 55278
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_68
+timestamp 1621261055
+transform 1 0 7680 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_77_66
+timestamp 1621261055
+transform 1 0 7488 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_64
+timestamp 1621261055
+transform 1 0 7296 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_214
+timestamp 1621261055
+transform 1 0 7584 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _206_
+timestamp 1621261055
+transform 1 0 7776 0 1 53946
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_80
+timestamp 1621261055
+transform 1 0 8832 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_76
+timestamp 1621261055
+transform 1 0 8448 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_80
+timestamp 1621261055
+transform 1 0 8832 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_72
+timestamp 1621261055
+transform 1 0 8064 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_78_82
+timestamp 1621261055
+transform 1 0 9024 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_88
+timestamp 1621261055
+transform 1 0 9600 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_994
+timestamp 1621261055
+transform 1 0 9120 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_96
+timestamp 1621261055
+transform 1 0 10368 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_100
+timestamp 1621261055
+transform 1 0 10752 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_104
+timestamp 1621261055
+transform 1 0 11136 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_108
+timestamp 1621261055
+transform 1 0 11520 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_108
+timestamp 1621261055
+transform 1 0 11520 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_984
+timestamp 1621261055
+transform 1 0 11712 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_111
+timestamp 1621261055
+transform 1 0 11808 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_119
+timestamp 1621261055
+transform 1 0 12576 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_127
+timestamp 1621261055
+transform 1 0 13344 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_135
+timestamp 1621261055
+transform 1 0 14112 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_116
+timestamp 1621261055
+transform 1 0 12288 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_124
+timestamp 1621261055
+transform 1 0 13056 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_132
+timestamp 1621261055
+transform 1 0 13824 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_995
+timestamp 1621261055
+transform 1 0 14400 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_143
+timestamp 1621261055
+transform 1 0 14880 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_151
+timestamp 1621261055
+transform 1 0 15648 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_159
+timestamp 1621261055
+transform 1 0 16416 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_136
+timestamp 1621261055
+transform 1 0 14208 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_985
+timestamp 1621261055
+transform 1 0 16992 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_163
+timestamp 1621261055
+transform 1 0 16800 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_166
+timestamp 1621261055
+transform 1 0 17088 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_174
+timestamp 1621261055
+transform 1 0 17856 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_182
+timestamp 1621261055
+transform 1 0 18624 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_171
+timestamp 1621261055
+transform 1 0 17568 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_179
+timestamp 1621261055
+transform 1 0 18336 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_187
+timestamp 1621261055
+transform 1 0 19104 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_996
+timestamp 1621261055
+transform 1 0 19680 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_190
+timestamp 1621261055
+transform 1 0 19392 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_198
+timestamp 1621261055
+transform 1 0 20160 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_206
+timestamp 1621261055
+transform 1 0 20928 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_214
+timestamp 1621261055
+transform 1 0 21696 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_191
+timestamp 1621261055
+transform 1 0 19488 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_210
+timestamp 1621261055
+transform 1 0 21312 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_986
+timestamp 1621261055
+transform 1 0 22272 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_218
+timestamp 1621261055
+transform 1 0 22080 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_221
+timestamp 1621261055
+transform 1 0 22368 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_229
+timestamp 1621261055
+transform 1 0 23136 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_237
+timestamp 1621261055
+transform 1 0 23904 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_218
+timestamp 1621261055
+transform 1 0 22080 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_226
+timestamp 1621261055
+transform 1 0 22848 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_234
+timestamp 1621261055
+transform 1 0 23616 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_242
+timestamp 1621261055
+transform 1 0 24384 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_997
+timestamp 1621261055
+transform 1 0 24960 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_245
+timestamp 1621261055
+transform 1 0 24672 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_253
+timestamp 1621261055
+transform 1 0 25440 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_261
+timestamp 1621261055
+transform 1 0 26208 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_269
+timestamp 1621261055
+transform 1 0 26976 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_246
+timestamp 1621261055
+transform 1 0 24768 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_265
+timestamp 1621261055
+transform 1 0 26592 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_273
+timestamp 1621261055
+transform 1 0 27360 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_276
+timestamp 1621261055
+transform 1 0 27648 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_273
+timestamp 1621261055
+transform 1 0 27360 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_987
+timestamp 1621261055
+transform 1 0 27552 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_281
+timestamp 1621261055
+transform 1 0 28128 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_283
+timestamp 1621261055
+transform 1 0 28320 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _156_
+timestamp 1621261055
+transform 1 0 28032 0 1 53946
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_289
+timestamp 1621261055
+transform 1 0 28896 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_291
+timestamp 1621261055
+transform 1 0 29088 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_297
+timestamp 1621261055
+transform 1 0 29664 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_998
+timestamp 1621261055
+transform 1 0 30240 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_299
+timestamp 1621261055
+transform 1 0 29856 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_307
+timestamp 1621261055
+transform 1 0 30624 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_315
+timestamp 1621261055
+transform 1 0 31392 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_323
+timestamp 1621261055
+transform 1 0 32160 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_301
+timestamp 1621261055
+transform 1 0 30048 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_988
+timestamp 1621261055
+transform 1 0 32832 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_327
+timestamp 1621261055
+transform 1 0 32544 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_77_329
+timestamp 1621261055
+transform 1 0 32736 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_331
+timestamp 1621261055
+transform 1 0 32928 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_339
+timestamp 1621261055
+transform 1 0 33696 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_347
+timestamp 1621261055
+transform 1 0 34464 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_344
+timestamp 1621261055
+transform 1 0 34176 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_999
+timestamp 1621261055
+transform 1 0 35520 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_355
+timestamp 1621261055
+transform 1 0 35232 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_363
+timestamp 1621261055
+transform 1 0 36000 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_371
+timestamp 1621261055
+transform 1 0 36768 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_989
+timestamp 1621261055
+transform 1 0 38112 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_379
+timestamp 1621261055
+transform 1 0 37536 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_383
+timestamp 1621261055
+transform 1 0 37920 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_386
+timestamp 1621261055
+transform 1 0 38208 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_394
+timestamp 1621261055
+transform 1 0 38976 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_402
+timestamp 1621261055
+transform 1 0 39744 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_391
+timestamp 1621261055
+transform 1 0 38688 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_399
+timestamp 1621261055
+transform 1 0 39456 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_411
+timestamp 1621261055
+transform 1 0 40608 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_407
+timestamp 1621261055
+transform 1 0 40224 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_410
+timestamp 1621261055
+transform 1 0 40512 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1000
+timestamp 1621261055
+transform 1 0 40800 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_421
+timestamp 1621261055
+transform 1 0 41568 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_418
+timestamp 1621261055
+transform 1 0 41280 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_230
+timestamp 1621261055
+transform -1 0 41280 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _189_
+timestamp 1621261055
+transform -1 0 41568 0 -1 55278
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_429
+timestamp 1621261055
+transform 1 0 42336 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_426
+timestamp 1621261055
+transform 1 0 42048 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_437
+timestamp 1621261055
+transform 1 0 43104 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_438
+timestamp 1621261055
+transform 1 0 43200 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_434
+timestamp 1621261055
+transform 1 0 42816 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_990
+timestamp 1621261055
+transform 1 0 43392 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_445
+timestamp 1621261055
+transform 1 0 43872 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_449
+timestamp 1621261055
+transform 1 0 44256 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_441
+timestamp 1621261055
+transform 1 0 43488 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_453
+timestamp 1621261055
+transform 1 0 44640 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_454
+timestamp 1621261055
+transform 1 0 44736 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__conb_1  _054_
+timestamp 1621261055
+transform 1 0 45120 0 1 53946
+box -38 -49 326 715
+use sky130_fd_sc_ls__conb_1  _007_
+timestamp 1621261055
+transform 1 0 44448 0 1 53946
+box -38 -49 326 715
+use sky130_fd_sc_ls__fill_1  FILLER_78_467
+timestamp 1621261055
+transform 1 0 45984 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_465
+timestamp 1621261055
+transform 1 0 45792 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_461
+timestamp 1621261055
+transform 1 0 45408 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_461
+timestamp 1621261055
+transform 1 0 45408 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_469
+timestamp 1621261055
+transform 1 0 46176 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1001
+timestamp 1621261055
+transform 1 0 46080 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_485
+timestamp 1621261055
+transform 1 0 47712 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_477
+timestamp 1621261055
+transform 1 0 46944 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_991
+timestamp 1621261055
+transform 1 0 48672 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_493
+timestamp 1621261055
+transform 1 0 48480 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_496
+timestamp 1621261055
+transform 1 0 48768 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_504
+timestamp 1621261055
+transform 1 0 49536 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_512
+timestamp 1621261055
+transform 1 0 50304 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_493
+timestamp 1621261055
+transform 1 0 48480 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_501
+timestamp 1621261055
+transform 1 0 49248 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_520
+timestamp 1621261055
+transform 1 0 51072 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_528
+timestamp 1621261055
+transform 1 0 51840 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1002
+timestamp 1621261055
+transform 1 0 51360 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_537
+timestamp 1621261055
+transform 1 0 52704 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_119
+timestamp 1621261055
+transform -1 0 52416 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _072_
+timestamp 1621261055
+transform -1 0 52704 0 1 53946
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_540
+timestamp 1621261055
+transform 1 0 52992 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_992
+timestamp 1621261055
+transform 1 0 53952 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_545
+timestamp 1621261055
+transform 1 0 53472 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_77_549
+timestamp 1621261055
+transform 1 0 53856 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_551
+timestamp 1621261055
+transform 1 0 54048 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_559
+timestamp 1621261055
+transform 1 0 54816 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_567
+timestamp 1621261055
+transform 1 0 55584 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_548
+timestamp 1621261055
+transform 1 0 53760 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_556
+timestamp 1621261055
+transform 1 0 54528 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_564
+timestamp 1621261055
+transform 1 0 55296 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_78_576
+timestamp 1621261055
+transform 1 0 56448 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_572
+timestamp 1621261055
+transform 1 0 56064 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_77_573
+timestamp 1621261055
+transform 1 0 56160 0 1 53946
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_77_571
+timestamp 1621261055
+transform 1 0 55968 0 1 53946
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _037_
+timestamp 1621261055
+transform 1 0 56256 0 1 53946
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_78_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_77_577
+timestamp 1621261055
+transform 1 0 56544 0 1 53946
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1003
+timestamp 1621261055
+transform 1 0 56640 0 -1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_78_593
+timestamp 1621261055
+transform 1 0 58080 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_593
+timestamp 1621261055
+transform 1 0 58080 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_77_585
+timestamp 1621261055
+transform 1 0 57312 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_38
+timestamp 1621261055
+transform -1 0 57696 0 -1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output435
+timestamp 1621261055
+transform 1 0 57696 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output398
+timestamp 1621261055
+transform -1 0 58080 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_155
+timestamp 1621261055
+transform -1 0 58848 0 1 53946
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_157
+timestamp 1621261055
+transform -1 0 58848 0 -1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_158
+timestamp 1621261055
+transform 1 0 1152 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output406
+timestamp 1621261055
+transform 1 0 1536 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_8
+timestamp 1621261055
+transform 1 0 1920 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_16
+timestamp 1621261055
+transform 1 0 2688 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_24
+timestamp 1621261055
+transform 1 0 3456 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output428
+timestamp 1621261055
+transform 1 0 4320 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_76
+timestamp 1621261055
+transform 1 0 4128 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_28
+timestamp 1621261055
+transform 1 0 3840 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_79_30
+timestamp 1621261055
+transform 1 0 4032 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_37
+timestamp 1621261055
+transform 1 0 4704 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_45
+timestamp 1621261055
+transform 1 0 5472 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_53
+timestamp 1621261055
+transform 1 0 6240 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _131_
+timestamp 1621261055
+transform 1 0 8448 0 1 55278
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1004
+timestamp 1621261055
+transform 1 0 6432 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output438
+timestamp 1621261055
+transform 1 0 7488 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_88
+timestamp 1621261055
+transform -1 0 9120 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_171
+timestamp 1621261055
+transform 1 0 8256 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_56
+timestamp 1621261055
+transform 1 0 6528 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_64
+timestamp 1621261055
+transform 1 0 7296 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_70
+timestamp 1621261055
+transform 1 0 7872 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_79
+timestamp 1621261055
+transform 1 0 8736 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output439
+timestamp 1621261055
+transform -1 0 9504 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_87
+timestamp 1621261055
+transform 1 0 9504 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_95
+timestamp 1621261055
+transform 1 0 10272 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_103
+timestamp 1621261055
+transform 1 0 11040 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_107
+timestamp 1621261055
+transform 1 0 11424 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1005
+timestamp 1621261055
+transform 1 0 11712 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output442
+timestamp 1621261055
+transform 1 0 13824 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_79_109
+timestamp 1621261055
+transform 1 0 11616 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_111
+timestamp 1621261055
+transform 1 0 11808 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_119
+timestamp 1621261055
+transform 1 0 12576 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_127
+timestamp 1621261055
+transform 1 0 13344 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_79_131
+timestamp 1621261055
+transform 1 0 13728 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__conb_1  _122_
+timestamp 1621261055
+transform 1 0 15744 0 1 55278
+box -38 -49 326 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_161
+timestamp 1621261055
+transform 1 0 15552 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_136
+timestamp 1621261055
+transform 1 0 14208 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_144
+timestamp 1621261055
+transform 1 0 14976 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_148
+timestamp 1621261055
+transform 1 0 15360 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_155
+timestamp 1621261055
+transform 1 0 16032 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1006
+timestamp 1621261055
+transform 1 0 16992 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_163
+timestamp 1621261055
+transform 1 0 16800 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_166
+timestamp 1621261055
+transform 1 0 17088 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_174
+timestamp 1621261055
+transform 1 0 17856 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_182
+timestamp 1621261055
+transform 1 0 18624 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_2  output409
+timestamp 1621261055
+transform -1 0 20544 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_46
+timestamp 1621261055
+transform -1 0 20160 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_190
+timestamp 1621261055
+transform 1 0 19392 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_194
+timestamp 1621261055
+transform 1 0 19776 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_202
+timestamp 1621261055
+transform 1 0 20544 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_210
+timestamp 1621261055
+transform 1 0 21312 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1007
+timestamp 1621261055
+transform 1 0 22272 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output411
+timestamp 1621261055
+transform -1 0 23712 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_50
+timestamp 1621261055
+transform -1 0 23328 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_218
+timestamp 1621261055
+transform 1 0 22080 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_221
+timestamp 1621261055
+transform 1 0 22368 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_235
+timestamp 1621261055
+transform 1 0 23712 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_243
+timestamp 1621261055
+transform 1 0 24480 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output412
+timestamp 1621261055
+transform 1 0 24864 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_251
+timestamp 1621261055
+transform 1 0 25248 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_259
+timestamp 1621261055
+transform 1 0 26016 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_267
+timestamp 1621261055
+transform 1 0 26784 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1008
+timestamp 1621261055
+transform 1 0 27552 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_276
+timestamp 1621261055
+transform 1 0 27648 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_284
+timestamp 1621261055
+transform 1 0 28416 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_292
+timestamp 1621261055
+transform 1 0 29184 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_300
+timestamp 1621261055
+transform 1 0 29952 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_308
+timestamp 1621261055
+transform 1 0 30720 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_316
+timestamp 1621261055
+transform 1 0 31488 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_324
+timestamp 1621261055
+transform 1 0 32256 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1009
+timestamp 1621261055
+transform 1 0 32832 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_328
+timestamp 1621261055
+transform 1 0 32640 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_331
+timestamp 1621261055
+transform 1 0 32928 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_339
+timestamp 1621261055
+transform 1 0 33696 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_347
+timestamp 1621261055
+transform 1 0 34464 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_355
+timestamp 1621261055
+transform 1 0 35232 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_363
+timestamp 1621261055
+transform 1 0 36000 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_371
+timestamp 1621261055
+transform 1 0 36768 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1010
+timestamp 1621261055
+transform 1 0 38112 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output422
+timestamp 1621261055
+transform -1 0 39456 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_64
+timestamp 1621261055
+transform -1 0 39072 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_379
+timestamp 1621261055
+transform 1 0 37536 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_383
+timestamp 1621261055
+transform 1 0 37920 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_386
+timestamp 1621261055
+transform 1 0 38208 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_390
+timestamp 1621261055
+transform 1 0 38592 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_79_392
+timestamp 1621261055
+transform 1 0 38784 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_399
+timestamp 1621261055
+transform 1 0 39456 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_79_409
+timestamp 1621261055
+transform 1 0 40416 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_407
+timestamp 1621261055
+transform 1 0 40224 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_66
+timestamp 1621261055
+transform -1 0 40704 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output423
+timestamp 1621261055
+transform -1 0 41088 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_79_418
+timestamp 1621261055
+transform 1 0 41280 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_416
+timestamp 1621261055
+transform 1 0 41088 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_193
+timestamp 1621261055
+transform 1 0 41376 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__conb_1  _153_
+timestamp 1621261055
+transform 1 0 41568 0 1 55278
+box -38 -49 326 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_424
+timestamp 1621261055
+transform 1 0 41856 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_432
+timestamp 1621261055
+transform 1 0 42624 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _159_
+timestamp 1621261055
+transform 1 0 43872 0 1 55278
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1011
+timestamp 1621261055
+transform 1 0 43392 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_72
+timestamp 1621261055
+transform -1 0 45408 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_93
+timestamp 1621261055
+transform 1 0 43680 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_441
+timestamp 1621261055
+transform 1 0 43488 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_448
+timestamp 1621261055
+transform 1 0 44160 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_456
+timestamp 1621261055
+transform 1 0 44928 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_79_458
+timestamp 1621261055
+transform 1 0 45120 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output426
+timestamp 1621261055
+transform -1 0 45792 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output427
+timestamp 1621261055
+transform 1 0 46944 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_74
+timestamp 1621261055
+transform 1 0 46752 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_465
+timestamp 1621261055
+transform 1 0 45792 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_473
+timestamp 1621261055
+transform 1 0 46560 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_481
+timestamp 1621261055
+transform 1 0 47328 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1012
+timestamp 1621261055
+transform 1 0 48672 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_489
+timestamp 1621261055
+transform 1 0 48096 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_493
+timestamp 1621261055
+transform 1 0 48480 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_496
+timestamp 1621261055
+transform 1 0 48768 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_504
+timestamp 1621261055
+transform 1 0 49536 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_512
+timestamp 1621261055
+transform 1 0 50304 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_2  output431
+timestamp 1621261055
+transform -1 0 52128 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_80
+timestamp 1621261055
+transform -1 0 51744 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_81
+timestamp 1621261055
+transform -1 0 52320 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_520
+timestamp 1621261055
+transform 1 0 51072 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_79_524
+timestamp 1621261055
+transform 1 0 51456 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_533
+timestamp 1621261055
+transform 1 0 52320 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__conb_1  _018_
+timestamp 1621261055
+transform 1 0 54432 0 1 55278
+box -38 -49 326 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1013
+timestamp 1621261055
+transform 1 0 53952 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_541
+timestamp 1621261055
+transform 1 0 53088 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_79_549
+timestamp 1621261055
+transform 1 0 53856 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_551
+timestamp 1621261055
+transform 1 0 54048 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_558
+timestamp 1621261055
+transform 1 0 54720 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_8  FILLER_79_566
+timestamp 1621261055
+transform 1 0 55488 0 1 55278
+box -38 -49 806 715
+use sky130_fd_sc_ls__clkbuf_2  output397
+timestamp 1621261055
+transform -1 0 57888 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output434
+timestamp 1621261055
+transform 1 0 56448 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_37
+timestamp 1621261055
+transform -1 0 57504 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_574
+timestamp 1621261055
+transform 1 0 56256 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_580
+timestamp 1621261055
+transform 1 0 56832 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_79_584
+timestamp 1621261055
+transform 1 0 57216 0 1 55278
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_79_591
+timestamp 1621261055
+transform 1 0 57888 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_159
+timestamp 1621261055
+transform -1 0 58848 0 1 55278
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_79_595
+timestamp 1621261055
+transform 1 0 58272 0 1 55278
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  PHY_160
+timestamp 1621261055
+transform 1 0 1152 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output368
+timestamp 1621261055
+transform 1 0 1536 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output379
+timestamp 1621261055
+transform 1 0 2304 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output417
+timestamp 1621261055
+transform 1 0 3072 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_1
+timestamp 1621261055
+transform 1 0 1920 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_13
+timestamp 1621261055
+transform 1 0 2112 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_16
+timestamp 1621261055
+transform 1 0 2688 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_24
+timestamp 1621261055
+transform 1 0 3456 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_29
+timestamp 1621261055
+transform 1 0 3936 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_26
+timestamp 1621261055
+transform 1 0 4128 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1014
+timestamp 1621261055
+transform 1 0 3840 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output390
+timestamp 1621261055
+transform 1 0 4320 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_41
+timestamp 1621261055
+transform 1 0 5088 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_37
+timestamp 1621261055
+transform 1 0 4704 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_43
+timestamp 1621261055
+transform 1 0 5280 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output399
+timestamp 1621261055
+transform 1 0 5376 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_48
+timestamp 1621261055
+transform 1 0 5760 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output437
+timestamp 1621261055
+transform 1 0 6144 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output400
+timestamp 1621261055
+transform 1 0 7008 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output401
+timestamp 1621261055
+transform -1 0 8736 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_40
+timestamp 1621261055
+transform -1 0 8352 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_56
+timestamp 1621261055
+transform 1 0 6528 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_60
+timestamp 1621261055
+transform 1 0 6912 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_80_65
+timestamp 1621261055
+transform 1 0 7392 0 -1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_79
+timestamp 1621261055
+transform 1 0 8736 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1015
+timestamp 1621261055
+transform 1 0 9120 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output402
+timestamp 1621261055
+transform 1 0 10176 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output440
+timestamp 1621261055
+transform 1 0 10944 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_42
+timestamp 1621261055
+transform 1 0 11520 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_90
+timestamp 1621261055
+transform 1 0 10752 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_80_84
+timestamp 1621261055
+transform 1 0 9216 0 -1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_92
+timestamp 1621261055
+transform 1 0 9984 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_98
+timestamp 1621261055
+transform 1 0 10560 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_106
+timestamp 1621261055
+transform 1 0 11328 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output403
+timestamp 1621261055
+transform 1 0 11712 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output404
+timestamp 1621261055
+transform 1 0 13344 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output441
+timestamp 1621261055
+transform 1 0 12480 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_114
+timestamp 1621261055
+transform 1 0 12096 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_122
+timestamp 1621261055
+transform 1 0 12864 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_126
+timestamp 1621261055
+transform 1 0 13248 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_131
+timestamp 1621261055
+transform 1 0 13728 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_135
+timestamp 1621261055
+transform 1 0 14112 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1016
+timestamp 1621261055
+transform 1 0 14400 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output405
+timestamp 1621261055
+transform 1 0 14880 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output443
+timestamp 1621261055
+transform -1 0 16032 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_92
+timestamp 1621261055
+transform -1 0 15648 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_137
+timestamp 1621261055
+transform 1 0 14304 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_139
+timestamp 1621261055
+transform 1 0 14496 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_147
+timestamp 1621261055
+transform 1 0 15264 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_80_155
+timestamp 1621261055
+transform 1 0 16032 0 -1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_163
+timestamp 1621261055
+transform 1 0 16800 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output407
+timestamp 1621261055
+transform 1 0 16992 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_169
+timestamp 1621261055
+transform 1 0 17376 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_173
+timestamp 1621261055
+transform 1 0 17760 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_5
+timestamp 1621261055
+transform 1 0 17856 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output370
+timestamp 1621261055
+transform 1 0 18048 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_180
+timestamp 1621261055
+transform 1 0 18432 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_44
+timestamp 1621261055
+transform 1 0 18624 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output408
+timestamp 1621261055
+transform 1 0 18816 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_188
+timestamp 1621261055
+transform 1 0 19200 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_192
+timestamp 1621261055
+transform 1 0 19584 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1017
+timestamp 1621261055
+transform 1 0 19680 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_194
+timestamp 1621261055
+transform 1 0 19776 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_7
+timestamp 1621261055
+transform 1 0 19968 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output371
+timestamp 1621261055
+transform 1 0 20160 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_202
+timestamp 1621261055
+transform 1 0 20544 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_208
+timestamp 1621261055
+transform 1 0 21120 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_206
+timestamp 1621261055
+transform 1 0 20928 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_213
+timestamp 1621261055
+transform 1 0 21600 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output372
+timestamp 1621261055
+transform 1 0 21216 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_48
+timestamp 1621261055
+transform -1 0 21984 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output373
+timestamp 1621261055
+transform 1 0 22752 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output374
+timestamp 1621261055
+transform 1 0 24192 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output410
+timestamp 1621261055
+transform -1 0 22368 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_221
+timestamp 1621261055
+transform 1 0 22368 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_80_229
+timestamp 1621261055
+transform 1 0 23136 0 -1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_237
+timestamp 1621261055
+transform 1 0 23904 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_239
+timestamp 1621261055
+transform 1 0 24096 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1018
+timestamp 1621261055
+transform 1 0 24960 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output375
+timestamp 1621261055
+transform 1 0 25920 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output413
+timestamp 1621261055
+transform -1 0 27072 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_52
+timestamp 1621261055
+transform -1 0 26688 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_244
+timestamp 1621261055
+transform 1 0 24576 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_80_249
+timestamp 1621261055
+transform 1 0 25056 0 -1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_257
+timestamp 1621261055
+transform 1 0 25824 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_262
+timestamp 1621261055
+transform 1 0 26304 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_270
+timestamp 1621261055
+transform 1 0 27072 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_272
+timestamp 1621261055
+transform 1 0 27264 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_9
+timestamp 1621261055
+transform -1 0 27552 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_279
+timestamp 1621261055
+transform 1 0 27936 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output376
+timestamp 1621261055
+transform -1 0 27936 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_54
+timestamp 1621261055
+transform 1 0 28128 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output414
+timestamp 1621261055
+transform 1 0 28320 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_287
+timestamp 1621261055
+transform 1 0 28704 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_291
+timestamp 1621261055
+transform 1 0 29088 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_56
+timestamp 1621261055
+transform -1 0 29472 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output415
+timestamp 1621261055
+transform -1 0 29856 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1019
+timestamp 1621261055
+transform 1 0 30240 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output378
+timestamp 1621261055
+transform -1 0 31104 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output380
+timestamp 1621261055
+transform 1 0 32256 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output416
+timestamp 1621261055
+transform 1 0 31488 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_11
+timestamp 1621261055
+transform -1 0 30720 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_299
+timestamp 1621261055
+transform 1 0 29856 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_304
+timestamp 1621261055
+transform 1 0 30336 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_312
+timestamp 1621261055
+transform 1 0 31104 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_320
+timestamp 1621261055
+transform 1 0 31872 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output381
+timestamp 1621261055
+transform -1 0 34176 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output418
+timestamp 1621261055
+transform -1 0 33408 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output419
+timestamp 1621261055
+transform 1 0 34560 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_15
+timestamp 1621261055
+transform -1 0 33792 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_16
+timestamp 1621261055
+transform -1 0 34368 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_58
+timestamp 1621261055
+transform -1 0 33024 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_60
+timestamp 1621261055
+transform 1 0 34368 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_328
+timestamp 1621261055
+transform 1 0 32640 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_336
+timestamp 1621261055
+transform 1 0 33408 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1020
+timestamp 1621261055
+transform 1 0 35520 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output382
+timestamp 1621261055
+transform 1 0 36000 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output420
+timestamp 1621261055
+transform -1 0 37152 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_62
+timestamp 1621261055
+transform -1 0 36768 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_352
+timestamp 1621261055
+transform 1 0 34944 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_356
+timestamp 1621261055
+transform 1 0 35328 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_359
+timestamp 1621261055
+transform 1 0 35616 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_367
+timestamp 1621261055
+transform 1 0 36384 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_375
+timestamp 1621261055
+transform 1 0 37152 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output384
+timestamp 1621261055
+transform -1 0 38976 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output385
+timestamp 1621261055
+transform 1 0 40032 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output421
+timestamp 1621261055
+transform 1 0 37536 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_19
+timestamp 1621261055
+transform -1 0 38592 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_20
+timestamp 1621261055
+transform -1 0 39168 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_383
+timestamp 1621261055
+transform 1 0 37920 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_387
+timestamp 1621261055
+transform 1 0 38304 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_80_396
+timestamp 1621261055
+transform 1 0 39168 0 -1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_404
+timestamp 1621261055
+transform 1 0 39936 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1021
+timestamp 1621261055
+transform 1 0 40800 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output386
+timestamp 1621261055
+transform 1 0 41760 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output424
+timestamp 1621261055
+transform -1 0 42912 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_68
+timestamp 1621261055
+transform -1 0 42528 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_409
+timestamp 1621261055
+transform 1 0 40416 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_80_414
+timestamp 1621261055
+transform 1 0 40896 0 -1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_422
+timestamp 1621261055
+transform 1 0 41664 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_427
+timestamp 1621261055
+transform 1 0 42144 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_435
+timestamp 1621261055
+transform 1 0 42912 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_21
+timestamp 1621261055
+transform -1 0 43296 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output387
+timestamp 1621261055
+transform -1 0 43680 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_443
+timestamp 1621261055
+transform 1 0 43680 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_70
+timestamp 1621261055
+transform -1 0 44064 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_451
+timestamp 1621261055
+transform 1 0 44448 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output425
+timestamp 1621261055
+transform -1 0 44448 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_453
+timestamp 1621261055
+transform 1 0 44640 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_23
+timestamp 1621261055
+transform -1 0 44928 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__clkbuf_2  output388
+timestamp 1621261055
+transform -1 0 45312 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1022
+timestamp 1621261055
+transform 1 0 46080 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output389
+timestamp 1621261055
+transform -1 0 46944 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_24
+timestamp 1621261055
+transform -1 0 46560 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_28
+timestamp 1621261055
+transform -1 0 48000 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_80_460
+timestamp 1621261055
+transform 1 0 45312 0 -1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_469
+timestamp 1621261055
+transform 1 0 46176 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_80_477
+timestamp 1621261055
+transform 1 0 46944 0 -1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_485
+timestamp 1621261055
+transform 1 0 47712 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output391
+timestamp 1621261055
+transform -1 0 48384 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output392
+timestamp 1621261055
+transform 1 0 49632 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output429
+timestamp 1621261055
+transform -1 0 49152 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output430
+timestamp 1621261055
+transform 1 0 50400 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_29
+timestamp 1621261055
+transform -1 0 48576 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_78
+timestamp 1621261055
+transform -1 0 48768 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_500
+timestamp 1621261055
+transform 1 0 49152 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_80_504
+timestamp 1621261055
+transform 1 0 49536 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_509
+timestamp 1621261055
+transform 1 0 50016 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1023
+timestamp 1621261055
+transform 1 0 51360 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  output393
+timestamp 1621261055
+transform 1 0 51840 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output394
+timestamp 1621261055
+transform -1 0 53184 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_31
+timestamp 1621261055
+transform -1 0 52800 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_517
+timestamp 1621261055
+transform 1 0 50784 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_521
+timestamp 1621261055
+transform 1 0 51168 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_524
+timestamp 1621261055
+transform 1 0 51456 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_532
+timestamp 1621261055
+transform 1 0 52224 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output395
+timestamp 1621261055
+transform -1 0 54720 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output432
+timestamp 1621261055
+transform 1 0 53568 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output433
+timestamp 1621261055
+transform -1 0 55488 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_33
+timestamp 1621261055
+transform -1 0 54336 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_83
+timestamp 1621261055
+transform -1 0 55104 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_84
+timestamp 1621261055
+transform -1 0 55680 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_542
+timestamp 1621261055
+transform 1 0 53184 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_550
+timestamp 1621261055
+transform 1 0 53952 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_558
+timestamp 1621261055
+transform 1 0 54720 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1024
+timestamp 1621261055
+transform 1 0 56640 0 -1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input31
+timestamp 1621261055
+transform 1 0 57696 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output396
+timestamp 1621261055
+transform -1 0 56256 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_35
+timestamp 1621261055
+transform -1 0 55872 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_574
+timestamp 1621261055
+transform 1 0 56256 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_80_579
+timestamp 1621261055
+transform 1 0 56736 0 -1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_80_587
+timestamp 1621261055
+transform 1 0 57504 0 -1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_80_593
+timestamp 1621261055
+transform 1 0 58080 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_161
+timestamp 1621261055
+transform -1 0 58848 0 -1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  PHY_162
+timestamp 1621261055
+transform 1 0 1152 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__buf_2  input1 $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform 1 0 1536 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__buf_2  input12
+timestamp 1621261055
+transform 1 0 2400 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_9
+timestamp 1621261055
+transform 1 0 2016 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_18
+timestamp 1621261055
+transform 1 0 2880 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_26
+timestamp 1621261055
+transform 1 0 3648 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1025
+timestamp 1621261055
+transform 1 0 3840 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input23
+timestamp 1621261055
+transform 1 0 5760 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__buf_2  input32
+timestamp 1621261055
+transform 1 0 4896 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_29
+timestamp 1621261055
+transform 1 0 3936 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_37
+timestamp 1621261055
+transform 1 0 4704 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_44
+timestamp 1621261055
+transform 1 0 5376 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_52
+timestamp 1621261055
+transform 1 0 6144 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1026
+timestamp 1621261055
+transform 1 0 6528 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__buf_2  input33
+timestamp 1621261055
+transform 1 0 7008 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__clkbuf_1  input34
+timestamp 1621261055
+transform 1 0 8064 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_57
+timestamp 1621261055
+transform 1 0 6624 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_66
+timestamp 1621261055
+transform 1 0 7488 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_70
+timestamp 1621261055
+transform 1 0 7872 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_76
+timestamp 1621261055
+transform 1 0 8448 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1027
+timestamp 1621261055
+transform 1 0 9216 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_2  input35
+timestamp 1621261055
+transform 1 0 9696 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__buf_2  input36
+timestamp 1621261055
+transform 1 0 11040 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_85
+timestamp 1621261055
+transform 1 0 9312 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_93
+timestamp 1621261055
+transform 1 0 10080 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_101
+timestamp 1621261055
+transform 1 0 10848 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_108
+timestamp 1621261055
+transform 1 0 11520 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1028
+timestamp 1621261055
+transform 1 0 11904 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__buf_2  input37
+timestamp 1621261055
+transform 1 0 12768 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__clkbuf_2  output369
+timestamp 1621261055
+transform 1 0 13824 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_3
+timestamp 1621261055
+transform 1 0 13632 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_113
+timestamp 1621261055
+transform 1 0 12000 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_126
+timestamp 1621261055
+transform 1 0 13248 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1029
+timestamp 1621261055
+transform 1 0 14592 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__buf_2  input2
+timestamp 1621261055
+transform 1 0 15936 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__clkbuf_1  input38
+timestamp 1621261055
+transform 1 0 15072 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_136
+timestamp 1621261055
+transform 1 0 14208 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_141
+timestamp 1621261055
+transform 1 0 14688 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_149
+timestamp 1621261055
+transform 1 0 15456 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_153
+timestamp 1621261055
+transform 1 0 15840 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_159
+timestamp 1621261055
+transform 1 0 16416 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1030
+timestamp 1621261055
+transform 1 0 17280 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__buf_2  input3
+timestamp 1621261055
+transform 1 0 17760 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__buf_2  input4
+timestamp 1621261055
+transform 1 0 19104 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_167
+timestamp 1621261055
+transform 1 0 17184 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_169
+timestamp 1621261055
+transform 1 0 17376 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_178
+timestamp 1621261055
+transform 1 0 18240 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_186
+timestamp 1621261055
+transform 1 0 19008 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1031
+timestamp 1621261055
+transform 1 0 19968 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__buf_2  input5
+timestamp 1621261055
+transform 1 0 20640 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__clkbuf_1  input6
+timestamp 1621261055
+transform 1 0 21888 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_192
+timestamp 1621261055
+transform 1 0 19584 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_197
+timestamp 1621261055
+transform 1 0 20064 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_201
+timestamp 1621261055
+transform 1 0 20448 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_208
+timestamp 1621261055
+transform 1 0 21120 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1032
+timestamp 1621261055
+transform 1 0 22656 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__buf_2  input7
+timestamp 1621261055
+transform 1 0 23808 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_220
+timestamp 1621261055
+transform 1 0 22272 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_225
+timestamp 1621261055
+transform 1 0 22752 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_233
+timestamp 1621261055
+transform 1 0 23520 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_235
+timestamp 1621261055
+transform 1 0 23712 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_241
+timestamp 1621261055
+transform 1 0 24288 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1033
+timestamp 1621261055
+transform 1 0 25344 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input8
+timestamp 1621261055
+transform 1 0 25824 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__buf_2  input9
+timestamp 1621261055
+transform 1 0 26976 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_249
+timestamp 1621261055
+transform 1 0 25056 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_251
+timestamp 1621261055
+transform 1 0 25248 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_253
+timestamp 1621261055
+transform 1 0 25440 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_261
+timestamp 1621261055
+transform 1 0 26208 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1034
+timestamp 1621261055
+transform 1 0 28032 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input10
+timestamp 1621261055
+transform 1 0 28608 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_274
+timestamp 1621261055
+transform 1 0 27456 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_278
+timestamp 1621261055
+transform 1 0 27840 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_281
+timestamp 1621261055
+transform 1 0 28128 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_285
+timestamp 1621261055
+transform 1 0 28512 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_290
+timestamp 1621261055
+transform 1 0 28992 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1035
+timestamp 1621261055
+transform 1 0 30720 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__buf_2  input11
+timestamp 1621261055
+transform 1 0 29856 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__clkbuf_1  input13
+timestamp 1621261055
+transform 1 0 31680 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_298
+timestamp 1621261055
+transform 1 0 29760 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_304
+timestamp 1621261055
+transform 1 0 30336 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_309
+timestamp 1621261055
+transform 1 0 30816 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_317
+timestamp 1621261055
+transform 1 0 31584 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_322
+timestamp 1621261055
+transform 1 0 32064 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1036
+timestamp 1621261055
+transform 1 0 33408 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__buf_2  input14
+timestamp 1621261055
+transform 1 0 33888 0 1 56610
+box -38 -49 518 715
+use sky130_fd_sc_ls__clkbuf_1  input15
+timestamp 1621261055
+transform 1 0 34848 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output377
+timestamp 1621261055
+transform 1 0 32448 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_330
+timestamp 1621261055
+transform 1 0 32832 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_334
+timestamp 1621261055
+transform 1 0 33216 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_337
+timestamp 1621261055
+transform 1 0 33504 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_346
+timestamp 1621261055
+transform 1 0 34368 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_350
+timestamp 1621261055
+transform 1 0 34752 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1037
+timestamp 1621261055
+transform 1 0 36096 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_4  input16 $PDKPATH/libs.ref/sky130_fd_sc_ls/mag
+timestamp 1621261055
+transform 1 0 36576 0 1 56610
+box -38 -49 614 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_355
+timestamp 1621261055
+transform 1 0 35232 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_363
+timestamp 1621261055
+transform 1 0 36000 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_365
+timestamp 1621261055
+transform 1 0 36192 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_375
+timestamp 1621261055
+transform 1 0 37152 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1038
+timestamp 1621261055
+transform 1 0 38784 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input17
+timestamp 1621261055
+transform 1 0 38016 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_4  input18
+timestamp 1621261055
+transform 1 0 39648 0 1 56610
+box -38 -49 614 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_383
+timestamp 1621261055
+transform 1 0 37920 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_388
+timestamp 1621261055
+transform 1 0 38400 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_393
+timestamp 1621261055
+transform 1 0 38880 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1039
+timestamp 1621261055
+transform 1 0 41472 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input19
+timestamp 1621261055
+transform 1 0 41952 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_2  output383
+timestamp 1621261055
+transform -1 0 40992 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__diode_2  ANTENNA_17
+timestamp 1621261055
+transform -1 0 40608 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_407
+timestamp 1621261055
+transform 1 0 40224 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_415
+timestamp 1621261055
+transform 1 0 40992 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_419
+timestamp 1621261055
+transform 1 0 41376 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_421
+timestamp 1621261055
+transform 1 0 41568 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_429
+timestamp 1621261055
+transform 1 0 42336 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1040
+timestamp 1621261055
+transform 1 0 44160 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_4  input20
+timestamp 1621261055
+transform 1 0 42816 0 1 56610
+box -38 -49 614 715
+use sky130_fd_sc_ls__clkbuf_1  input21
+timestamp 1621261055
+transform 1 0 44640 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_433
+timestamp 1621261055
+transform 1 0 42720 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_440
+timestamp 1621261055
+transform 1 0 43392 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_449
+timestamp 1621261055
+transform 1 0 44256 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_457
+timestamp 1621261055
+transform 1 0 45024 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1041
+timestamp 1621261055
+transform 1 0 46848 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_4  input22
+timestamp 1621261055
+transform 1 0 45888 0 1 56610
+box -38 -49 614 715
+use sky130_fd_sc_ls__clkbuf_1  input24
+timestamp 1621261055
+transform 1 0 47520 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_465
+timestamp 1621261055
+transform 1 0 45792 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_472
+timestamp 1621261055
+transform 1 0 46464 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_477
+timestamp 1621261055
+transform 1 0 46944 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_481
+timestamp 1621261055
+transform 1 0 47328 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1042
+timestamp 1621261055
+transform 1 0 49536 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_4  input25
+timestamp 1621261055
+transform 1 0 48576 0 1 56610
+box -38 -49 614 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_487
+timestamp 1621261055
+transform 1 0 47904 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_491
+timestamp 1621261055
+transform 1 0 48288 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_493
+timestamp 1621261055
+transform 1 0 48480 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_500
+timestamp 1621261055
+transform 1 0 49152 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_505
+timestamp 1621261055
+transform 1 0 49632 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_513
+timestamp 1621261055
+transform 1 0 50400 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1043
+timestamp 1621261055
+transform 1 0 52224 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_4  input26
+timestamp 1621261055
+transform 1 0 50688 0 1 56610
+box -38 -49 614 715
+use sky130_fd_sc_ls__clkbuf_4  input27
+timestamp 1621261055
+transform 1 0 52704 0 1 56610
+box -38 -49 614 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_515
+timestamp 1621261055
+transform 1 0 50592 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_522
+timestamp 1621261055
+transform 1 0 51264 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_530
+timestamp 1621261055
+transform 1 0 52032 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_533
+timestamp 1621261055
+transform 1 0 52320 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1044
+timestamp 1621261055
+transform 1 0 54912 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_1  input28
+timestamp 1621261055
+transform 1 0 53856 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__clkbuf_4  input29
+timestamp 1621261055
+transform 1 0 55392 0 1 56610
+box -38 -49 614 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_543
+timestamp 1621261055
+transform 1 0 53280 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_547
+timestamp 1621261055
+transform 1 0 53664 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_553
+timestamp 1621261055
+transform 1 0 54240 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_557
+timestamp 1621261055
+transform 1 0 54624 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_559
+timestamp 1621261055
+transform 1 0 54816 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_561
+timestamp 1621261055
+transform 1 0 55008 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__tapvpwrvgnd_1  PHY_1045
+timestamp 1621261055
+transform 1 0 57600 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__clkbuf_4  input30
+timestamp 1621261055
+transform 1 0 56640 0 1 56610
+box -38 -49 614 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_571
+timestamp 1621261055
+transform 1 0 55968 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__fill_diode_2  FILLER_81_575
+timestamp 1621261055
+transform 1 0 56352 0 1 56610
+box -38 -49 230 715
+use sky130_fd_sc_ls__fill_1  FILLER_81_577
+timestamp 1621261055
+transform 1 0 56544 0 1 56610
+box -38 -49 134 715
+use sky130_fd_sc_ls__decap_4  FILLER_81_584
+timestamp 1621261055
+transform 1 0 57216 0 1 56610
+box -38 -49 422 715
+use sky130_fd_sc_ls__decap_8  FILLER_81_589
+timestamp 1621261055
+transform 1 0 57696 0 1 56610
+box -38 -49 806 715
+use sky130_fd_sc_ls__decap_4  PHY_163
+timestamp 1621261055
+transform -1 0 58848 0 1 56610
+box -38 -49 422 715
+=======
 timestamp 1631894534
 << locali >>
 rect 29377 19703 29411 20009
@@ -360754,235 +431798,1256 @@
 timestamp 1631889684
 transform -1 0 178848 0 -1 117504
 box -38 -48 314 592
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 << labels >>
-rlabel metal2 s 754 119200 810 120000 6 io_in[0]
+rlabel metal2 s 212 59200 268 60000 6 io_in[0]
 port 0 nsew signal input
-rlabel metal2 s 48134 119200 48190 120000 6 io_in[10]
+rlabel metal2 s 15956 59200 16012 60000 6 io_in[10]
 port 1 nsew signal input
-rlabel metal2 s 52826 119200 52882 120000 6 io_in[11]
+rlabel metal2 s 17492 59200 17548 60000 6 io_in[11]
 port 2 nsew signal input
-rlabel metal2 s 57610 119200 57666 120000 6 io_in[12]
+rlabel metal2 s 19124 59200 19180 60000 6 io_in[12]
 port 3 nsew signal input
-rlabel metal2 s 62302 119200 62358 120000 6 io_in[13]
+rlabel metal2 s 20660 59200 20716 60000 6 io_in[13]
 port 4 nsew signal input
-rlabel metal2 s 67086 119200 67142 120000 6 io_in[14]
+rlabel metal2 s 22292 59200 22348 60000 6 io_in[14]
 port 5 nsew signal input
-rlabel metal2 s 71778 119200 71834 120000 6 io_in[15]
+rlabel metal2 s 23828 59200 23884 60000 6 io_in[15]
 port 6 nsew signal input
-rlabel metal2 s 76562 119200 76618 120000 6 io_in[16]
+rlabel metal2 s 25460 59200 25516 60000 6 io_in[16]
 port 7 nsew signal input
-rlabel metal2 s 81254 119200 81310 120000 6 io_in[17]
+rlabel metal2 s 26996 59200 27052 60000 6 io_in[17]
 port 8 nsew signal input
-rlabel metal2 s 86038 119200 86094 120000 6 io_in[18]
+rlabel metal2 s 28628 59200 28684 60000 6 io_in[18]
 port 9 nsew signal input
-rlabel metal2 s 90730 119200 90786 120000 6 io_in[19]
+rlabel metal2 s 30164 59200 30220 60000 6 io_in[19]
 port 10 nsew signal input
-rlabel metal2 s 5446 119200 5502 120000 6 io_in[1]
+rlabel metal2 s 1748 59200 1804 60000 6 io_in[1]
 port 11 nsew signal input
-rlabel metal2 s 95514 119200 95570 120000 6 io_in[20]
+rlabel metal2 s 31700 59200 31756 60000 6 io_in[20]
 port 12 nsew signal input
-rlabel metal2 s 100206 119200 100262 120000 6 io_in[21]
+rlabel metal2 s 33332 59200 33388 60000 6 io_in[21]
 port 13 nsew signal input
-rlabel metal2 s 104990 119200 105046 120000 6 io_in[22]
+rlabel metal2 s 34868 59200 34924 60000 6 io_in[22]
 port 14 nsew signal input
-rlabel metal2 s 109682 119200 109738 120000 6 io_in[23]
+rlabel metal2 s 36500 59200 36556 60000 6 io_in[23]
 port 15 nsew signal input
-rlabel metal2 s 114466 119200 114522 120000 6 io_in[24]
+rlabel metal2 s 38036 59200 38092 60000 6 io_in[24]
 port 16 nsew signal input
-rlabel metal2 s 119158 119200 119214 120000 6 io_in[25]
+rlabel metal2 s 39668 59200 39724 60000 6 io_in[25]
 port 17 nsew signal input
-rlabel metal2 s 123942 119200 123998 120000 6 io_in[26]
+rlabel metal2 s 41204 59200 41260 60000 6 io_in[26]
 port 18 nsew signal input
-rlabel metal2 s 128634 119200 128690 120000 6 io_in[27]
+rlabel metal2 s 42836 59200 42892 60000 6 io_in[27]
 port 19 nsew signal input
-rlabel metal2 s 133418 119200 133474 120000 6 io_in[28]
+rlabel metal2 s 44372 59200 44428 60000 6 io_in[28]
 port 20 nsew signal input
-rlabel metal2 s 138110 119200 138166 120000 6 io_in[29]
+rlabel metal2 s 45908 59200 45964 60000 6 io_in[29]
 port 21 nsew signal input
-rlabel metal2 s 10230 119200 10286 120000 6 io_in[2]
+rlabel metal2 s 3284 59200 3340 60000 6 io_in[2]
 port 22 nsew signal input
-rlabel metal2 s 142894 119200 142950 120000 6 io_in[30]
+rlabel metal2 s 47540 59200 47596 60000 6 io_in[30]
 port 23 nsew signal input
-rlabel metal2 s 147586 119200 147642 120000 6 io_in[31]
+rlabel metal2 s 49076 59200 49132 60000 6 io_in[31]
 port 24 nsew signal input
-rlabel metal2 s 152370 119200 152426 120000 6 io_in[32]
+rlabel metal2 s 50708 59200 50764 60000 6 io_in[32]
 port 25 nsew signal input
-rlabel metal2 s 157062 119200 157118 120000 6 io_in[33]
+rlabel metal2 s 52244 59200 52300 60000 6 io_in[33]
 port 26 nsew signal input
-rlabel metal2 s 161846 119200 161902 120000 6 io_in[34]
+rlabel metal2 s 53876 59200 53932 60000 6 io_in[34]
 port 27 nsew signal input
-rlabel metal2 s 166538 119200 166594 120000 6 io_in[35]
+rlabel metal2 s 55412 59200 55468 60000 6 io_in[35]
 port 28 nsew signal input
-rlabel metal2 s 171322 119200 171378 120000 6 io_in[36]
+rlabel metal2 s 57044 59200 57100 60000 6 io_in[36]
 port 29 nsew signal input
-rlabel metal2 s 176014 119200 176070 120000 6 io_in[37]
+rlabel metal2 s 58580 59200 58636 60000 6 io_in[37]
 port 30 nsew signal input
-rlabel metal2 s 14922 119200 14978 120000 6 io_in[3]
+rlabel metal2 s 4916 59200 4972 60000 6 io_in[3]
 port 31 nsew signal input
-rlabel metal2 s 19706 119200 19762 120000 6 io_in[4]
+rlabel metal2 s 6452 59200 6508 60000 6 io_in[4]
 port 32 nsew signal input
-rlabel metal2 s 24398 119200 24454 120000 6 io_in[5]
+rlabel metal2 s 8084 59200 8140 60000 6 io_in[5]
 port 33 nsew signal input
-rlabel metal2 s 29182 119200 29238 120000 6 io_in[6]
+rlabel metal2 s 9620 59200 9676 60000 6 io_in[6]
 port 34 nsew signal input
-rlabel metal2 s 33874 119200 33930 120000 6 io_in[7]
+rlabel metal2 s 11252 59200 11308 60000 6 io_in[7]
 port 35 nsew signal input
-rlabel metal2 s 38658 119200 38714 120000 6 io_in[8]
+rlabel metal2 s 12788 59200 12844 60000 6 io_in[8]
 port 36 nsew signal input
-rlabel metal2 s 43350 119200 43406 120000 6 io_in[9]
+rlabel metal2 s 14420 59200 14476 60000 6 io_in[9]
 port 37 nsew signal input
-rlabel metal2 s 2318 119200 2374 120000 6 io_oeb[0]
+rlabel metal2 s 692 59200 748 60000 6 io_oeb[0]
 port 38 nsew signal tristate
-rlabel metal2 s 49698 119200 49754 120000 6 io_oeb[10]
+rlabel metal2 s 16436 59200 16492 60000 6 io_oeb[10]
 port 39 nsew signal tristate
-rlabel metal2 s 54390 119200 54446 120000 6 io_oeb[11]
+rlabel metal2 s 18068 59200 18124 60000 6 io_oeb[11]
 port 40 nsew signal tristate
-rlabel metal2 s 59174 119200 59230 120000 6 io_oeb[12]
+rlabel metal2 s 19604 59200 19660 60000 6 io_oeb[12]
 port 41 nsew signal tristate
-rlabel metal2 s 63866 119200 63922 120000 6 io_oeb[13]
+rlabel metal2 s 21236 59200 21292 60000 6 io_oeb[13]
 port 42 nsew signal tristate
-rlabel metal2 s 68650 119200 68706 120000 6 io_oeb[14]
+rlabel metal2 s 22772 59200 22828 60000 6 io_oeb[14]
 port 43 nsew signal tristate
-rlabel metal2 s 73342 119200 73398 120000 6 io_oeb[15]
+rlabel metal2 s 24404 59200 24460 60000 6 io_oeb[15]
 port 44 nsew signal tristate
-rlabel metal2 s 78126 119200 78182 120000 6 io_oeb[16]
+rlabel metal2 s 25940 59200 25996 60000 6 io_oeb[16]
 port 45 nsew signal tristate
-rlabel metal2 s 82818 119200 82874 120000 6 io_oeb[17]
+rlabel metal2 s 27572 59200 27628 60000 6 io_oeb[17]
 port 46 nsew signal tristate
-rlabel metal2 s 87602 119200 87658 120000 6 io_oeb[18]
+rlabel metal2 s 29108 59200 29164 60000 6 io_oeb[18]
 port 47 nsew signal tristate
-rlabel metal2 s 92294 119200 92350 120000 6 io_oeb[19]
+rlabel metal2 s 30644 59200 30700 60000 6 io_oeb[19]
 port 48 nsew signal tristate
-rlabel metal2 s 7010 119200 7066 120000 6 io_oeb[1]
+rlabel metal2 s 2228 59200 2284 60000 6 io_oeb[1]
 port 49 nsew signal tristate
-rlabel metal2 s 97078 119200 97134 120000 6 io_oeb[20]
+rlabel metal2 s 32276 59200 32332 60000 6 io_oeb[20]
 port 50 nsew signal tristate
-rlabel metal2 s 101770 119200 101826 120000 6 io_oeb[21]
+rlabel metal2 s 33812 59200 33868 60000 6 io_oeb[21]
 port 51 nsew signal tristate
-rlabel metal2 s 106554 119200 106610 120000 6 io_oeb[22]
+rlabel metal2 s 35444 59200 35500 60000 6 io_oeb[22]
 port 52 nsew signal tristate
-rlabel metal2 s 111246 119200 111302 120000 6 io_oeb[23]
+rlabel metal2 s 36980 59200 37036 60000 6 io_oeb[23]
 port 53 nsew signal tristate
-rlabel metal2 s 116030 119200 116086 120000 6 io_oeb[24]
+rlabel metal2 s 38612 59200 38668 60000 6 io_oeb[24]
 port 54 nsew signal tristate
-rlabel metal2 s 120722 119200 120778 120000 6 io_oeb[25]
+rlabel metal2 s 40148 59200 40204 60000 6 io_oeb[25]
 port 55 nsew signal tristate
-rlabel metal2 s 125506 119200 125562 120000 6 io_oeb[26]
+rlabel metal2 s 41780 59200 41836 60000 6 io_oeb[26]
 port 56 nsew signal tristate
-rlabel metal2 s 130198 119200 130254 120000 6 io_oeb[27]
+rlabel metal2 s 43316 59200 43372 60000 6 io_oeb[27]
 port 57 nsew signal tristate
-rlabel metal2 s 134982 119200 135038 120000 6 io_oeb[28]
+rlabel metal2 s 44948 59200 45004 60000 6 io_oeb[28]
 port 58 nsew signal tristate
-rlabel metal2 s 139674 119200 139730 120000 6 io_oeb[29]
+rlabel metal2 s 46484 59200 46540 60000 6 io_oeb[29]
 port 59 nsew signal tristate
-rlabel metal2 s 11794 119200 11850 120000 6 io_oeb[2]
+rlabel metal2 s 3860 59200 3916 60000 6 io_oeb[2]
 port 60 nsew signal tristate
-rlabel metal2 s 144458 119200 144514 120000 6 io_oeb[30]
+rlabel metal2 s 48020 59200 48076 60000 6 io_oeb[30]
 port 61 nsew signal tristate
-rlabel metal2 s 149150 119200 149206 120000 6 io_oeb[31]
+rlabel metal2 s 49652 59200 49708 60000 6 io_oeb[31]
 port 62 nsew signal tristate
-rlabel metal2 s 153934 119200 153990 120000 6 io_oeb[32]
+rlabel metal2 s 51188 59200 51244 60000 6 io_oeb[32]
 port 63 nsew signal tristate
-rlabel metal2 s 158626 119200 158682 120000 6 io_oeb[33]
+rlabel metal2 s 52820 59200 52876 60000 6 io_oeb[33]
 port 64 nsew signal tristate
-rlabel metal2 s 163410 119200 163466 120000 6 io_oeb[34]
+rlabel metal2 s 54356 59200 54412 60000 6 io_oeb[34]
 port 65 nsew signal tristate
-rlabel metal2 s 168102 119200 168158 120000 6 io_oeb[35]
+rlabel metal2 s 55988 59200 56044 60000 6 io_oeb[35]
 port 66 nsew signal tristate
-rlabel metal2 s 172886 119200 172942 120000 6 io_oeb[36]
+rlabel metal2 s 57524 59200 57580 60000 6 io_oeb[36]
 port 67 nsew signal tristate
-rlabel metal2 s 177578 119200 177634 120000 6 io_oeb[37]
+rlabel metal2 s 59156 59200 59212 60000 6 io_oeb[37]
 port 68 nsew signal tristate
-rlabel metal2 s 16486 119200 16542 120000 6 io_oeb[3]
+rlabel metal2 s 5396 59200 5452 60000 6 io_oeb[3]
 port 69 nsew signal tristate
-rlabel metal2 s 21270 119200 21326 120000 6 io_oeb[4]
+rlabel metal2 s 7028 59200 7084 60000 6 io_oeb[4]
 port 70 nsew signal tristate
-rlabel metal2 s 25962 119200 26018 120000 6 io_oeb[5]
+rlabel metal2 s 8564 59200 8620 60000 6 io_oeb[5]
 port 71 nsew signal tristate
-rlabel metal2 s 30746 119200 30802 120000 6 io_oeb[6]
+rlabel metal2 s 10196 59200 10252 60000 6 io_oeb[6]
 port 72 nsew signal tristate
-rlabel metal2 s 35438 119200 35494 120000 6 io_oeb[7]
+rlabel metal2 s 11732 59200 11788 60000 6 io_oeb[7]
 port 73 nsew signal tristate
-rlabel metal2 s 40222 119200 40278 120000 6 io_oeb[8]
+rlabel metal2 s 13364 59200 13420 60000 6 io_oeb[8]
 port 74 nsew signal tristate
-rlabel metal2 s 44914 119200 44970 120000 6 io_oeb[9]
+rlabel metal2 s 14900 59200 14956 60000 6 io_oeb[9]
 port 75 nsew signal tristate
-rlabel metal2 s 3882 119200 3938 120000 6 io_out[0]
+rlabel metal2 s 1172 59200 1228 60000 6 io_out[0]
 port 76 nsew signal tristate
-rlabel metal2 s 51262 119200 51318 120000 6 io_out[10]
+rlabel metal2 s 17012 59200 17068 60000 6 io_out[10]
 port 77 nsew signal tristate
-rlabel metal2 s 55954 119200 56010 120000 6 io_out[11]
+rlabel metal2 s 18548 59200 18604 60000 6 io_out[11]
 port 78 nsew signal tristate
-rlabel metal2 s 60738 119200 60794 120000 6 io_out[12]
+rlabel metal2 s 20180 59200 20236 60000 6 io_out[12]
 port 79 nsew signal tristate
-rlabel metal2 s 65430 119200 65486 120000 6 io_out[13]
+rlabel metal2 s 21716 59200 21772 60000 6 io_out[13]
 port 80 nsew signal tristate
-rlabel metal2 s 70214 119200 70270 120000 6 io_out[14]
+rlabel metal2 s 23348 59200 23404 60000 6 io_out[14]
 port 81 nsew signal tristate
-rlabel metal2 s 74906 119200 74962 120000 6 io_out[15]
+rlabel metal2 s 24884 59200 24940 60000 6 io_out[15]
 port 82 nsew signal tristate
-rlabel metal2 s 79690 119200 79746 120000 6 io_out[16]
+rlabel metal2 s 26516 59200 26572 60000 6 io_out[16]
 port 83 nsew signal tristate
-rlabel metal2 s 84382 119200 84438 120000 6 io_out[17]
+rlabel metal2 s 28052 59200 28108 60000 6 io_out[17]
 port 84 nsew signal tristate
-rlabel metal2 s 89166 119200 89222 120000 6 io_out[18]
+rlabel metal2 s 29684 59200 29740 60000 6 io_out[18]
 port 85 nsew signal tristate
-rlabel metal2 s 93858 119200 93914 120000 6 io_out[19]
+rlabel metal2 s 31220 59200 31276 60000 6 io_out[19]
 port 86 nsew signal tristate
-rlabel metal2 s 8574 119200 8630 120000 6 io_out[1]
+rlabel metal2 s 2804 59200 2860 60000 6 io_out[1]
 port 87 nsew signal tristate
-rlabel metal2 s 98642 119200 98698 120000 6 io_out[20]
+rlabel metal2 s 32756 59200 32812 60000 6 io_out[20]
 port 88 nsew signal tristate
-rlabel metal2 s 103334 119200 103390 120000 6 io_out[21]
+rlabel metal2 s 34388 59200 34444 60000 6 io_out[21]
 port 89 nsew signal tristate
-rlabel metal2 s 108118 119200 108174 120000 6 io_out[22]
+rlabel metal2 s 35924 59200 35980 60000 6 io_out[22]
 port 90 nsew signal tristate
-rlabel metal2 s 112810 119200 112866 120000 6 io_out[23]
+rlabel metal2 s 37556 59200 37612 60000 6 io_out[23]
 port 91 nsew signal tristate
-rlabel metal2 s 117594 119200 117650 120000 6 io_out[24]
+rlabel metal2 s 39092 59200 39148 60000 6 io_out[24]
 port 92 nsew signal tristate
-rlabel metal2 s 122286 119200 122342 120000 6 io_out[25]
+rlabel metal2 s 40724 59200 40780 60000 6 io_out[25]
 port 93 nsew signal tristate
-rlabel metal2 s 127070 119200 127126 120000 6 io_out[26]
+rlabel metal2 s 42260 59200 42316 60000 6 io_out[26]
 port 94 nsew signal tristate
-rlabel metal2 s 131762 119200 131818 120000 6 io_out[27]
+rlabel metal2 s 43892 59200 43948 60000 6 io_out[27]
 port 95 nsew signal tristate
-rlabel metal2 s 136546 119200 136602 120000 6 io_out[28]
+rlabel metal2 s 45428 59200 45484 60000 6 io_out[28]
 port 96 nsew signal tristate
-rlabel metal2 s 141238 119200 141294 120000 6 io_out[29]
+rlabel metal2 s 46964 59200 47020 60000 6 io_out[29]
 port 97 nsew signal tristate
-rlabel metal2 s 13358 119200 13414 120000 6 io_out[2]
+rlabel metal2 s 4340 59200 4396 60000 6 io_out[2]
 port 98 nsew signal tristate
-rlabel metal2 s 146022 119200 146078 120000 6 io_out[30]
+rlabel metal2 s 48596 59200 48652 60000 6 io_out[30]
 port 99 nsew signal tristate
-rlabel metal2 s 150714 119200 150770 120000 6 io_out[31]
+rlabel metal2 s 50132 59200 50188 60000 6 io_out[31]
 port 100 nsew signal tristate
-rlabel metal2 s 155498 119200 155554 120000 6 io_out[32]
+rlabel metal2 s 51764 59200 51820 60000 6 io_out[32]
 port 101 nsew signal tristate
-rlabel metal2 s 160190 119200 160246 120000 6 io_out[33]
+rlabel metal2 s 53300 59200 53356 60000 6 io_out[33]
 port 102 nsew signal tristate
-rlabel metal2 s 164974 119200 165030 120000 6 io_out[34]
+rlabel metal2 s 54932 59200 54988 60000 6 io_out[34]
 port 103 nsew signal tristate
-rlabel metal2 s 169666 119200 169722 120000 6 io_out[35]
+rlabel metal2 s 56468 59200 56524 60000 6 io_out[35]
 port 104 nsew signal tristate
-rlabel metal2 s 174450 119200 174506 120000 6 io_out[36]
+rlabel metal2 s 58100 59200 58156 60000 6 io_out[36]
 port 105 nsew signal tristate
-rlabel metal2 s 179142 119200 179198 120000 6 io_out[37]
+rlabel metal2 s 59636 59200 59692 60000 6 io_out[37]
 port 106 nsew signal tristate
-rlabel metal2 s 18050 119200 18106 120000 6 io_out[3]
+rlabel metal2 s 5972 59200 6028 60000 6 io_out[3]
 port 107 nsew signal tristate
-rlabel metal2 s 22834 119200 22890 120000 6 io_out[4]
+rlabel metal2 s 7508 59200 7564 60000 6 io_out[4]
 port 108 nsew signal tristate
-rlabel metal2 s 27526 119200 27582 120000 6 io_out[5]
+rlabel metal2 s 9140 59200 9196 60000 6 io_out[5]
 port 109 nsew signal tristate
-rlabel metal2 s 32310 119200 32366 120000 6 io_out[6]
+rlabel metal2 s 10676 59200 10732 60000 6 io_out[6]
 port 110 nsew signal tristate
-rlabel metal2 s 37002 119200 37058 120000 6 io_out[7]
+rlabel metal2 s 12308 59200 12364 60000 6 io_out[7]
 port 111 nsew signal tristate
-rlabel metal2 s 41786 119200 41842 120000 6 io_out[8]
+rlabel metal2 s 13844 59200 13900 60000 6 io_out[8]
 port 112 nsew signal tristate
-rlabel metal2 s 46478 119200 46534 120000 6 io_out[9]
+rlabel metal2 s 15380 59200 15436 60000 6 io_out[9]
 port 113 nsew signal tristate
+<<<<<<< HEAD
+rlabel metal3 s 0 14962 800 15082 6 irq[0]
+port 114 nsew signal tristate
+rlabel metal3 s 59200 29910 60000 30030 6 irq[1]
+port 115 nsew signal tristate
+rlabel metal3 s 0 44858 800 44978 6 irq[2]
+port 116 nsew signal tristate
+rlabel metal2 s 12980 0 13036 800 6 la_data_in[0]
+port 117 nsew signal input
+rlabel metal2 s 49652 0 49708 800 6 la_data_in[100]
+port 118 nsew signal input
+rlabel metal2 s 50036 0 50092 800 6 la_data_in[101]
+port 119 nsew signal input
+rlabel metal2 s 50420 0 50476 800 6 la_data_in[102]
+port 120 nsew signal input
+rlabel metal2 s 50804 0 50860 800 6 la_data_in[103]
+port 121 nsew signal input
+rlabel metal2 s 51188 0 51244 800 6 la_data_in[104]
+port 122 nsew signal input
+rlabel metal2 s 51476 0 51532 800 6 la_data_in[105]
+port 123 nsew signal input
+rlabel metal2 s 51860 0 51916 800 6 la_data_in[106]
+port 124 nsew signal input
+rlabel metal2 s 52244 0 52300 800 6 la_data_in[107]
+port 125 nsew signal input
+rlabel metal2 s 52628 0 52684 800 6 la_data_in[108]
+port 126 nsew signal input
+rlabel metal2 s 53012 0 53068 800 6 la_data_in[109]
+port 127 nsew signal input
+rlabel metal2 s 16628 0 16684 800 6 la_data_in[10]
+port 128 nsew signal input
+rlabel metal2 s 53396 0 53452 800 6 la_data_in[110]
+port 129 nsew signal input
+rlabel metal2 s 53684 0 53740 800 6 la_data_in[111]
+port 130 nsew signal input
+rlabel metal2 s 54068 0 54124 800 6 la_data_in[112]
+port 131 nsew signal input
+rlabel metal2 s 54452 0 54508 800 6 la_data_in[113]
+port 132 nsew signal input
+rlabel metal2 s 54836 0 54892 800 6 la_data_in[114]
+port 133 nsew signal input
+rlabel metal2 s 55220 0 55276 800 6 la_data_in[115]
+port 134 nsew signal input
+rlabel metal2 s 55604 0 55660 800 6 la_data_in[116]
+port 135 nsew signal input
+rlabel metal2 s 55892 0 55948 800 6 la_data_in[117]
+port 136 nsew signal input
+rlabel metal2 s 56276 0 56332 800 6 la_data_in[118]
+port 137 nsew signal input
+rlabel metal2 s 56660 0 56716 800 6 la_data_in[119]
+port 138 nsew signal input
+rlabel metal2 s 17012 0 17068 800 6 la_data_in[11]
+port 139 nsew signal input
+rlabel metal2 s 57044 0 57100 800 6 la_data_in[120]
+port 140 nsew signal input
+rlabel metal2 s 57428 0 57484 800 6 la_data_in[121]
+port 141 nsew signal input
+rlabel metal2 s 57812 0 57868 800 6 la_data_in[122]
+port 142 nsew signal input
+rlabel metal2 s 58100 0 58156 800 6 la_data_in[123]
+port 143 nsew signal input
+rlabel metal2 s 58484 0 58540 800 6 la_data_in[124]
+port 144 nsew signal input
+rlabel metal2 s 58868 0 58924 800 6 la_data_in[125]
+port 145 nsew signal input
+rlabel metal2 s 59252 0 59308 800 6 la_data_in[126]
+port 146 nsew signal input
+rlabel metal2 s 59636 0 59692 800 6 la_data_in[127]
+port 147 nsew signal input
+rlabel metal2 s 17396 0 17452 800 6 la_data_in[12]
+port 148 nsew signal input
+rlabel metal2 s 17684 0 17740 800 6 la_data_in[13]
+port 149 nsew signal input
+rlabel metal2 s 18068 0 18124 800 6 la_data_in[14]
+port 150 nsew signal input
+rlabel metal2 s 18452 0 18508 800 6 la_data_in[15]
+port 151 nsew signal input
+rlabel metal2 s 18836 0 18892 800 6 la_data_in[16]
+port 152 nsew signal input
+rlabel metal2 s 19220 0 19276 800 6 la_data_in[17]
+port 153 nsew signal input
+rlabel metal2 s 19604 0 19660 800 6 la_data_in[18]
+port 154 nsew signal input
+rlabel metal2 s 19892 0 19948 800 6 la_data_in[19]
+port 155 nsew signal input
+rlabel metal2 s 13364 0 13420 800 6 la_data_in[1]
+port 156 nsew signal input
+rlabel metal2 s 20276 0 20332 800 6 la_data_in[20]
+port 157 nsew signal input
+rlabel metal2 s 20660 0 20716 800 6 la_data_in[21]
+port 158 nsew signal input
+rlabel metal2 s 21044 0 21100 800 6 la_data_in[22]
+port 159 nsew signal input
+rlabel metal2 s 21428 0 21484 800 6 la_data_in[23]
+port 160 nsew signal input
+rlabel metal2 s 21812 0 21868 800 6 la_data_in[24]
+port 161 nsew signal input
+rlabel metal2 s 22100 0 22156 800 6 la_data_in[25]
+port 162 nsew signal input
+rlabel metal2 s 22484 0 22540 800 6 la_data_in[26]
+port 163 nsew signal input
+rlabel metal2 s 22868 0 22924 800 6 la_data_in[27]
+port 164 nsew signal input
+rlabel metal2 s 23252 0 23308 800 6 la_data_in[28]
+port 165 nsew signal input
+rlabel metal2 s 23636 0 23692 800 6 la_data_in[29]
+port 166 nsew signal input
+rlabel metal2 s 13652 0 13708 800 6 la_data_in[2]
+port 167 nsew signal input
+rlabel metal2 s 24020 0 24076 800 6 la_data_in[30]
+port 168 nsew signal input
+rlabel metal2 s 24308 0 24364 800 6 la_data_in[31]
+port 169 nsew signal input
+rlabel metal2 s 24692 0 24748 800 6 la_data_in[32]
+port 170 nsew signal input
+rlabel metal2 s 25076 0 25132 800 6 la_data_in[33]
+port 171 nsew signal input
+rlabel metal2 s 25460 0 25516 800 6 la_data_in[34]
+port 172 nsew signal input
+rlabel metal2 s 25844 0 25900 800 6 la_data_in[35]
+port 173 nsew signal input
+rlabel metal2 s 26132 0 26188 800 6 la_data_in[36]
+port 174 nsew signal input
+rlabel metal2 s 26516 0 26572 800 6 la_data_in[37]
+port 175 nsew signal input
+rlabel metal2 s 26900 0 26956 800 6 la_data_in[38]
+port 176 nsew signal input
+rlabel metal2 s 27284 0 27340 800 6 la_data_in[39]
+port 177 nsew signal input
+rlabel metal2 s 14036 0 14092 800 6 la_data_in[3]
+port 178 nsew signal input
+rlabel metal2 s 27668 0 27724 800 6 la_data_in[40]
+port 179 nsew signal input
+rlabel metal2 s 28052 0 28108 800 6 la_data_in[41]
+port 180 nsew signal input
+rlabel metal2 s 28340 0 28396 800 6 la_data_in[42]
+port 181 nsew signal input
+rlabel metal2 s 28724 0 28780 800 6 la_data_in[43]
+port 182 nsew signal input
+rlabel metal2 s 29108 0 29164 800 6 la_data_in[44]
+port 183 nsew signal input
+rlabel metal2 s 29492 0 29548 800 6 la_data_in[45]
+port 184 nsew signal input
+rlabel metal2 s 29876 0 29932 800 6 la_data_in[46]
+port 185 nsew signal input
+rlabel metal2 s 30260 0 30316 800 6 la_data_in[47]
+port 186 nsew signal input
+rlabel metal2 s 30548 0 30604 800 6 la_data_in[48]
+port 187 nsew signal input
+rlabel metal2 s 30932 0 30988 800 6 la_data_in[49]
+port 188 nsew signal input
+rlabel metal2 s 14420 0 14476 800 6 la_data_in[4]
+port 189 nsew signal input
+rlabel metal2 s 31316 0 31372 800 6 la_data_in[50]
+port 190 nsew signal input
+rlabel metal2 s 31700 0 31756 800 6 la_data_in[51]
+port 191 nsew signal input
+rlabel metal2 s 32084 0 32140 800 6 la_data_in[52]
+port 192 nsew signal input
+rlabel metal2 s 32468 0 32524 800 6 la_data_in[53]
+port 193 nsew signal input
+rlabel metal2 s 32756 0 32812 800 6 la_data_in[54]
+port 194 nsew signal input
+rlabel metal2 s 33140 0 33196 800 6 la_data_in[55]
+port 195 nsew signal input
+rlabel metal2 s 33524 0 33580 800 6 la_data_in[56]
+port 196 nsew signal input
+rlabel metal2 s 33908 0 33964 800 6 la_data_in[57]
+port 197 nsew signal input
+rlabel metal2 s 34292 0 34348 800 6 la_data_in[58]
+port 198 nsew signal input
+rlabel metal2 s 34580 0 34636 800 6 la_data_in[59]
+port 199 nsew signal input
+rlabel metal2 s 14804 0 14860 800 6 la_data_in[5]
+port 200 nsew signal input
+rlabel metal2 s 34964 0 35020 800 6 la_data_in[60]
+port 201 nsew signal input
+rlabel metal2 s 35348 0 35404 800 6 la_data_in[61]
+port 202 nsew signal input
+rlabel metal2 s 35732 0 35788 800 6 la_data_in[62]
+port 203 nsew signal input
+rlabel metal2 s 36116 0 36172 800 6 la_data_in[63]
+port 204 nsew signal input
+rlabel metal2 s 36500 0 36556 800 6 la_data_in[64]
+port 205 nsew signal input
+rlabel metal2 s 36788 0 36844 800 6 la_data_in[65]
+port 206 nsew signal input
+rlabel metal2 s 37172 0 37228 800 6 la_data_in[66]
+port 207 nsew signal input
+rlabel metal2 s 37556 0 37612 800 6 la_data_in[67]
+port 208 nsew signal input
+rlabel metal2 s 37940 0 37996 800 6 la_data_in[68]
+port 209 nsew signal input
+rlabel metal2 s 38324 0 38380 800 6 la_data_in[69]
+port 210 nsew signal input
+rlabel metal2 s 15188 0 15244 800 6 la_data_in[6]
+port 211 nsew signal input
+rlabel metal2 s 38708 0 38764 800 6 la_data_in[70]
+port 212 nsew signal input
+rlabel metal2 s 38996 0 39052 800 6 la_data_in[71]
+port 213 nsew signal input
+rlabel metal2 s 39380 0 39436 800 6 la_data_in[72]
+port 214 nsew signal input
+rlabel metal2 s 39764 0 39820 800 6 la_data_in[73]
+port 215 nsew signal input
+rlabel metal2 s 40148 0 40204 800 6 la_data_in[74]
+port 216 nsew signal input
+rlabel metal2 s 40532 0 40588 800 6 la_data_in[75]
+port 217 nsew signal input
+rlabel metal2 s 40916 0 40972 800 6 la_data_in[76]
+port 218 nsew signal input
+rlabel metal2 s 41204 0 41260 800 6 la_data_in[77]
+port 219 nsew signal input
+rlabel metal2 s 41588 0 41644 800 6 la_data_in[78]
+port 220 nsew signal input
+rlabel metal2 s 41972 0 42028 800 6 la_data_in[79]
+port 221 nsew signal input
+rlabel metal2 s 15476 0 15532 800 6 la_data_in[7]
+port 222 nsew signal input
+rlabel metal2 s 42356 0 42412 800 6 la_data_in[80]
+port 223 nsew signal input
+rlabel metal2 s 42740 0 42796 800 6 la_data_in[81]
+port 224 nsew signal input
+rlabel metal2 s 43028 0 43084 800 6 la_data_in[82]
+port 225 nsew signal input
+rlabel metal2 s 43412 0 43468 800 6 la_data_in[83]
+port 226 nsew signal input
+rlabel metal2 s 43796 0 43852 800 6 la_data_in[84]
+port 227 nsew signal input
+rlabel metal2 s 44180 0 44236 800 6 la_data_in[85]
+port 228 nsew signal input
+rlabel metal2 s 44564 0 44620 800 6 la_data_in[86]
+port 229 nsew signal input
+rlabel metal2 s 44948 0 45004 800 6 la_data_in[87]
+port 230 nsew signal input
+rlabel metal2 s 45236 0 45292 800 6 la_data_in[88]
+port 231 nsew signal input
+rlabel metal2 s 45620 0 45676 800 6 la_data_in[89]
+port 232 nsew signal input
+rlabel metal2 s 15860 0 15916 800 6 la_data_in[8]
+port 233 nsew signal input
+rlabel metal2 s 46004 0 46060 800 6 la_data_in[90]
+port 234 nsew signal input
+rlabel metal2 s 46388 0 46444 800 6 la_data_in[91]
+port 235 nsew signal input
+rlabel metal2 s 46772 0 46828 800 6 la_data_in[92]
+port 236 nsew signal input
+rlabel metal2 s 47156 0 47212 800 6 la_data_in[93]
+port 237 nsew signal input
+rlabel metal2 s 47444 0 47500 800 6 la_data_in[94]
+port 238 nsew signal input
+rlabel metal2 s 47828 0 47884 800 6 la_data_in[95]
+port 239 nsew signal input
+rlabel metal2 s 48212 0 48268 800 6 la_data_in[96]
+port 240 nsew signal input
+rlabel metal2 s 48596 0 48652 800 6 la_data_in[97]
+port 241 nsew signal input
+rlabel metal2 s 48980 0 49036 800 6 la_data_in[98]
+port 242 nsew signal input
+rlabel metal2 s 49364 0 49420 800 6 la_data_in[99]
+port 243 nsew signal input
+rlabel metal2 s 16244 0 16300 800 6 la_data_in[9]
+port 244 nsew signal input
+rlabel metal2 s 13076 0 13132 800 6 la_data_out[0]
+port 245 nsew signal tristate
+rlabel metal2 s 49844 0 49900 800 6 la_data_out[100]
+port 246 nsew signal tristate
+rlabel metal2 s 50132 0 50188 800 6 la_data_out[101]
+port 247 nsew signal tristate
+rlabel metal2 s 50516 0 50572 800 6 la_data_out[102]
+port 248 nsew signal tristate
+rlabel metal2 s 50900 0 50956 800 6 la_data_out[103]
+port 249 nsew signal tristate
+rlabel metal2 s 51284 0 51340 800 6 la_data_out[104]
+port 250 nsew signal tristate
+rlabel metal2 s 51668 0 51724 800 6 la_data_out[105]
+port 251 nsew signal tristate
+rlabel metal2 s 52052 0 52108 800 6 la_data_out[106]
+port 252 nsew signal tristate
+rlabel metal2 s 52340 0 52396 800 6 la_data_out[107]
+port 253 nsew signal tristate
+rlabel metal2 s 52724 0 52780 800 6 la_data_out[108]
+port 254 nsew signal tristate
+rlabel metal2 s 53108 0 53164 800 6 la_data_out[109]
+port 255 nsew signal tristate
+rlabel metal2 s 16724 0 16780 800 6 la_data_out[10]
+port 256 nsew signal tristate
+rlabel metal2 s 53492 0 53548 800 6 la_data_out[110]
+port 257 nsew signal tristate
+rlabel metal2 s 53876 0 53932 800 6 la_data_out[111]
+port 258 nsew signal tristate
+rlabel metal2 s 54260 0 54316 800 6 la_data_out[112]
+port 259 nsew signal tristate
+rlabel metal2 s 54548 0 54604 800 6 la_data_out[113]
+port 260 nsew signal tristate
+rlabel metal2 s 54932 0 54988 800 6 la_data_out[114]
+port 261 nsew signal tristate
+rlabel metal2 s 55316 0 55372 800 6 la_data_out[115]
+port 262 nsew signal tristate
+rlabel metal2 s 55700 0 55756 800 6 la_data_out[116]
+port 263 nsew signal tristate
+rlabel metal2 s 56084 0 56140 800 6 la_data_out[117]
+port 264 nsew signal tristate
+rlabel metal2 s 56468 0 56524 800 6 la_data_out[118]
+port 265 nsew signal tristate
+rlabel metal2 s 56756 0 56812 800 6 la_data_out[119]
+port 266 nsew signal tristate
+rlabel metal2 s 17108 0 17164 800 6 la_data_out[11]
+port 267 nsew signal tristate
+rlabel metal2 s 57140 0 57196 800 6 la_data_out[120]
+port 268 nsew signal tristate
+rlabel metal2 s 57524 0 57580 800 6 la_data_out[121]
+port 269 nsew signal tristate
+rlabel metal2 s 57908 0 57964 800 6 la_data_out[122]
+port 270 nsew signal tristate
+rlabel metal2 s 58292 0 58348 800 6 la_data_out[123]
+port 271 nsew signal tristate
+rlabel metal2 s 58580 0 58636 800 6 la_data_out[124]
+port 272 nsew signal tristate
+rlabel metal2 s 58964 0 59020 800 6 la_data_out[125]
+port 273 nsew signal tristate
+rlabel metal2 s 59348 0 59404 800 6 la_data_out[126]
+port 274 nsew signal tristate
+rlabel metal2 s 59732 0 59788 800 6 la_data_out[127]
+port 275 nsew signal tristate
+rlabel metal2 s 17492 0 17548 800 6 la_data_out[12]
+port 276 nsew signal tristate
+rlabel metal2 s 17876 0 17932 800 6 la_data_out[13]
+port 277 nsew signal tristate
+rlabel metal2 s 18260 0 18316 800 6 la_data_out[14]
+port 278 nsew signal tristate
+rlabel metal2 s 18548 0 18604 800 6 la_data_out[15]
+port 279 nsew signal tristate
+rlabel metal2 s 18932 0 18988 800 6 la_data_out[16]
+port 280 nsew signal tristate
+rlabel metal2 s 19316 0 19372 800 6 la_data_out[17]
+port 281 nsew signal tristate
+rlabel metal2 s 19700 0 19756 800 6 la_data_out[18]
+port 282 nsew signal tristate
+rlabel metal2 s 20084 0 20140 800 6 la_data_out[19]
+port 283 nsew signal tristate
+rlabel metal2 s 13460 0 13516 800 6 la_data_out[1]
+port 284 nsew signal tristate
+rlabel metal2 s 20468 0 20524 800 6 la_data_out[20]
+port 285 nsew signal tristate
+rlabel metal2 s 20756 0 20812 800 6 la_data_out[21]
+port 286 nsew signal tristate
+rlabel metal2 s 21140 0 21196 800 6 la_data_out[22]
+port 287 nsew signal tristate
+rlabel metal2 s 21524 0 21580 800 6 la_data_out[23]
+port 288 nsew signal tristate
+rlabel metal2 s 21908 0 21964 800 6 la_data_out[24]
+port 289 nsew signal tristate
+rlabel metal2 s 22292 0 22348 800 6 la_data_out[25]
+port 290 nsew signal tristate
+rlabel metal2 s 22580 0 22636 800 6 la_data_out[26]
+port 291 nsew signal tristate
+rlabel metal2 s 22964 0 23020 800 6 la_data_out[27]
+port 292 nsew signal tristate
+rlabel metal2 s 23348 0 23404 800 6 la_data_out[28]
+port 293 nsew signal tristate
+rlabel metal2 s 23732 0 23788 800 6 la_data_out[29]
+port 294 nsew signal tristate
+rlabel metal2 s 13844 0 13900 800 6 la_data_out[2]
+port 295 nsew signal tristate
+rlabel metal2 s 24116 0 24172 800 6 la_data_out[30]
+port 296 nsew signal tristate
+rlabel metal2 s 24500 0 24556 800 6 la_data_out[31]
+port 297 nsew signal tristate
+rlabel metal2 s 24788 0 24844 800 6 la_data_out[32]
+port 298 nsew signal tristate
+rlabel metal2 s 25172 0 25228 800 6 la_data_out[33]
+port 299 nsew signal tristate
+rlabel metal2 s 25556 0 25612 800 6 la_data_out[34]
+port 300 nsew signal tristate
+rlabel metal2 s 25940 0 25996 800 6 la_data_out[35]
+port 301 nsew signal tristate
+rlabel metal2 s 26324 0 26380 800 6 la_data_out[36]
+port 302 nsew signal tristate
+rlabel metal2 s 26708 0 26764 800 6 la_data_out[37]
+port 303 nsew signal tristate
+rlabel metal2 s 26996 0 27052 800 6 la_data_out[38]
+port 304 nsew signal tristate
+rlabel metal2 s 27380 0 27436 800 6 la_data_out[39]
+port 305 nsew signal tristate
+rlabel metal2 s 14132 0 14188 800 6 la_data_out[3]
+port 306 nsew signal tristate
+rlabel metal2 s 27764 0 27820 800 6 la_data_out[40]
+port 307 nsew signal tristate
+rlabel metal2 s 28148 0 28204 800 6 la_data_out[41]
+port 308 nsew signal tristate
+rlabel metal2 s 28532 0 28588 800 6 la_data_out[42]
+port 309 nsew signal tristate
+rlabel metal2 s 28916 0 28972 800 6 la_data_out[43]
+port 310 nsew signal tristate
+rlabel metal2 s 29204 0 29260 800 6 la_data_out[44]
+port 311 nsew signal tristate
+rlabel metal2 s 29588 0 29644 800 6 la_data_out[45]
+port 312 nsew signal tristate
+rlabel metal2 s 29972 0 30028 800 6 la_data_out[46]
+port 313 nsew signal tristate
+rlabel metal2 s 30356 0 30412 800 6 la_data_out[47]
+port 314 nsew signal tristate
+rlabel metal2 s 30740 0 30796 800 6 la_data_out[48]
+port 315 nsew signal tristate
+rlabel metal2 s 31028 0 31084 800 6 la_data_out[49]
+port 316 nsew signal tristate
+rlabel metal2 s 14516 0 14572 800 6 la_data_out[4]
+port 317 nsew signal tristate
+rlabel metal2 s 31412 0 31468 800 6 la_data_out[50]
+port 318 nsew signal tristate
+rlabel metal2 s 31796 0 31852 800 6 la_data_out[51]
+port 319 nsew signal tristate
+rlabel metal2 s 32180 0 32236 800 6 la_data_out[52]
+port 320 nsew signal tristate
+rlabel metal2 s 32564 0 32620 800 6 la_data_out[53]
+port 321 nsew signal tristate
+rlabel metal2 s 32948 0 33004 800 6 la_data_out[54]
+port 322 nsew signal tristate
+rlabel metal2 s 33236 0 33292 800 6 la_data_out[55]
+port 323 nsew signal tristate
+rlabel metal2 s 33620 0 33676 800 6 la_data_out[56]
+port 324 nsew signal tristate
+rlabel metal2 s 34004 0 34060 800 6 la_data_out[57]
+port 325 nsew signal tristate
+rlabel metal2 s 34388 0 34444 800 6 la_data_out[58]
+port 326 nsew signal tristate
+rlabel metal2 s 34772 0 34828 800 6 la_data_out[59]
+port 327 nsew signal tristate
+rlabel metal2 s 14900 0 14956 800 6 la_data_out[5]
+port 328 nsew signal tristate
+rlabel metal2 s 35156 0 35212 800 6 la_data_out[60]
+port 329 nsew signal tristate
+rlabel metal2 s 35444 0 35500 800 6 la_data_out[61]
+port 330 nsew signal tristate
+rlabel metal2 s 35828 0 35884 800 6 la_data_out[62]
+port 331 nsew signal tristate
+rlabel metal2 s 36212 0 36268 800 6 la_data_out[63]
+port 332 nsew signal tristate
+rlabel metal2 s 36596 0 36652 800 6 la_data_out[64]
+port 333 nsew signal tristate
+rlabel metal2 s 36980 0 37036 800 6 la_data_out[65]
+port 334 nsew signal tristate
+rlabel metal2 s 37364 0 37420 800 6 la_data_out[66]
+port 335 nsew signal tristate
+rlabel metal2 s 37652 0 37708 800 6 la_data_out[67]
+port 336 nsew signal tristate
+rlabel metal2 s 38036 0 38092 800 6 la_data_out[68]
+port 337 nsew signal tristate
+rlabel metal2 s 38420 0 38476 800 6 la_data_out[69]
+port 338 nsew signal tristate
+rlabel metal2 s 15284 0 15340 800 6 la_data_out[6]
+port 339 nsew signal tristate
+rlabel metal2 s 38804 0 38860 800 6 la_data_out[70]
+port 340 nsew signal tristate
+rlabel metal2 s 39188 0 39244 800 6 la_data_out[71]
+port 341 nsew signal tristate
+rlabel metal2 s 39476 0 39532 800 6 la_data_out[72]
+port 342 nsew signal tristate
+rlabel metal2 s 39860 0 39916 800 6 la_data_out[73]
+port 343 nsew signal tristate
+rlabel metal2 s 40244 0 40300 800 6 la_data_out[74]
+port 344 nsew signal tristate
+rlabel metal2 s 40628 0 40684 800 6 la_data_out[75]
+port 345 nsew signal tristate
+rlabel metal2 s 41012 0 41068 800 6 la_data_out[76]
+port 346 nsew signal tristate
+rlabel metal2 s 41396 0 41452 800 6 la_data_out[77]
+port 347 nsew signal tristate
+rlabel metal2 s 41684 0 41740 800 6 la_data_out[78]
+port 348 nsew signal tristate
+rlabel metal2 s 42068 0 42124 800 6 la_data_out[79]
+port 349 nsew signal tristate
+rlabel metal2 s 15668 0 15724 800 6 la_data_out[7]
+port 350 nsew signal tristate
+rlabel metal2 s 42452 0 42508 800 6 la_data_out[80]
+port 351 nsew signal tristate
+rlabel metal2 s 42836 0 42892 800 6 la_data_out[81]
+port 352 nsew signal tristate
+rlabel metal2 s 43220 0 43276 800 6 la_data_out[82]
+port 353 nsew signal tristate
+rlabel metal2 s 43604 0 43660 800 6 la_data_out[83]
+port 354 nsew signal tristate
+rlabel metal2 s 43892 0 43948 800 6 la_data_out[84]
+port 355 nsew signal tristate
+rlabel metal2 s 44276 0 44332 800 6 la_data_out[85]
+port 356 nsew signal tristate
+rlabel metal2 s 44660 0 44716 800 6 la_data_out[86]
+port 357 nsew signal tristate
+rlabel metal2 s 45044 0 45100 800 6 la_data_out[87]
+port 358 nsew signal tristate
+rlabel metal2 s 45428 0 45484 800 6 la_data_out[88]
+port 359 nsew signal tristate
+rlabel metal2 s 45812 0 45868 800 6 la_data_out[89]
+port 360 nsew signal tristate
+rlabel metal2 s 16052 0 16108 800 6 la_data_out[8]
+port 361 nsew signal tristate
+rlabel metal2 s 46100 0 46156 800 6 la_data_out[90]
+port 362 nsew signal tristate
+rlabel metal2 s 46484 0 46540 800 6 la_data_out[91]
+port 363 nsew signal tristate
+rlabel metal2 s 46868 0 46924 800 6 la_data_out[92]
+port 364 nsew signal tristate
+rlabel metal2 s 47252 0 47308 800 6 la_data_out[93]
+port 365 nsew signal tristate
+rlabel metal2 s 47636 0 47692 800 6 la_data_out[94]
+port 366 nsew signal tristate
+rlabel metal2 s 48020 0 48076 800 6 la_data_out[95]
+port 367 nsew signal tristate
+rlabel metal2 s 48308 0 48364 800 6 la_data_out[96]
+port 368 nsew signal tristate
+rlabel metal2 s 48692 0 48748 800 6 la_data_out[97]
+port 369 nsew signal tristate
+rlabel metal2 s 49076 0 49132 800 6 la_data_out[98]
+port 370 nsew signal tristate
+rlabel metal2 s 49460 0 49516 800 6 la_data_out[99]
+port 371 nsew signal tristate
+rlabel metal2 s 16340 0 16396 800 6 la_data_out[9]
+port 372 nsew signal tristate
+rlabel metal2 s 13172 0 13228 800 6 la_oenb[0]
+port 373 nsew signal input
+rlabel metal2 s 49940 0 49996 800 6 la_oenb[100]
+port 374 nsew signal input
+rlabel metal2 s 50324 0 50380 800 6 la_oenb[101]
+port 375 nsew signal input
+rlabel metal2 s 50708 0 50764 800 6 la_oenb[102]
+port 376 nsew signal input
+rlabel metal2 s 50996 0 51052 800 6 la_oenb[103]
+port 377 nsew signal input
+rlabel metal2 s 51380 0 51436 800 6 la_oenb[104]
+port 378 nsew signal input
+rlabel metal2 s 51764 0 51820 800 6 la_oenb[105]
+port 379 nsew signal input
+rlabel metal2 s 52148 0 52204 800 6 la_oenb[106]
+port 380 nsew signal input
+rlabel metal2 s 52532 0 52588 800 6 la_oenb[107]
+port 381 nsew signal input
+rlabel metal2 s 52916 0 52972 800 6 la_oenb[108]
+port 382 nsew signal input
+rlabel metal2 s 53204 0 53260 800 6 la_oenb[109]
+port 383 nsew signal input
+rlabel metal2 s 16916 0 16972 800 6 la_oenb[10]
+port 384 nsew signal input
+rlabel metal2 s 53588 0 53644 800 6 la_oenb[110]
+port 385 nsew signal input
+rlabel metal2 s 53972 0 54028 800 6 la_oenb[111]
+port 386 nsew signal input
+rlabel metal2 s 54356 0 54412 800 6 la_oenb[112]
+port 387 nsew signal input
+rlabel metal2 s 54740 0 54796 800 6 la_oenb[113]
+port 388 nsew signal input
+rlabel metal2 s 55028 0 55084 800 6 la_oenb[114]
+port 389 nsew signal input
+rlabel metal2 s 55412 0 55468 800 6 la_oenb[115]
+port 390 nsew signal input
+rlabel metal2 s 55796 0 55852 800 6 la_oenb[116]
+port 391 nsew signal input
+rlabel metal2 s 56180 0 56236 800 6 la_oenb[117]
+port 392 nsew signal input
+rlabel metal2 s 56564 0 56620 800 6 la_oenb[118]
+port 393 nsew signal input
+rlabel metal2 s 56948 0 57004 800 6 la_oenb[119]
+port 394 nsew signal input
+rlabel metal2 s 17204 0 17260 800 6 la_oenb[11]
+port 395 nsew signal input
+rlabel metal2 s 57236 0 57292 800 6 la_oenb[120]
+port 396 nsew signal input
+rlabel metal2 s 57620 0 57676 800 6 la_oenb[121]
+port 397 nsew signal input
+rlabel metal2 s 58004 0 58060 800 6 la_oenb[122]
+port 398 nsew signal input
+rlabel metal2 s 58388 0 58444 800 6 la_oenb[123]
+port 399 nsew signal input
+rlabel metal2 s 58772 0 58828 800 6 la_oenb[124]
+port 400 nsew signal input
+rlabel metal2 s 59156 0 59212 800 6 la_oenb[125]
+port 401 nsew signal input
+rlabel metal2 s 59444 0 59500 800 6 la_oenb[126]
+port 402 nsew signal input
+rlabel metal2 s 59828 0 59884 800 6 la_oenb[127]
+port 403 nsew signal input
+rlabel metal2 s 17588 0 17644 800 6 la_oenb[12]
+port 404 nsew signal input
+rlabel metal2 s 17972 0 18028 800 6 la_oenb[13]
+port 405 nsew signal input
+rlabel metal2 s 18356 0 18412 800 6 la_oenb[14]
+port 406 nsew signal input
+rlabel metal2 s 18740 0 18796 800 6 la_oenb[15]
+port 407 nsew signal input
+rlabel metal2 s 19028 0 19084 800 6 la_oenb[16]
+port 408 nsew signal input
+rlabel metal2 s 19412 0 19468 800 6 la_oenb[17]
+port 409 nsew signal input
+rlabel metal2 s 19796 0 19852 800 6 la_oenb[18]
+port 410 nsew signal input
+rlabel metal2 s 20180 0 20236 800 6 la_oenb[19]
+port 411 nsew signal input
+rlabel metal2 s 13556 0 13612 800 6 la_oenb[1]
+port 412 nsew signal input
+rlabel metal2 s 20564 0 20620 800 6 la_oenb[20]
+port 413 nsew signal input
+rlabel metal2 s 20948 0 21004 800 6 la_oenb[21]
+port 414 nsew signal input
+rlabel metal2 s 21236 0 21292 800 6 la_oenb[22]
+port 415 nsew signal input
+rlabel metal2 s 21620 0 21676 800 6 la_oenb[23]
+port 416 nsew signal input
+rlabel metal2 s 22004 0 22060 800 6 la_oenb[24]
+port 417 nsew signal input
+rlabel metal2 s 22388 0 22444 800 6 la_oenb[25]
+port 418 nsew signal input
+rlabel metal2 s 22772 0 22828 800 6 la_oenb[26]
+port 419 nsew signal input
+rlabel metal2 s 23156 0 23212 800 6 la_oenb[27]
+port 420 nsew signal input
+rlabel metal2 s 23444 0 23500 800 6 la_oenb[28]
+port 421 nsew signal input
+rlabel metal2 s 23828 0 23884 800 6 la_oenb[29]
+port 422 nsew signal input
+rlabel metal2 s 13940 0 13996 800 6 la_oenb[2]
+port 423 nsew signal input
+rlabel metal2 s 24212 0 24268 800 6 la_oenb[30]
+port 424 nsew signal input
+rlabel metal2 s 24596 0 24652 800 6 la_oenb[31]
+port 425 nsew signal input
+rlabel metal2 s 24980 0 25036 800 6 la_oenb[32]
+port 426 nsew signal input
+rlabel metal2 s 25364 0 25420 800 6 la_oenb[33]
+port 427 nsew signal input
+rlabel metal2 s 25652 0 25708 800 6 la_oenb[34]
+port 428 nsew signal input
+rlabel metal2 s 26036 0 26092 800 6 la_oenb[35]
+port 429 nsew signal input
+rlabel metal2 s 26420 0 26476 800 6 la_oenb[36]
+port 430 nsew signal input
+rlabel metal2 s 26804 0 26860 800 6 la_oenb[37]
+port 431 nsew signal input
+rlabel metal2 s 27188 0 27244 800 6 la_oenb[38]
+port 432 nsew signal input
+rlabel metal2 s 27476 0 27532 800 6 la_oenb[39]
+port 433 nsew signal input
+rlabel metal2 s 14324 0 14380 800 6 la_oenb[3]
+port 434 nsew signal input
+rlabel metal2 s 27860 0 27916 800 6 la_oenb[40]
+port 435 nsew signal input
+rlabel metal2 s 28244 0 28300 800 6 la_oenb[41]
+port 436 nsew signal input
+rlabel metal2 s 28628 0 28684 800 6 la_oenb[42]
+port 437 nsew signal input
+rlabel metal2 s 29012 0 29068 800 6 la_oenb[43]
+port 438 nsew signal input
+rlabel metal2 s 29396 0 29452 800 6 la_oenb[44]
+port 439 nsew signal input
+rlabel metal2 s 29684 0 29740 800 6 la_oenb[45]
+port 440 nsew signal input
+rlabel metal2 s 30068 0 30124 800 6 la_oenb[46]
+port 441 nsew signal input
+rlabel metal2 s 30452 0 30508 800 6 la_oenb[47]
+port 442 nsew signal input
+rlabel metal2 s 30836 0 30892 800 6 la_oenb[48]
+port 443 nsew signal input
+rlabel metal2 s 31220 0 31276 800 6 la_oenb[49]
+port 444 nsew signal input
+rlabel metal2 s 14708 0 14764 800 6 la_oenb[4]
+port 445 nsew signal input
+rlabel metal2 s 31604 0 31660 800 6 la_oenb[50]
+port 446 nsew signal input
+rlabel metal2 s 31892 0 31948 800 6 la_oenb[51]
+port 447 nsew signal input
+rlabel metal2 s 32276 0 32332 800 6 la_oenb[52]
+port 448 nsew signal input
+rlabel metal2 s 32660 0 32716 800 6 la_oenb[53]
+port 449 nsew signal input
+rlabel metal2 s 33044 0 33100 800 6 la_oenb[54]
+port 450 nsew signal input
+rlabel metal2 s 33428 0 33484 800 6 la_oenb[55]
+port 451 nsew signal input
+rlabel metal2 s 33812 0 33868 800 6 la_oenb[56]
+port 452 nsew signal input
+rlabel metal2 s 34100 0 34156 800 6 la_oenb[57]
+port 453 nsew signal input
+rlabel metal2 s 34484 0 34540 800 6 la_oenb[58]
+port 454 nsew signal input
+rlabel metal2 s 34868 0 34924 800 6 la_oenb[59]
+port 455 nsew signal input
+rlabel metal2 s 14996 0 15052 800 6 la_oenb[5]
+port 456 nsew signal input
+rlabel metal2 s 35252 0 35308 800 6 la_oenb[60]
+port 457 nsew signal input
+rlabel metal2 s 35636 0 35692 800 6 la_oenb[61]
+port 458 nsew signal input
+rlabel metal2 s 36020 0 36076 800 6 la_oenb[62]
+port 459 nsew signal input
+rlabel metal2 s 36308 0 36364 800 6 la_oenb[63]
+port 460 nsew signal input
+rlabel metal2 s 36692 0 36748 800 6 la_oenb[64]
+port 461 nsew signal input
+rlabel metal2 s 37076 0 37132 800 6 la_oenb[65]
+port 462 nsew signal input
+rlabel metal2 s 37460 0 37516 800 6 la_oenb[66]
+port 463 nsew signal input
+rlabel metal2 s 37844 0 37900 800 6 la_oenb[67]
+port 464 nsew signal input
+rlabel metal2 s 38132 0 38188 800 6 la_oenb[68]
+port 465 nsew signal input
+rlabel metal2 s 38516 0 38572 800 6 la_oenb[69]
+port 466 nsew signal input
+rlabel metal2 s 15380 0 15436 800 6 la_oenb[6]
+port 467 nsew signal input
+rlabel metal2 s 38900 0 38956 800 6 la_oenb[70]
+port 468 nsew signal input
+rlabel metal2 s 39284 0 39340 800 6 la_oenb[71]
+port 469 nsew signal input
+rlabel metal2 s 39668 0 39724 800 6 la_oenb[72]
+port 470 nsew signal input
+rlabel metal2 s 40052 0 40108 800 6 la_oenb[73]
+port 471 nsew signal input
+rlabel metal2 s 40340 0 40396 800 6 la_oenb[74]
+port 472 nsew signal input
+rlabel metal2 s 40724 0 40780 800 6 la_oenb[75]
+port 473 nsew signal input
+rlabel metal2 s 41108 0 41164 800 6 la_oenb[76]
+port 474 nsew signal input
+rlabel metal2 s 41492 0 41548 800 6 la_oenb[77]
+port 475 nsew signal input
+rlabel metal2 s 41876 0 41932 800 6 la_oenb[78]
+port 476 nsew signal input
+rlabel metal2 s 42260 0 42316 800 6 la_oenb[79]
+port 477 nsew signal input
+rlabel metal2 s 15764 0 15820 800 6 la_oenb[7]
+port 478 nsew signal input
+rlabel metal2 s 42548 0 42604 800 6 la_oenb[80]
+port 479 nsew signal input
+rlabel metal2 s 42932 0 42988 800 6 la_oenb[81]
+port 480 nsew signal input
+rlabel metal2 s 43316 0 43372 800 6 la_oenb[82]
+port 481 nsew signal input
+rlabel metal2 s 43700 0 43756 800 6 la_oenb[83]
+port 482 nsew signal input
+rlabel metal2 s 44084 0 44140 800 6 la_oenb[84]
+port 483 nsew signal input
+rlabel metal2 s 44468 0 44524 800 6 la_oenb[85]
+port 484 nsew signal input
+rlabel metal2 s 44756 0 44812 800 6 la_oenb[86]
+port 485 nsew signal input
+rlabel metal2 s 45140 0 45196 800 6 la_oenb[87]
+port 486 nsew signal input
+rlabel metal2 s 45524 0 45580 800 6 la_oenb[88]
+port 487 nsew signal input
+rlabel metal2 s 45908 0 45964 800 6 la_oenb[89]
+port 488 nsew signal input
+rlabel metal2 s 16148 0 16204 800 6 la_oenb[8]
+port 489 nsew signal input
+rlabel metal2 s 46292 0 46348 800 6 la_oenb[90]
+port 490 nsew signal input
+rlabel metal2 s 46580 0 46636 800 6 la_oenb[91]
+port 491 nsew signal input
+rlabel metal2 s 46964 0 47020 800 6 la_oenb[92]
+port 492 nsew signal input
+rlabel metal2 s 47348 0 47404 800 6 la_oenb[93]
+port 493 nsew signal input
+rlabel metal2 s 47732 0 47788 800 6 la_oenb[94]
+port 494 nsew signal input
+rlabel metal2 s 48116 0 48172 800 6 la_oenb[95]
+port 495 nsew signal input
+rlabel metal2 s 48500 0 48556 800 6 la_oenb[96]
+port 496 nsew signal input
+rlabel metal2 s 48788 0 48844 800 6 la_oenb[97]
+port 497 nsew signal input
+rlabel metal2 s 49172 0 49228 800 6 la_oenb[98]
+port 498 nsew signal input
+rlabel metal2 s 49556 0 49612 800 6 la_oenb[99]
+port 499 nsew signal input
+rlabel metal2 s 16532 0 16588 800 6 la_oenb[9]
+port 500 nsew signal input
+rlabel metal2 s 20 0 76 800 6 wb_clk_i
+port 501 nsew signal input
+rlabel metal2 s 116 0 172 800 6 wb_rst_i
+port 502 nsew signal input
+rlabel metal2 s 212 0 268 800 6 wbs_ack_o
+port 503 nsew signal tristate
+rlabel metal2 s 692 0 748 800 6 wbs_adr_i[0]
+port 504 nsew signal input
+rlabel metal2 s 4916 0 4972 800 6 wbs_adr_i[10]
+port 505 nsew signal input
+rlabel metal2 s 5204 0 5260 800 6 wbs_adr_i[11]
+port 506 nsew signal input
+rlabel metal2 s 5588 0 5644 800 6 wbs_adr_i[12]
+port 507 nsew signal input
+rlabel metal2 s 5972 0 6028 800 6 wbs_adr_i[13]
+port 508 nsew signal input
+rlabel metal2 s 6356 0 6412 800 6 wbs_adr_i[14]
+port 509 nsew signal input
+rlabel metal2 s 6740 0 6796 800 6 wbs_adr_i[15]
+port 510 nsew signal input
+rlabel metal2 s 7028 0 7084 800 6 wbs_adr_i[16]
+port 511 nsew signal input
+rlabel metal2 s 7412 0 7468 800 6 wbs_adr_i[17]
+port 512 nsew signal input
+rlabel metal2 s 7796 0 7852 800 6 wbs_adr_i[18]
+port 513 nsew signal input
+rlabel metal2 s 8180 0 8236 800 6 wbs_adr_i[19]
+port 514 nsew signal input
+rlabel metal2 s 1172 0 1228 800 6 wbs_adr_i[1]
+port 515 nsew signal input
+rlabel metal2 s 8564 0 8620 800 6 wbs_adr_i[20]
+port 516 nsew signal input
+rlabel metal2 s 8948 0 9004 800 6 wbs_adr_i[21]
+port 517 nsew signal input
+rlabel metal2 s 9236 0 9292 800 6 wbs_adr_i[22]
+port 518 nsew signal input
+rlabel metal2 s 9620 0 9676 800 6 wbs_adr_i[23]
+port 519 nsew signal input
+rlabel metal2 s 10004 0 10060 800 6 wbs_adr_i[24]
+port 520 nsew signal input
+rlabel metal2 s 10388 0 10444 800 6 wbs_adr_i[25]
+port 521 nsew signal input
+rlabel metal2 s 10772 0 10828 800 6 wbs_adr_i[26]
+port 522 nsew signal input
+rlabel metal2 s 11156 0 11212 800 6 wbs_adr_i[27]
+port 523 nsew signal input
+rlabel metal2 s 11444 0 11500 800 6 wbs_adr_i[28]
+port 524 nsew signal input
+rlabel metal2 s 11828 0 11884 800 6 wbs_adr_i[29]
+port 525 nsew signal input
+rlabel metal2 s 1652 0 1708 800 6 wbs_adr_i[2]
+port 526 nsew signal input
+rlabel metal2 s 12212 0 12268 800 6 wbs_adr_i[30]
+port 527 nsew signal input
+rlabel metal2 s 12596 0 12652 800 6 wbs_adr_i[31]
+port 528 nsew signal input
+rlabel metal2 s 2132 0 2188 800 6 wbs_adr_i[3]
+port 529 nsew signal input
+rlabel metal2 s 2708 0 2764 800 6 wbs_adr_i[4]
+port 530 nsew signal input
+rlabel metal2 s 2996 0 3052 800 6 wbs_adr_i[5]
+port 531 nsew signal input
+rlabel metal2 s 3380 0 3436 800 6 wbs_adr_i[6]
+port 532 nsew signal input
+rlabel metal2 s 3764 0 3820 800 6 wbs_adr_i[7]
+port 533 nsew signal input
+rlabel metal2 s 4148 0 4204 800 6 wbs_adr_i[8]
+port 534 nsew signal input
+rlabel metal2 s 4532 0 4588 800 6 wbs_adr_i[9]
+port 535 nsew signal input
+rlabel metal2 s 308 0 364 800 6 wbs_cyc_i
+port 536 nsew signal input
+rlabel metal2 s 788 0 844 800 6 wbs_dat_i[0]
+port 537 nsew signal input
+rlabel metal2 s 5012 0 5068 800 6 wbs_dat_i[10]
+port 538 nsew signal input
+rlabel metal2 s 5396 0 5452 800 6 wbs_dat_i[11]
+port 539 nsew signal input
+rlabel metal2 s 5684 0 5740 800 6 wbs_dat_i[12]
+port 540 nsew signal input
+rlabel metal2 s 6068 0 6124 800 6 wbs_dat_i[13]
+port 541 nsew signal input
+rlabel metal2 s 6452 0 6508 800 6 wbs_dat_i[14]
+port 542 nsew signal input
+rlabel metal2 s 6836 0 6892 800 6 wbs_dat_i[15]
+port 543 nsew signal input
+rlabel metal2 s 7220 0 7276 800 6 wbs_dat_i[16]
+port 544 nsew signal input
+rlabel metal2 s 7604 0 7660 800 6 wbs_dat_i[17]
+port 545 nsew signal input
+rlabel metal2 s 7892 0 7948 800 6 wbs_dat_i[18]
+port 546 nsew signal input
+rlabel metal2 s 8276 0 8332 800 6 wbs_dat_i[19]
+port 547 nsew signal input
+rlabel metal2 s 1364 0 1420 800 6 wbs_dat_i[1]
+port 548 nsew signal input
+rlabel metal2 s 8660 0 8716 800 6 wbs_dat_i[20]
+port 549 nsew signal input
+rlabel metal2 s 9044 0 9100 800 6 wbs_dat_i[21]
+port 550 nsew signal input
+rlabel metal2 s 9428 0 9484 800 6 wbs_dat_i[22]
+port 551 nsew signal input
+rlabel metal2 s 9812 0 9868 800 6 wbs_dat_i[23]
+port 552 nsew signal input
+rlabel metal2 s 10100 0 10156 800 6 wbs_dat_i[24]
+port 553 nsew signal input
+rlabel metal2 s 10484 0 10540 800 6 wbs_dat_i[25]
+port 554 nsew signal input
+rlabel metal2 s 10868 0 10924 800 6 wbs_dat_i[26]
+port 555 nsew signal input
+rlabel metal2 s 11252 0 11308 800 6 wbs_dat_i[27]
+port 556 nsew signal input
+rlabel metal2 s 11636 0 11692 800 6 wbs_dat_i[28]
+port 557 nsew signal input
+rlabel metal2 s 12020 0 12076 800 6 wbs_dat_i[29]
+port 558 nsew signal input
+rlabel metal2 s 1844 0 1900 800 6 wbs_dat_i[2]
+port 559 nsew signal input
+rlabel metal2 s 12308 0 12364 800 6 wbs_dat_i[30]
+port 560 nsew signal input
+rlabel metal2 s 12692 0 12748 800 6 wbs_dat_i[31]
+port 561 nsew signal input
+rlabel metal2 s 2324 0 2380 800 6 wbs_dat_i[3]
+port 562 nsew signal input
+rlabel metal2 s 2804 0 2860 800 6 wbs_dat_i[4]
+port 563 nsew signal input
+rlabel metal2 s 3188 0 3244 800 6 wbs_dat_i[5]
+port 564 nsew signal input
+rlabel metal2 s 3476 0 3532 800 6 wbs_dat_i[6]
+port 565 nsew signal input
+rlabel metal2 s 3860 0 3916 800 6 wbs_dat_i[7]
+port 566 nsew signal input
+rlabel metal2 s 4244 0 4300 800 6 wbs_dat_i[8]
+port 567 nsew signal input
+rlabel metal2 s 4628 0 4684 800 6 wbs_dat_i[9]
+port 568 nsew signal input
+rlabel metal2 s 980 0 1036 800 6 wbs_dat_o[0]
+port 569 nsew signal tristate
+rlabel metal2 s 5108 0 5164 800 6 wbs_dat_o[10]
+port 570 nsew signal tristate
+rlabel metal2 s 5492 0 5548 800 6 wbs_dat_o[11]
+port 571 nsew signal tristate
+rlabel metal2 s 5876 0 5932 800 6 wbs_dat_o[12]
+port 572 nsew signal tristate
+rlabel metal2 s 6260 0 6316 800 6 wbs_dat_o[13]
+port 573 nsew signal tristate
+rlabel metal2 s 6548 0 6604 800 6 wbs_dat_o[14]
+port 574 nsew signal tristate
+rlabel metal2 s 6932 0 6988 800 6 wbs_dat_o[15]
+port 575 nsew signal tristate
+rlabel metal2 s 7316 0 7372 800 6 wbs_dat_o[16]
+port 576 nsew signal tristate
+rlabel metal2 s 7700 0 7756 800 6 wbs_dat_o[17]
+port 577 nsew signal tristate
+rlabel metal2 s 8084 0 8140 800 6 wbs_dat_o[18]
+port 578 nsew signal tristate
+rlabel metal2 s 8468 0 8524 800 6 wbs_dat_o[19]
+port 579 nsew signal tristate
+rlabel metal2 s 1460 0 1516 800 6 wbs_dat_o[1]
+port 580 nsew signal tristate
+rlabel metal2 s 8756 0 8812 800 6 wbs_dat_o[20]
+port 581 nsew signal tristate
+rlabel metal2 s 9140 0 9196 800 6 wbs_dat_o[21]
+port 582 nsew signal tristate
+rlabel metal2 s 9524 0 9580 800 6 wbs_dat_o[22]
+port 583 nsew signal tristate
+rlabel metal2 s 9908 0 9964 800 6 wbs_dat_o[23]
+port 584 nsew signal tristate
+rlabel metal2 s 10292 0 10348 800 6 wbs_dat_o[24]
+port 585 nsew signal tristate
+rlabel metal2 s 10580 0 10636 800 6 wbs_dat_o[25]
+port 586 nsew signal tristate
+rlabel metal2 s 10964 0 11020 800 6 wbs_dat_o[26]
+port 587 nsew signal tristate
+rlabel metal2 s 11348 0 11404 800 6 wbs_dat_o[27]
+port 588 nsew signal tristate
+rlabel metal2 s 11732 0 11788 800 6 wbs_dat_o[28]
+port 589 nsew signal tristate
+rlabel metal2 s 12116 0 12172 800 6 wbs_dat_o[29]
+port 590 nsew signal tristate
+rlabel metal2 s 1940 0 1996 800 6 wbs_dat_o[2]
+port 591 nsew signal tristate
+rlabel metal2 s 12500 0 12556 800 6 wbs_dat_o[30]
+port 592 nsew signal tristate
+rlabel metal2 s 12788 0 12844 800 6 wbs_dat_o[31]
+port 593 nsew signal tristate
+rlabel metal2 s 2420 0 2476 800 6 wbs_dat_o[3]
+port 594 nsew signal tristate
+rlabel metal2 s 2900 0 2956 800 6 wbs_dat_o[4]
+port 595 nsew signal tristate
+rlabel metal2 s 3284 0 3340 800 6 wbs_dat_o[5]
+port 596 nsew signal tristate
+rlabel metal2 s 3668 0 3724 800 6 wbs_dat_o[6]
+port 597 nsew signal tristate
+rlabel metal2 s 4052 0 4108 800 6 wbs_dat_o[7]
+port 598 nsew signal tristate
+rlabel metal2 s 4340 0 4396 800 6 wbs_dat_o[8]
+port 599 nsew signal tristate
+rlabel metal2 s 4724 0 4780 800 6 wbs_dat_o[9]
+port 600 nsew signal tristate
+rlabel metal2 s 1076 0 1132 800 6 wbs_sel_i[0]
+port 601 nsew signal input
+rlabel metal2 s 1556 0 1612 800 6 wbs_sel_i[1]
+port 602 nsew signal input
+rlabel metal2 s 2036 0 2092 800 6 wbs_sel_i[2]
+port 603 nsew signal input
+rlabel metal2 s 2516 0 2572 800 6 wbs_sel_i[3]
+port 604 nsew signal input
+rlabel metal2 s 500 0 556 800 6 wbs_stb_i
+port 605 nsew signal input
+rlabel metal2 s 596 0 652 800 6 wbs_we_i
+port 606 nsew signal input
+rlabel metal4 s 34976 2616 35296 57324 6 vccd1
+port 607 nsew power bidirectional
+rlabel metal4 s 4256 2616 4576 57324 6 vccd1
+port 608 nsew power bidirectional
+rlabel metal4 s 50336 2616 50656 57324 6 vssd1
+port 609 nsew ground bidirectional
+rlabel metal4 s 19616 2616 19936 57324 6 vssd1
+port 610 nsew ground bidirectional
+rlabel metal4 s 35636 2664 35956 57276 6 vccd2
+port 611 nsew power bidirectional
+rlabel metal4 s 4916 2664 5236 57276 6 vccd2
+port 612 nsew power bidirectional
+rlabel metal4 s 50996 2664 51316 57276 6 vssd2
+port 613 nsew ground bidirectional
+rlabel metal4 s 20276 2664 20596 57276 6 vssd2
+port 614 nsew ground bidirectional
+rlabel metal4 s 36296 2664 36616 57276 6 vdda1
+port 615 nsew power bidirectional
+rlabel metal4 s 5576 2664 5896 57276 6 vdda1
+port 616 nsew power bidirectional
+rlabel metal4 s 51656 2664 51976 57276 6 vssa1
+port 617 nsew ground bidirectional
+rlabel metal4 s 20936 2664 21256 57276 6 vssa1
+port 618 nsew ground bidirectional
+rlabel metal4 s 36956 2664 37276 57276 6 vdda2
+port 619 nsew power bidirectional
+rlabel metal4 s 6236 2664 6556 57276 6 vdda2
+port 620 nsew power bidirectional
+rlabel metal4 s 52316 2664 52636 57276 6 vssa2
+port 621 nsew ground bidirectional
+rlabel metal4 s 21596 2664 21916 57276 6 vssa2
+port 622 nsew ground bidirectional
+=======
 rlabel metal2 s 179050 0 179106 800 6 irq[0]
 port 114 nsew signal tristate
 rlabel metal2 s 179418 0 179474 800 6 irq[1]
@@ -361973,6 +434038,7 @@
 port 607 nsew signal input
 rlabel metal2 s 1858 0 1914 800 6 wbs_we_i
 port 608 nsew signal input
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 << properties >>
-string FIXED_BBOX 0 0 180000 120000
+string FIXED_BBOX 0 0 60000 60000
 << end >>
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index ff669ca..c9dd3fc 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
Binary files differ
diff --git a/maglef/user_proj_example.mag b/maglef/user_proj_example.mag
index 107ed2c..03a49ba 100644
--- a/maglef/user_proj_example.mag
+++ b/maglef/user_proj_example.mag
@@ -1,10 +1,902 @@
 magic
 tech sky130A
 magscale 1 2
+<<<<<<< HEAD
+timestamp 1624954273
+=======
 timestamp 1631894554
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 << obsli1 >>
-rect 1104 1377 178848 117521
+rect 1152 2647 58848 57293
 << obsm1 >>
+<<<<<<< HEAD
+rect 16 1417 59888 57325
+<< metal2 >>
+rect 212 59200 268 60000
+rect 692 59200 748 60000
+rect 1172 59200 1228 60000
+rect 1748 59200 1804 60000
+rect 2228 59200 2284 60000
+rect 2804 59200 2860 60000
+rect 3284 59200 3340 60000
+rect 3860 59200 3916 60000
+rect 4340 59200 4396 60000
+rect 4916 59200 4972 60000
+rect 5396 59200 5452 60000
+rect 5972 59200 6028 60000
+rect 6452 59200 6508 60000
+rect 7028 59200 7084 60000
+rect 7508 59200 7564 60000
+rect 8084 59200 8140 60000
+rect 8564 59200 8620 60000
+rect 9140 59200 9196 60000
+rect 9620 59200 9676 60000
+rect 10196 59200 10252 60000
+rect 10676 59200 10732 60000
+rect 11252 59200 11308 60000
+rect 11732 59200 11788 60000
+rect 12308 59200 12364 60000
+rect 12788 59200 12844 60000
+rect 13364 59200 13420 60000
+rect 13844 59200 13900 60000
+rect 14420 59200 14476 60000
+rect 14900 59200 14956 60000
+rect 15380 59200 15436 60000
+rect 15956 59200 16012 60000
+rect 16436 59200 16492 60000
+rect 17012 59200 17068 60000
+rect 17492 59200 17548 60000
+rect 18068 59200 18124 60000
+rect 18548 59200 18604 60000
+rect 19124 59200 19180 60000
+rect 19604 59200 19660 60000
+rect 20180 59200 20236 60000
+rect 20660 59200 20716 60000
+rect 21236 59200 21292 60000
+rect 21716 59200 21772 60000
+rect 22292 59200 22348 60000
+rect 22772 59200 22828 60000
+rect 23348 59200 23404 60000
+rect 23828 59200 23884 60000
+rect 24404 59200 24460 60000
+rect 24884 59200 24940 60000
+rect 25460 59200 25516 60000
+rect 25940 59200 25996 60000
+rect 26516 59200 26572 60000
+rect 26996 59200 27052 60000
+rect 27572 59200 27628 60000
+rect 28052 59200 28108 60000
+rect 28628 59200 28684 60000
+rect 29108 59200 29164 60000
+rect 29684 59200 29740 60000
+rect 30164 59200 30220 60000
+rect 30644 59200 30700 60000
+rect 31220 59200 31276 60000
+rect 31700 59200 31756 60000
+rect 32276 59200 32332 60000
+rect 32756 59200 32812 60000
+rect 33332 59200 33388 60000
+rect 33812 59200 33868 60000
+rect 34388 59200 34444 60000
+rect 34868 59200 34924 60000
+rect 35444 59200 35500 60000
+rect 35924 59200 35980 60000
+rect 36500 59200 36556 60000
+rect 36980 59200 37036 60000
+rect 37556 59200 37612 60000
+rect 38036 59200 38092 60000
+rect 38612 59200 38668 60000
+rect 39092 59200 39148 60000
+rect 39668 59200 39724 60000
+rect 40148 59200 40204 60000
+rect 40724 59200 40780 60000
+rect 41204 59200 41260 60000
+rect 41780 59200 41836 60000
+rect 42260 59200 42316 60000
+rect 42836 59200 42892 60000
+rect 43316 59200 43372 60000
+rect 43892 59200 43948 60000
+rect 44372 59200 44428 60000
+rect 44948 59200 45004 60000
+rect 45428 59200 45484 60000
+rect 45908 59200 45964 60000
+rect 46484 59200 46540 60000
+rect 46964 59200 47020 60000
+rect 47540 59200 47596 60000
+rect 48020 59200 48076 60000
+rect 48596 59200 48652 60000
+rect 49076 59200 49132 60000
+rect 49652 59200 49708 60000
+rect 50132 59200 50188 60000
+rect 50708 59200 50764 60000
+rect 51188 59200 51244 60000
+rect 51764 59200 51820 60000
+rect 52244 59200 52300 60000
+rect 52820 59200 52876 60000
+rect 53300 59200 53356 60000
+rect 53876 59200 53932 60000
+rect 54356 59200 54412 60000
+rect 54932 59200 54988 60000
+rect 55412 59200 55468 60000
+rect 55988 59200 56044 60000
+rect 56468 59200 56524 60000
+rect 57044 59200 57100 60000
+rect 57524 59200 57580 60000
+rect 58100 59200 58156 60000
+rect 58580 59200 58636 60000
+rect 59156 59200 59212 60000
+rect 59636 59200 59692 60000
+rect 20 0 76 800
+rect 116 0 172 800
+rect 212 0 268 800
+rect 308 0 364 800
+rect 500 0 556 800
+rect 596 0 652 800
+rect 692 0 748 800
+rect 788 0 844 800
+rect 980 0 1036 800
+rect 1076 0 1132 800
+rect 1172 0 1228 800
+rect 1364 0 1420 800
+rect 1460 0 1516 800
+rect 1556 0 1612 800
+rect 1652 0 1708 800
+rect 1844 0 1900 800
+rect 1940 0 1996 800
+rect 2036 0 2092 800
+rect 2132 0 2188 800
+rect 2324 0 2380 800
+rect 2420 0 2476 800
+rect 2516 0 2572 800
+rect 2708 0 2764 800
+rect 2804 0 2860 800
+rect 2900 0 2956 800
+rect 2996 0 3052 800
+rect 3188 0 3244 800
+rect 3284 0 3340 800
+rect 3380 0 3436 800
+rect 3476 0 3532 800
+rect 3668 0 3724 800
+rect 3764 0 3820 800
+rect 3860 0 3916 800
+rect 4052 0 4108 800
+rect 4148 0 4204 800
+rect 4244 0 4300 800
+rect 4340 0 4396 800
+rect 4532 0 4588 800
+rect 4628 0 4684 800
+rect 4724 0 4780 800
+rect 4916 0 4972 800
+rect 5012 0 5068 800
+rect 5108 0 5164 800
+rect 5204 0 5260 800
+rect 5396 0 5452 800
+rect 5492 0 5548 800
+rect 5588 0 5644 800
+rect 5684 0 5740 800
+rect 5876 0 5932 800
+rect 5972 0 6028 800
+rect 6068 0 6124 800
+rect 6260 0 6316 800
+rect 6356 0 6412 800
+rect 6452 0 6508 800
+rect 6548 0 6604 800
+rect 6740 0 6796 800
+rect 6836 0 6892 800
+rect 6932 0 6988 800
+rect 7028 0 7084 800
+rect 7220 0 7276 800
+rect 7316 0 7372 800
+rect 7412 0 7468 800
+rect 7604 0 7660 800
+rect 7700 0 7756 800
+rect 7796 0 7852 800
+rect 7892 0 7948 800
+rect 8084 0 8140 800
+rect 8180 0 8236 800
+rect 8276 0 8332 800
+rect 8468 0 8524 800
+rect 8564 0 8620 800
+rect 8660 0 8716 800
+rect 8756 0 8812 800
+rect 8948 0 9004 800
+rect 9044 0 9100 800
+rect 9140 0 9196 800
+rect 9236 0 9292 800
+rect 9428 0 9484 800
+rect 9524 0 9580 800
+rect 9620 0 9676 800
+rect 9812 0 9868 800
+rect 9908 0 9964 800
+rect 10004 0 10060 800
+rect 10100 0 10156 800
+rect 10292 0 10348 800
+rect 10388 0 10444 800
+rect 10484 0 10540 800
+rect 10580 0 10636 800
+rect 10772 0 10828 800
+rect 10868 0 10924 800
+rect 10964 0 11020 800
+rect 11156 0 11212 800
+rect 11252 0 11308 800
+rect 11348 0 11404 800
+rect 11444 0 11500 800
+rect 11636 0 11692 800
+rect 11732 0 11788 800
+rect 11828 0 11884 800
+rect 12020 0 12076 800
+rect 12116 0 12172 800
+rect 12212 0 12268 800
+rect 12308 0 12364 800
+rect 12500 0 12556 800
+rect 12596 0 12652 800
+rect 12692 0 12748 800
+rect 12788 0 12844 800
+rect 12980 0 13036 800
+rect 13076 0 13132 800
+rect 13172 0 13228 800
+rect 13364 0 13420 800
+rect 13460 0 13516 800
+rect 13556 0 13612 800
+rect 13652 0 13708 800
+rect 13844 0 13900 800
+rect 13940 0 13996 800
+rect 14036 0 14092 800
+rect 14132 0 14188 800
+rect 14324 0 14380 800
+rect 14420 0 14476 800
+rect 14516 0 14572 800
+rect 14708 0 14764 800
+rect 14804 0 14860 800
+rect 14900 0 14956 800
+rect 14996 0 15052 800
+rect 15188 0 15244 800
+rect 15284 0 15340 800
+rect 15380 0 15436 800
+rect 15476 0 15532 800
+rect 15668 0 15724 800
+rect 15764 0 15820 800
+rect 15860 0 15916 800
+rect 16052 0 16108 800
+rect 16148 0 16204 800
+rect 16244 0 16300 800
+rect 16340 0 16396 800
+rect 16532 0 16588 800
+rect 16628 0 16684 800
+rect 16724 0 16780 800
+rect 16916 0 16972 800
+rect 17012 0 17068 800
+rect 17108 0 17164 800
+rect 17204 0 17260 800
+rect 17396 0 17452 800
+rect 17492 0 17548 800
+rect 17588 0 17644 800
+rect 17684 0 17740 800
+rect 17876 0 17932 800
+rect 17972 0 18028 800
+rect 18068 0 18124 800
+rect 18260 0 18316 800
+rect 18356 0 18412 800
+rect 18452 0 18508 800
+rect 18548 0 18604 800
+rect 18740 0 18796 800
+rect 18836 0 18892 800
+rect 18932 0 18988 800
+rect 19028 0 19084 800
+rect 19220 0 19276 800
+rect 19316 0 19372 800
+rect 19412 0 19468 800
+rect 19604 0 19660 800
+rect 19700 0 19756 800
+rect 19796 0 19852 800
+rect 19892 0 19948 800
+rect 20084 0 20140 800
+rect 20180 0 20236 800
+rect 20276 0 20332 800
+rect 20468 0 20524 800
+rect 20564 0 20620 800
+rect 20660 0 20716 800
+rect 20756 0 20812 800
+rect 20948 0 21004 800
+rect 21044 0 21100 800
+rect 21140 0 21196 800
+rect 21236 0 21292 800
+rect 21428 0 21484 800
+rect 21524 0 21580 800
+rect 21620 0 21676 800
+rect 21812 0 21868 800
+rect 21908 0 21964 800
+rect 22004 0 22060 800
+rect 22100 0 22156 800
+rect 22292 0 22348 800
+rect 22388 0 22444 800
+rect 22484 0 22540 800
+rect 22580 0 22636 800
+rect 22772 0 22828 800
+rect 22868 0 22924 800
+rect 22964 0 23020 800
+rect 23156 0 23212 800
+rect 23252 0 23308 800
+rect 23348 0 23404 800
+rect 23444 0 23500 800
+rect 23636 0 23692 800
+rect 23732 0 23788 800
+rect 23828 0 23884 800
+rect 24020 0 24076 800
+rect 24116 0 24172 800
+rect 24212 0 24268 800
+rect 24308 0 24364 800
+rect 24500 0 24556 800
+rect 24596 0 24652 800
+rect 24692 0 24748 800
+rect 24788 0 24844 800
+rect 24980 0 25036 800
+rect 25076 0 25132 800
+rect 25172 0 25228 800
+rect 25364 0 25420 800
+rect 25460 0 25516 800
+rect 25556 0 25612 800
+rect 25652 0 25708 800
+rect 25844 0 25900 800
+rect 25940 0 25996 800
+rect 26036 0 26092 800
+rect 26132 0 26188 800
+rect 26324 0 26380 800
+rect 26420 0 26476 800
+rect 26516 0 26572 800
+rect 26708 0 26764 800
+rect 26804 0 26860 800
+rect 26900 0 26956 800
+rect 26996 0 27052 800
+rect 27188 0 27244 800
+rect 27284 0 27340 800
+rect 27380 0 27436 800
+rect 27476 0 27532 800
+rect 27668 0 27724 800
+rect 27764 0 27820 800
+rect 27860 0 27916 800
+rect 28052 0 28108 800
+rect 28148 0 28204 800
+rect 28244 0 28300 800
+rect 28340 0 28396 800
+rect 28532 0 28588 800
+rect 28628 0 28684 800
+rect 28724 0 28780 800
+rect 28916 0 28972 800
+rect 29012 0 29068 800
+rect 29108 0 29164 800
+rect 29204 0 29260 800
+rect 29396 0 29452 800
+rect 29492 0 29548 800
+rect 29588 0 29644 800
+rect 29684 0 29740 800
+rect 29876 0 29932 800
+rect 29972 0 30028 800
+rect 30068 0 30124 800
+rect 30260 0 30316 800
+rect 30356 0 30412 800
+rect 30452 0 30508 800
+rect 30548 0 30604 800
+rect 30740 0 30796 800
+rect 30836 0 30892 800
+rect 30932 0 30988 800
+rect 31028 0 31084 800
+rect 31220 0 31276 800
+rect 31316 0 31372 800
+rect 31412 0 31468 800
+rect 31604 0 31660 800
+rect 31700 0 31756 800
+rect 31796 0 31852 800
+rect 31892 0 31948 800
+rect 32084 0 32140 800
+rect 32180 0 32236 800
+rect 32276 0 32332 800
+rect 32468 0 32524 800
+rect 32564 0 32620 800
+rect 32660 0 32716 800
+rect 32756 0 32812 800
+rect 32948 0 33004 800
+rect 33044 0 33100 800
+rect 33140 0 33196 800
+rect 33236 0 33292 800
+rect 33428 0 33484 800
+rect 33524 0 33580 800
+rect 33620 0 33676 800
+rect 33812 0 33868 800
+rect 33908 0 33964 800
+rect 34004 0 34060 800
+rect 34100 0 34156 800
+rect 34292 0 34348 800
+rect 34388 0 34444 800
+rect 34484 0 34540 800
+rect 34580 0 34636 800
+rect 34772 0 34828 800
+rect 34868 0 34924 800
+rect 34964 0 35020 800
+rect 35156 0 35212 800
+rect 35252 0 35308 800
+rect 35348 0 35404 800
+rect 35444 0 35500 800
+rect 35636 0 35692 800
+rect 35732 0 35788 800
+rect 35828 0 35884 800
+rect 36020 0 36076 800
+rect 36116 0 36172 800
+rect 36212 0 36268 800
+rect 36308 0 36364 800
+rect 36500 0 36556 800
+rect 36596 0 36652 800
+rect 36692 0 36748 800
+rect 36788 0 36844 800
+rect 36980 0 37036 800
+rect 37076 0 37132 800
+rect 37172 0 37228 800
+rect 37364 0 37420 800
+rect 37460 0 37516 800
+rect 37556 0 37612 800
+rect 37652 0 37708 800
+rect 37844 0 37900 800
+rect 37940 0 37996 800
+rect 38036 0 38092 800
+rect 38132 0 38188 800
+rect 38324 0 38380 800
+rect 38420 0 38476 800
+rect 38516 0 38572 800
+rect 38708 0 38764 800
+rect 38804 0 38860 800
+rect 38900 0 38956 800
+rect 38996 0 39052 800
+rect 39188 0 39244 800
+rect 39284 0 39340 800
+rect 39380 0 39436 800
+rect 39476 0 39532 800
+rect 39668 0 39724 800
+rect 39764 0 39820 800
+rect 39860 0 39916 800
+rect 40052 0 40108 800
+rect 40148 0 40204 800
+rect 40244 0 40300 800
+rect 40340 0 40396 800
+rect 40532 0 40588 800
+rect 40628 0 40684 800
+rect 40724 0 40780 800
+rect 40916 0 40972 800
+rect 41012 0 41068 800
+rect 41108 0 41164 800
+rect 41204 0 41260 800
+rect 41396 0 41452 800
+rect 41492 0 41548 800
+rect 41588 0 41644 800
+rect 41684 0 41740 800
+rect 41876 0 41932 800
+rect 41972 0 42028 800
+rect 42068 0 42124 800
+rect 42260 0 42316 800
+rect 42356 0 42412 800
+rect 42452 0 42508 800
+rect 42548 0 42604 800
+rect 42740 0 42796 800
+rect 42836 0 42892 800
+rect 42932 0 42988 800
+rect 43028 0 43084 800
+rect 43220 0 43276 800
+rect 43316 0 43372 800
+rect 43412 0 43468 800
+rect 43604 0 43660 800
+rect 43700 0 43756 800
+rect 43796 0 43852 800
+rect 43892 0 43948 800
+rect 44084 0 44140 800
+rect 44180 0 44236 800
+rect 44276 0 44332 800
+rect 44468 0 44524 800
+rect 44564 0 44620 800
+rect 44660 0 44716 800
+rect 44756 0 44812 800
+rect 44948 0 45004 800
+rect 45044 0 45100 800
+rect 45140 0 45196 800
+rect 45236 0 45292 800
+rect 45428 0 45484 800
+rect 45524 0 45580 800
+rect 45620 0 45676 800
+rect 45812 0 45868 800
+rect 45908 0 45964 800
+rect 46004 0 46060 800
+rect 46100 0 46156 800
+rect 46292 0 46348 800
+rect 46388 0 46444 800
+rect 46484 0 46540 800
+rect 46580 0 46636 800
+rect 46772 0 46828 800
+rect 46868 0 46924 800
+rect 46964 0 47020 800
+rect 47156 0 47212 800
+rect 47252 0 47308 800
+rect 47348 0 47404 800
+rect 47444 0 47500 800
+rect 47636 0 47692 800
+rect 47732 0 47788 800
+rect 47828 0 47884 800
+rect 48020 0 48076 800
+rect 48116 0 48172 800
+rect 48212 0 48268 800
+rect 48308 0 48364 800
+rect 48500 0 48556 800
+rect 48596 0 48652 800
+rect 48692 0 48748 800
+rect 48788 0 48844 800
+rect 48980 0 49036 800
+rect 49076 0 49132 800
+rect 49172 0 49228 800
+rect 49364 0 49420 800
+rect 49460 0 49516 800
+rect 49556 0 49612 800
+rect 49652 0 49708 800
+rect 49844 0 49900 800
+rect 49940 0 49996 800
+rect 50036 0 50092 800
+rect 50132 0 50188 800
+rect 50324 0 50380 800
+rect 50420 0 50476 800
+rect 50516 0 50572 800
+rect 50708 0 50764 800
+rect 50804 0 50860 800
+rect 50900 0 50956 800
+rect 50996 0 51052 800
+rect 51188 0 51244 800
+rect 51284 0 51340 800
+rect 51380 0 51436 800
+rect 51476 0 51532 800
+rect 51668 0 51724 800
+rect 51764 0 51820 800
+rect 51860 0 51916 800
+rect 52052 0 52108 800
+rect 52148 0 52204 800
+rect 52244 0 52300 800
+rect 52340 0 52396 800
+rect 52532 0 52588 800
+rect 52628 0 52684 800
+rect 52724 0 52780 800
+rect 52916 0 52972 800
+rect 53012 0 53068 800
+rect 53108 0 53164 800
+rect 53204 0 53260 800
+rect 53396 0 53452 800
+rect 53492 0 53548 800
+rect 53588 0 53644 800
+rect 53684 0 53740 800
+rect 53876 0 53932 800
+rect 53972 0 54028 800
+rect 54068 0 54124 800
+rect 54260 0 54316 800
+rect 54356 0 54412 800
+rect 54452 0 54508 800
+rect 54548 0 54604 800
+rect 54740 0 54796 800
+rect 54836 0 54892 800
+rect 54932 0 54988 800
+rect 55028 0 55084 800
+rect 55220 0 55276 800
+rect 55316 0 55372 800
+rect 55412 0 55468 800
+rect 55604 0 55660 800
+rect 55700 0 55756 800
+rect 55796 0 55852 800
+rect 55892 0 55948 800
+rect 56084 0 56140 800
+rect 56180 0 56236 800
+rect 56276 0 56332 800
+rect 56468 0 56524 800
+rect 56564 0 56620 800
+rect 56660 0 56716 800
+rect 56756 0 56812 800
+rect 56948 0 57004 800
+rect 57044 0 57100 800
+rect 57140 0 57196 800
+rect 57236 0 57292 800
+rect 57428 0 57484 800
+rect 57524 0 57580 800
+rect 57620 0 57676 800
+rect 57812 0 57868 800
+rect 57908 0 57964 800
+rect 58004 0 58060 800
+rect 58100 0 58156 800
+rect 58292 0 58348 800
+rect 58388 0 58444 800
+rect 58484 0 58540 800
+rect 58580 0 58636 800
+rect 58772 0 58828 800
+rect 58868 0 58924 800
+rect 58964 0 59020 800
+rect 59156 0 59212 800
+rect 59252 0 59308 800
+rect 59348 0 59404 800
+rect 59444 0 59500 800
+rect 59636 0 59692 800
+rect 59732 0 59788 800
+rect 59828 0 59884 800
+<< obsm2 >>
+rect 22 59144 156 59200
+rect 324 59144 636 59200
+rect 804 59144 1116 59200
+rect 1284 59144 1692 59200
+rect 1860 59144 2172 59200
+rect 2340 59144 2748 59200
+rect 2916 59144 3228 59200
+rect 3396 59144 3804 59200
+rect 3972 59144 4284 59200
+rect 4452 59144 4860 59200
+rect 5028 59144 5340 59200
+rect 5508 59144 5916 59200
+rect 6084 59144 6396 59200
+rect 6564 59144 6972 59200
+rect 7140 59144 7452 59200
+rect 7620 59144 8028 59200
+rect 8196 59144 8508 59200
+rect 8676 59144 9084 59200
+rect 9252 59144 9564 59200
+rect 9732 59144 10140 59200
+rect 10308 59144 10620 59200
+rect 10788 59144 11196 59200
+rect 11364 59144 11676 59200
+rect 11844 59144 12252 59200
+rect 12420 59144 12732 59200
+rect 12900 59144 13308 59200
+rect 13476 59144 13788 59200
+rect 13956 59144 14364 59200
+rect 14532 59144 14844 59200
+rect 15012 59144 15324 59200
+rect 15492 59144 15900 59200
+rect 16068 59144 16380 59200
+rect 16548 59144 16956 59200
+rect 17124 59144 17436 59200
+rect 17604 59144 18012 59200
+rect 18180 59144 18492 59200
+rect 18660 59144 19068 59200
+rect 19236 59144 19548 59200
+rect 19716 59144 20124 59200
+rect 20292 59144 20604 59200
+rect 20772 59144 21180 59200
+rect 21348 59144 21660 59200
+rect 21828 59144 22236 59200
+rect 22404 59144 22716 59200
+rect 22884 59144 23292 59200
+rect 23460 59144 23772 59200
+rect 23940 59144 24348 59200
+rect 24516 59144 24828 59200
+rect 24996 59144 25404 59200
+rect 25572 59144 25884 59200
+rect 26052 59144 26460 59200
+rect 26628 59144 26940 59200
+rect 27108 59144 27516 59200
+rect 27684 59144 27996 59200
+rect 28164 59144 28572 59200
+rect 28740 59144 29052 59200
+rect 29220 59144 29628 59200
+rect 29796 59144 30108 59200
+rect 30276 59144 30588 59200
+rect 30756 59144 31164 59200
+rect 31332 59144 31644 59200
+rect 31812 59144 32220 59200
+rect 32388 59144 32700 59200
+rect 32868 59144 33276 59200
+rect 33444 59144 33756 59200
+rect 33924 59144 34332 59200
+rect 34500 59144 34812 59200
+rect 34980 59144 35388 59200
+rect 35556 59144 35868 59200
+rect 36036 59144 36444 59200
+rect 36612 59144 36924 59200
+rect 37092 59144 37500 59200
+rect 37668 59144 37980 59200
+rect 38148 59144 38556 59200
+rect 38724 59144 39036 59200
+rect 39204 59144 39612 59200
+rect 39780 59144 40092 59200
+rect 40260 59144 40668 59200
+rect 40836 59144 41148 59200
+rect 41316 59144 41724 59200
+rect 41892 59144 42204 59200
+rect 42372 59144 42780 59200
+rect 42948 59144 43260 59200
+rect 43428 59144 43836 59200
+rect 44004 59144 44316 59200
+rect 44484 59144 44892 59200
+rect 45060 59144 45372 59200
+rect 45540 59144 45852 59200
+rect 46020 59144 46428 59200
+rect 46596 59144 46908 59200
+rect 47076 59144 47484 59200
+rect 47652 59144 47964 59200
+rect 48132 59144 48540 59200
+rect 48708 59144 49020 59200
+rect 49188 59144 49596 59200
+rect 49764 59144 50076 59200
+rect 50244 59144 50652 59200
+rect 50820 59144 51132 59200
+rect 51300 59144 51708 59200
+rect 51876 59144 52188 59200
+rect 52356 59144 52764 59200
+rect 52932 59144 53244 59200
+rect 53412 59144 53820 59200
+rect 53988 59144 54300 59200
+rect 54468 59144 54876 59200
+rect 55044 59144 55356 59200
+rect 55524 59144 55932 59200
+rect 56100 59144 56412 59200
+rect 56580 59144 56988 59200
+rect 57156 59144 57468 59200
+rect 57636 59144 58044 59200
+rect 58212 59144 58524 59200
+rect 58692 59144 59100 59200
+rect 59268 59144 59580 59200
+rect 59748 59144 59882 59200
+rect 22 856 59882 59144
+rect 420 800 444 856
+rect 900 800 924 856
+rect 1284 800 1308 856
+rect 1764 800 1788 856
+rect 2244 800 2268 856
+rect 2628 800 2652 856
+rect 3108 800 3132 856
+rect 3588 800 3612 856
+rect 3972 800 3996 856
+rect 4452 800 4476 856
+rect 4836 800 4860 856
+rect 5316 800 5340 856
+rect 5796 800 5820 856
+rect 6180 800 6204 856
+rect 6660 800 6684 856
+rect 7140 800 7164 856
+rect 7524 800 7548 856
+rect 8004 800 8028 856
+rect 8388 800 8412 856
+rect 8868 800 8892 856
+rect 9348 800 9372 856
+rect 9732 800 9756 856
+rect 10212 800 10236 856
+rect 10692 800 10716 856
+rect 11076 800 11100 856
+rect 11556 800 11580 856
+rect 11940 800 11964 856
+rect 12420 800 12444 856
+rect 12900 800 12924 856
+rect 13284 800 13308 856
+rect 13764 800 13788 856
+rect 14244 800 14268 856
+rect 14628 800 14652 856
+rect 15108 800 15132 856
+rect 15588 800 15612 856
+rect 15972 800 15996 856
+rect 16452 800 16476 856
+rect 16836 800 16860 856
+rect 17316 800 17340 856
+rect 17796 800 17820 856
+rect 18180 800 18204 856
+rect 18660 800 18684 856
+rect 19140 800 19164 856
+rect 19524 800 19548 856
+rect 20004 800 20028 856
+rect 20388 800 20412 856
+rect 20868 800 20892 856
+rect 21348 800 21372 856
+rect 21732 800 21756 856
+rect 22212 800 22236 856
+rect 22692 800 22716 856
+rect 23076 800 23100 856
+rect 23556 800 23580 856
+rect 23940 800 23964 856
+rect 24420 800 24444 856
+rect 24900 800 24924 856
+rect 25284 800 25308 856
+rect 25764 800 25788 856
+rect 26244 800 26268 856
+rect 26628 800 26652 856
+rect 27108 800 27132 856
+rect 27588 800 27612 856
+rect 27972 800 27996 856
+rect 28452 800 28476 856
+rect 28836 800 28860 856
+rect 29316 800 29340 856
+rect 29796 800 29820 856
+rect 30180 800 30204 856
+rect 30660 800 30684 856
+rect 31140 800 31164 856
+rect 31524 800 31548 856
+rect 32004 800 32028 856
+rect 32388 800 32412 856
+rect 32868 800 32892 856
+rect 33348 800 33372 856
+rect 33732 800 33756 856
+rect 34212 800 34236 856
+rect 34692 800 34716 856
+rect 35076 800 35100 856
+rect 35556 800 35580 856
+rect 35940 800 35964 856
+rect 36420 800 36444 856
+rect 36900 800 36924 856
+rect 37284 800 37308 856
+rect 37764 800 37788 856
+rect 38244 800 38268 856
+rect 38628 800 38652 856
+rect 39108 800 39132 856
+rect 39588 800 39612 856
+rect 39972 800 39996 856
+rect 40452 800 40476 856
+rect 40836 800 40860 856
+rect 41316 800 41340 856
+rect 41796 800 41820 856
+rect 42180 800 42204 856
+rect 42660 800 42684 856
+rect 43140 800 43164 856
+rect 43524 800 43548 856
+rect 44004 800 44028 856
+rect 44388 800 44412 856
+rect 44868 800 44892 856
+rect 45348 800 45372 856
+rect 45732 800 45756 856
+rect 46212 800 46236 856
+rect 46692 800 46716 856
+rect 47076 800 47100 856
+rect 47556 800 47580 856
+rect 47940 800 47964 856
+rect 48420 800 48444 856
+rect 48900 800 48924 856
+rect 49284 800 49308 856
+rect 49764 800 49788 856
+rect 50244 800 50268 856
+rect 50628 800 50652 856
+rect 51108 800 51132 856
+rect 51588 800 51612 856
+rect 51972 800 51996 856
+rect 52452 800 52476 856
+rect 52836 800 52860 856
+rect 53316 800 53340 856
+rect 53796 800 53820 856
+rect 54180 800 54204 856
+rect 54660 800 54684 856
+rect 55140 800 55164 856
+rect 55524 800 55548 856
+rect 56004 800 56028 856
+rect 56388 800 56412 856
+rect 56868 800 56892 856
+rect 57348 800 57372 856
+rect 57732 800 57756 856
+rect 58212 800 58236 856
+rect 58692 800 58716 856
+rect 59076 800 59100 856
+rect 59556 800 59580 856
+<< metal3 >>
+rect 0 44858 800 44978
+rect 59200 29910 60000 30030
+rect 0 14962 800 15082
+<< obsm3 >>
+rect 800 45058 59200 57309
+rect 880 44778 59200 45058
+rect 800 30110 59200 44778
+rect 800 29830 59120 30110
+rect 800 15162 59200 29830
+rect 880 14882 59200 15162
+rect 800 2409 59200 14882
+<< metal4 >>
+rect 4256 2616 4576 57324
+rect 4916 2664 5236 57276
+rect 5576 2664 5896 57276
+rect 6236 2664 6556 57276
+rect 19616 2616 19936 57324
+rect 20276 2664 20596 57276
+rect 20936 2664 21256 57276
+rect 21596 2664 21916 57276
+rect 34976 2616 35296 57324
+rect 35636 2664 35956 57276
+rect 36296 2664 36616 57276
+rect 36956 2664 37276 57276
+rect 50336 2616 50656 57324
+rect 50996 2664 51316 57276
+rect 51656 2664 51976 57276
+rect 52316 2664 52636 57276
+=======
 rect 106 1232 179846 117552
 << metal2 >>
 rect 754 119200 810 120000
@@ -1230,235 +2122,1256 @@
 rect 19568 2128 19888 117552
 << obsm4 >>
 rect 34928 1803 173488 117552
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 << labels >>
-rlabel metal2 s 754 119200 810 120000 6 io_in[0]
+rlabel metal2 s 212 59200 268 60000 6 io_in[0]
 port 1 nsew signal input
-rlabel metal2 s 48134 119200 48190 120000 6 io_in[10]
+rlabel metal2 s 15956 59200 16012 60000 6 io_in[10]
 port 2 nsew signal input
-rlabel metal2 s 52826 119200 52882 120000 6 io_in[11]
+rlabel metal2 s 17492 59200 17548 60000 6 io_in[11]
 port 3 nsew signal input
-rlabel metal2 s 57610 119200 57666 120000 6 io_in[12]
+rlabel metal2 s 19124 59200 19180 60000 6 io_in[12]
 port 4 nsew signal input
-rlabel metal2 s 62302 119200 62358 120000 6 io_in[13]
+rlabel metal2 s 20660 59200 20716 60000 6 io_in[13]
 port 5 nsew signal input
-rlabel metal2 s 67086 119200 67142 120000 6 io_in[14]
+rlabel metal2 s 22292 59200 22348 60000 6 io_in[14]
 port 6 nsew signal input
-rlabel metal2 s 71778 119200 71834 120000 6 io_in[15]
+rlabel metal2 s 23828 59200 23884 60000 6 io_in[15]
 port 7 nsew signal input
-rlabel metal2 s 76562 119200 76618 120000 6 io_in[16]
+rlabel metal2 s 25460 59200 25516 60000 6 io_in[16]
 port 8 nsew signal input
-rlabel metal2 s 81254 119200 81310 120000 6 io_in[17]
+rlabel metal2 s 26996 59200 27052 60000 6 io_in[17]
 port 9 nsew signal input
-rlabel metal2 s 86038 119200 86094 120000 6 io_in[18]
+rlabel metal2 s 28628 59200 28684 60000 6 io_in[18]
 port 10 nsew signal input
-rlabel metal2 s 90730 119200 90786 120000 6 io_in[19]
+rlabel metal2 s 30164 59200 30220 60000 6 io_in[19]
 port 11 nsew signal input
-rlabel metal2 s 5446 119200 5502 120000 6 io_in[1]
+rlabel metal2 s 1748 59200 1804 60000 6 io_in[1]
 port 12 nsew signal input
-rlabel metal2 s 95514 119200 95570 120000 6 io_in[20]
+rlabel metal2 s 31700 59200 31756 60000 6 io_in[20]
 port 13 nsew signal input
-rlabel metal2 s 100206 119200 100262 120000 6 io_in[21]
+rlabel metal2 s 33332 59200 33388 60000 6 io_in[21]
 port 14 nsew signal input
-rlabel metal2 s 104990 119200 105046 120000 6 io_in[22]
+rlabel metal2 s 34868 59200 34924 60000 6 io_in[22]
 port 15 nsew signal input
-rlabel metal2 s 109682 119200 109738 120000 6 io_in[23]
+rlabel metal2 s 36500 59200 36556 60000 6 io_in[23]
 port 16 nsew signal input
-rlabel metal2 s 114466 119200 114522 120000 6 io_in[24]
+rlabel metal2 s 38036 59200 38092 60000 6 io_in[24]
 port 17 nsew signal input
-rlabel metal2 s 119158 119200 119214 120000 6 io_in[25]
+rlabel metal2 s 39668 59200 39724 60000 6 io_in[25]
 port 18 nsew signal input
-rlabel metal2 s 123942 119200 123998 120000 6 io_in[26]
+rlabel metal2 s 41204 59200 41260 60000 6 io_in[26]
 port 19 nsew signal input
-rlabel metal2 s 128634 119200 128690 120000 6 io_in[27]
+rlabel metal2 s 42836 59200 42892 60000 6 io_in[27]
 port 20 nsew signal input
-rlabel metal2 s 133418 119200 133474 120000 6 io_in[28]
+rlabel metal2 s 44372 59200 44428 60000 6 io_in[28]
 port 21 nsew signal input
-rlabel metal2 s 138110 119200 138166 120000 6 io_in[29]
+rlabel metal2 s 45908 59200 45964 60000 6 io_in[29]
 port 22 nsew signal input
-rlabel metal2 s 10230 119200 10286 120000 6 io_in[2]
+rlabel metal2 s 3284 59200 3340 60000 6 io_in[2]
 port 23 nsew signal input
-rlabel metal2 s 142894 119200 142950 120000 6 io_in[30]
+rlabel metal2 s 47540 59200 47596 60000 6 io_in[30]
 port 24 nsew signal input
-rlabel metal2 s 147586 119200 147642 120000 6 io_in[31]
+rlabel metal2 s 49076 59200 49132 60000 6 io_in[31]
 port 25 nsew signal input
-rlabel metal2 s 152370 119200 152426 120000 6 io_in[32]
+rlabel metal2 s 50708 59200 50764 60000 6 io_in[32]
 port 26 nsew signal input
-rlabel metal2 s 157062 119200 157118 120000 6 io_in[33]
+rlabel metal2 s 52244 59200 52300 60000 6 io_in[33]
 port 27 nsew signal input
-rlabel metal2 s 161846 119200 161902 120000 6 io_in[34]
+rlabel metal2 s 53876 59200 53932 60000 6 io_in[34]
 port 28 nsew signal input
-rlabel metal2 s 166538 119200 166594 120000 6 io_in[35]
+rlabel metal2 s 55412 59200 55468 60000 6 io_in[35]
 port 29 nsew signal input
-rlabel metal2 s 171322 119200 171378 120000 6 io_in[36]
+rlabel metal2 s 57044 59200 57100 60000 6 io_in[36]
 port 30 nsew signal input
-rlabel metal2 s 176014 119200 176070 120000 6 io_in[37]
+rlabel metal2 s 58580 59200 58636 60000 6 io_in[37]
 port 31 nsew signal input
-rlabel metal2 s 14922 119200 14978 120000 6 io_in[3]
+rlabel metal2 s 4916 59200 4972 60000 6 io_in[3]
 port 32 nsew signal input
-rlabel metal2 s 19706 119200 19762 120000 6 io_in[4]
+rlabel metal2 s 6452 59200 6508 60000 6 io_in[4]
 port 33 nsew signal input
-rlabel metal2 s 24398 119200 24454 120000 6 io_in[5]
+rlabel metal2 s 8084 59200 8140 60000 6 io_in[5]
 port 34 nsew signal input
-rlabel metal2 s 29182 119200 29238 120000 6 io_in[6]
+rlabel metal2 s 9620 59200 9676 60000 6 io_in[6]
 port 35 nsew signal input
-rlabel metal2 s 33874 119200 33930 120000 6 io_in[7]
+rlabel metal2 s 11252 59200 11308 60000 6 io_in[7]
 port 36 nsew signal input
-rlabel metal2 s 38658 119200 38714 120000 6 io_in[8]
+rlabel metal2 s 12788 59200 12844 60000 6 io_in[8]
 port 37 nsew signal input
-rlabel metal2 s 43350 119200 43406 120000 6 io_in[9]
+rlabel metal2 s 14420 59200 14476 60000 6 io_in[9]
 port 38 nsew signal input
-rlabel metal2 s 2318 119200 2374 120000 6 io_oeb[0]
+rlabel metal2 s 692 59200 748 60000 6 io_oeb[0]
 port 39 nsew signal output
-rlabel metal2 s 49698 119200 49754 120000 6 io_oeb[10]
+rlabel metal2 s 16436 59200 16492 60000 6 io_oeb[10]
 port 40 nsew signal output
-rlabel metal2 s 54390 119200 54446 120000 6 io_oeb[11]
+rlabel metal2 s 18068 59200 18124 60000 6 io_oeb[11]
 port 41 nsew signal output
-rlabel metal2 s 59174 119200 59230 120000 6 io_oeb[12]
+rlabel metal2 s 19604 59200 19660 60000 6 io_oeb[12]
 port 42 nsew signal output
-rlabel metal2 s 63866 119200 63922 120000 6 io_oeb[13]
+rlabel metal2 s 21236 59200 21292 60000 6 io_oeb[13]
 port 43 nsew signal output
-rlabel metal2 s 68650 119200 68706 120000 6 io_oeb[14]
+rlabel metal2 s 22772 59200 22828 60000 6 io_oeb[14]
 port 44 nsew signal output
-rlabel metal2 s 73342 119200 73398 120000 6 io_oeb[15]
+rlabel metal2 s 24404 59200 24460 60000 6 io_oeb[15]
 port 45 nsew signal output
-rlabel metal2 s 78126 119200 78182 120000 6 io_oeb[16]
+rlabel metal2 s 25940 59200 25996 60000 6 io_oeb[16]
 port 46 nsew signal output
-rlabel metal2 s 82818 119200 82874 120000 6 io_oeb[17]
+rlabel metal2 s 27572 59200 27628 60000 6 io_oeb[17]
 port 47 nsew signal output
-rlabel metal2 s 87602 119200 87658 120000 6 io_oeb[18]
+rlabel metal2 s 29108 59200 29164 60000 6 io_oeb[18]
 port 48 nsew signal output
-rlabel metal2 s 92294 119200 92350 120000 6 io_oeb[19]
+rlabel metal2 s 30644 59200 30700 60000 6 io_oeb[19]
 port 49 nsew signal output
-rlabel metal2 s 7010 119200 7066 120000 6 io_oeb[1]
+rlabel metal2 s 2228 59200 2284 60000 6 io_oeb[1]
 port 50 nsew signal output
-rlabel metal2 s 97078 119200 97134 120000 6 io_oeb[20]
+rlabel metal2 s 32276 59200 32332 60000 6 io_oeb[20]
 port 51 nsew signal output
-rlabel metal2 s 101770 119200 101826 120000 6 io_oeb[21]
+rlabel metal2 s 33812 59200 33868 60000 6 io_oeb[21]
 port 52 nsew signal output
-rlabel metal2 s 106554 119200 106610 120000 6 io_oeb[22]
+rlabel metal2 s 35444 59200 35500 60000 6 io_oeb[22]
 port 53 nsew signal output
-rlabel metal2 s 111246 119200 111302 120000 6 io_oeb[23]
+rlabel metal2 s 36980 59200 37036 60000 6 io_oeb[23]
 port 54 nsew signal output
-rlabel metal2 s 116030 119200 116086 120000 6 io_oeb[24]
+rlabel metal2 s 38612 59200 38668 60000 6 io_oeb[24]
 port 55 nsew signal output
-rlabel metal2 s 120722 119200 120778 120000 6 io_oeb[25]
+rlabel metal2 s 40148 59200 40204 60000 6 io_oeb[25]
 port 56 nsew signal output
-rlabel metal2 s 125506 119200 125562 120000 6 io_oeb[26]
+rlabel metal2 s 41780 59200 41836 60000 6 io_oeb[26]
 port 57 nsew signal output
-rlabel metal2 s 130198 119200 130254 120000 6 io_oeb[27]
+rlabel metal2 s 43316 59200 43372 60000 6 io_oeb[27]
 port 58 nsew signal output
-rlabel metal2 s 134982 119200 135038 120000 6 io_oeb[28]
+rlabel metal2 s 44948 59200 45004 60000 6 io_oeb[28]
 port 59 nsew signal output
-rlabel metal2 s 139674 119200 139730 120000 6 io_oeb[29]
+rlabel metal2 s 46484 59200 46540 60000 6 io_oeb[29]
 port 60 nsew signal output
-rlabel metal2 s 11794 119200 11850 120000 6 io_oeb[2]
+rlabel metal2 s 3860 59200 3916 60000 6 io_oeb[2]
 port 61 nsew signal output
-rlabel metal2 s 144458 119200 144514 120000 6 io_oeb[30]
+rlabel metal2 s 48020 59200 48076 60000 6 io_oeb[30]
 port 62 nsew signal output
-rlabel metal2 s 149150 119200 149206 120000 6 io_oeb[31]
+rlabel metal2 s 49652 59200 49708 60000 6 io_oeb[31]
 port 63 nsew signal output
-rlabel metal2 s 153934 119200 153990 120000 6 io_oeb[32]
+rlabel metal2 s 51188 59200 51244 60000 6 io_oeb[32]
 port 64 nsew signal output
-rlabel metal2 s 158626 119200 158682 120000 6 io_oeb[33]
+rlabel metal2 s 52820 59200 52876 60000 6 io_oeb[33]
 port 65 nsew signal output
-rlabel metal2 s 163410 119200 163466 120000 6 io_oeb[34]
+rlabel metal2 s 54356 59200 54412 60000 6 io_oeb[34]
 port 66 nsew signal output
-rlabel metal2 s 168102 119200 168158 120000 6 io_oeb[35]
+rlabel metal2 s 55988 59200 56044 60000 6 io_oeb[35]
 port 67 nsew signal output
-rlabel metal2 s 172886 119200 172942 120000 6 io_oeb[36]
+rlabel metal2 s 57524 59200 57580 60000 6 io_oeb[36]
 port 68 nsew signal output
-rlabel metal2 s 177578 119200 177634 120000 6 io_oeb[37]
+rlabel metal2 s 59156 59200 59212 60000 6 io_oeb[37]
 port 69 nsew signal output
-rlabel metal2 s 16486 119200 16542 120000 6 io_oeb[3]
+rlabel metal2 s 5396 59200 5452 60000 6 io_oeb[3]
 port 70 nsew signal output
-rlabel metal2 s 21270 119200 21326 120000 6 io_oeb[4]
+rlabel metal2 s 7028 59200 7084 60000 6 io_oeb[4]
 port 71 nsew signal output
-rlabel metal2 s 25962 119200 26018 120000 6 io_oeb[5]
+rlabel metal2 s 8564 59200 8620 60000 6 io_oeb[5]
 port 72 nsew signal output
-rlabel metal2 s 30746 119200 30802 120000 6 io_oeb[6]
+rlabel metal2 s 10196 59200 10252 60000 6 io_oeb[6]
 port 73 nsew signal output
-rlabel metal2 s 35438 119200 35494 120000 6 io_oeb[7]
+rlabel metal2 s 11732 59200 11788 60000 6 io_oeb[7]
 port 74 nsew signal output
-rlabel metal2 s 40222 119200 40278 120000 6 io_oeb[8]
+rlabel metal2 s 13364 59200 13420 60000 6 io_oeb[8]
 port 75 nsew signal output
-rlabel metal2 s 44914 119200 44970 120000 6 io_oeb[9]
+rlabel metal2 s 14900 59200 14956 60000 6 io_oeb[9]
 port 76 nsew signal output
-rlabel metal2 s 3882 119200 3938 120000 6 io_out[0]
+rlabel metal2 s 1172 59200 1228 60000 6 io_out[0]
 port 77 nsew signal output
-rlabel metal2 s 51262 119200 51318 120000 6 io_out[10]
+rlabel metal2 s 17012 59200 17068 60000 6 io_out[10]
 port 78 nsew signal output
-rlabel metal2 s 55954 119200 56010 120000 6 io_out[11]
+rlabel metal2 s 18548 59200 18604 60000 6 io_out[11]
 port 79 nsew signal output
-rlabel metal2 s 60738 119200 60794 120000 6 io_out[12]
+rlabel metal2 s 20180 59200 20236 60000 6 io_out[12]
 port 80 nsew signal output
-rlabel metal2 s 65430 119200 65486 120000 6 io_out[13]
+rlabel metal2 s 21716 59200 21772 60000 6 io_out[13]
 port 81 nsew signal output
-rlabel metal2 s 70214 119200 70270 120000 6 io_out[14]
+rlabel metal2 s 23348 59200 23404 60000 6 io_out[14]
 port 82 nsew signal output
-rlabel metal2 s 74906 119200 74962 120000 6 io_out[15]
+rlabel metal2 s 24884 59200 24940 60000 6 io_out[15]
 port 83 nsew signal output
-rlabel metal2 s 79690 119200 79746 120000 6 io_out[16]
+rlabel metal2 s 26516 59200 26572 60000 6 io_out[16]
 port 84 nsew signal output
-rlabel metal2 s 84382 119200 84438 120000 6 io_out[17]
+rlabel metal2 s 28052 59200 28108 60000 6 io_out[17]
 port 85 nsew signal output
-rlabel metal2 s 89166 119200 89222 120000 6 io_out[18]
+rlabel metal2 s 29684 59200 29740 60000 6 io_out[18]
 port 86 nsew signal output
-rlabel metal2 s 93858 119200 93914 120000 6 io_out[19]
+rlabel metal2 s 31220 59200 31276 60000 6 io_out[19]
 port 87 nsew signal output
-rlabel metal2 s 8574 119200 8630 120000 6 io_out[1]
+rlabel metal2 s 2804 59200 2860 60000 6 io_out[1]
 port 88 nsew signal output
-rlabel metal2 s 98642 119200 98698 120000 6 io_out[20]
+rlabel metal2 s 32756 59200 32812 60000 6 io_out[20]
 port 89 nsew signal output
-rlabel metal2 s 103334 119200 103390 120000 6 io_out[21]
+rlabel metal2 s 34388 59200 34444 60000 6 io_out[21]
 port 90 nsew signal output
-rlabel metal2 s 108118 119200 108174 120000 6 io_out[22]
+rlabel metal2 s 35924 59200 35980 60000 6 io_out[22]
 port 91 nsew signal output
-rlabel metal2 s 112810 119200 112866 120000 6 io_out[23]
+rlabel metal2 s 37556 59200 37612 60000 6 io_out[23]
 port 92 nsew signal output
-rlabel metal2 s 117594 119200 117650 120000 6 io_out[24]
+rlabel metal2 s 39092 59200 39148 60000 6 io_out[24]
 port 93 nsew signal output
-rlabel metal2 s 122286 119200 122342 120000 6 io_out[25]
+rlabel metal2 s 40724 59200 40780 60000 6 io_out[25]
 port 94 nsew signal output
-rlabel metal2 s 127070 119200 127126 120000 6 io_out[26]
+rlabel metal2 s 42260 59200 42316 60000 6 io_out[26]
 port 95 nsew signal output
-rlabel metal2 s 131762 119200 131818 120000 6 io_out[27]
+rlabel metal2 s 43892 59200 43948 60000 6 io_out[27]
 port 96 nsew signal output
-rlabel metal2 s 136546 119200 136602 120000 6 io_out[28]
+rlabel metal2 s 45428 59200 45484 60000 6 io_out[28]
 port 97 nsew signal output
-rlabel metal2 s 141238 119200 141294 120000 6 io_out[29]
+rlabel metal2 s 46964 59200 47020 60000 6 io_out[29]
 port 98 nsew signal output
-rlabel metal2 s 13358 119200 13414 120000 6 io_out[2]
+rlabel metal2 s 4340 59200 4396 60000 6 io_out[2]
 port 99 nsew signal output
-rlabel metal2 s 146022 119200 146078 120000 6 io_out[30]
+rlabel metal2 s 48596 59200 48652 60000 6 io_out[30]
 port 100 nsew signal output
-rlabel metal2 s 150714 119200 150770 120000 6 io_out[31]
+rlabel metal2 s 50132 59200 50188 60000 6 io_out[31]
 port 101 nsew signal output
-rlabel metal2 s 155498 119200 155554 120000 6 io_out[32]
+rlabel metal2 s 51764 59200 51820 60000 6 io_out[32]
 port 102 nsew signal output
-rlabel metal2 s 160190 119200 160246 120000 6 io_out[33]
+rlabel metal2 s 53300 59200 53356 60000 6 io_out[33]
 port 103 nsew signal output
-rlabel metal2 s 164974 119200 165030 120000 6 io_out[34]
+rlabel metal2 s 54932 59200 54988 60000 6 io_out[34]
 port 104 nsew signal output
-rlabel metal2 s 169666 119200 169722 120000 6 io_out[35]
+rlabel metal2 s 56468 59200 56524 60000 6 io_out[35]
 port 105 nsew signal output
-rlabel metal2 s 174450 119200 174506 120000 6 io_out[36]
+rlabel metal2 s 58100 59200 58156 60000 6 io_out[36]
 port 106 nsew signal output
-rlabel metal2 s 179142 119200 179198 120000 6 io_out[37]
+rlabel metal2 s 59636 59200 59692 60000 6 io_out[37]
 port 107 nsew signal output
-rlabel metal2 s 18050 119200 18106 120000 6 io_out[3]
+rlabel metal2 s 5972 59200 6028 60000 6 io_out[3]
 port 108 nsew signal output
-rlabel metal2 s 22834 119200 22890 120000 6 io_out[4]
+rlabel metal2 s 7508 59200 7564 60000 6 io_out[4]
 port 109 nsew signal output
-rlabel metal2 s 27526 119200 27582 120000 6 io_out[5]
+rlabel metal2 s 9140 59200 9196 60000 6 io_out[5]
 port 110 nsew signal output
-rlabel metal2 s 32310 119200 32366 120000 6 io_out[6]
+rlabel metal2 s 10676 59200 10732 60000 6 io_out[6]
 port 111 nsew signal output
-rlabel metal2 s 37002 119200 37058 120000 6 io_out[7]
+rlabel metal2 s 12308 59200 12364 60000 6 io_out[7]
 port 112 nsew signal output
-rlabel metal2 s 41786 119200 41842 120000 6 io_out[8]
+rlabel metal2 s 13844 59200 13900 60000 6 io_out[8]
 port 113 nsew signal output
-rlabel metal2 s 46478 119200 46534 120000 6 io_out[9]
+rlabel metal2 s 15380 59200 15436 60000 6 io_out[9]
 port 114 nsew signal output
+<<<<<<< HEAD
+rlabel metal3 s 0 14962 800 15082 6 irq[0]
+port 115 nsew signal output
+rlabel metal3 s 59200 29910 60000 30030 6 irq[1]
+port 116 nsew signal output
+rlabel metal3 s 0 44858 800 44978 6 irq[2]
+port 117 nsew signal output
+rlabel metal2 s 12980 0 13036 800 6 la_data_in[0]
+port 118 nsew signal input
+rlabel metal2 s 49652 0 49708 800 6 la_data_in[100]
+port 119 nsew signal input
+rlabel metal2 s 50036 0 50092 800 6 la_data_in[101]
+port 120 nsew signal input
+rlabel metal2 s 50420 0 50476 800 6 la_data_in[102]
+port 121 nsew signal input
+rlabel metal2 s 50804 0 50860 800 6 la_data_in[103]
+port 122 nsew signal input
+rlabel metal2 s 51188 0 51244 800 6 la_data_in[104]
+port 123 nsew signal input
+rlabel metal2 s 51476 0 51532 800 6 la_data_in[105]
+port 124 nsew signal input
+rlabel metal2 s 51860 0 51916 800 6 la_data_in[106]
+port 125 nsew signal input
+rlabel metal2 s 52244 0 52300 800 6 la_data_in[107]
+port 126 nsew signal input
+rlabel metal2 s 52628 0 52684 800 6 la_data_in[108]
+port 127 nsew signal input
+rlabel metal2 s 53012 0 53068 800 6 la_data_in[109]
+port 128 nsew signal input
+rlabel metal2 s 16628 0 16684 800 6 la_data_in[10]
+port 129 nsew signal input
+rlabel metal2 s 53396 0 53452 800 6 la_data_in[110]
+port 130 nsew signal input
+rlabel metal2 s 53684 0 53740 800 6 la_data_in[111]
+port 131 nsew signal input
+rlabel metal2 s 54068 0 54124 800 6 la_data_in[112]
+port 132 nsew signal input
+rlabel metal2 s 54452 0 54508 800 6 la_data_in[113]
+port 133 nsew signal input
+rlabel metal2 s 54836 0 54892 800 6 la_data_in[114]
+port 134 nsew signal input
+rlabel metal2 s 55220 0 55276 800 6 la_data_in[115]
+port 135 nsew signal input
+rlabel metal2 s 55604 0 55660 800 6 la_data_in[116]
+port 136 nsew signal input
+rlabel metal2 s 55892 0 55948 800 6 la_data_in[117]
+port 137 nsew signal input
+rlabel metal2 s 56276 0 56332 800 6 la_data_in[118]
+port 138 nsew signal input
+rlabel metal2 s 56660 0 56716 800 6 la_data_in[119]
+port 139 nsew signal input
+rlabel metal2 s 17012 0 17068 800 6 la_data_in[11]
+port 140 nsew signal input
+rlabel metal2 s 57044 0 57100 800 6 la_data_in[120]
+port 141 nsew signal input
+rlabel metal2 s 57428 0 57484 800 6 la_data_in[121]
+port 142 nsew signal input
+rlabel metal2 s 57812 0 57868 800 6 la_data_in[122]
+port 143 nsew signal input
+rlabel metal2 s 58100 0 58156 800 6 la_data_in[123]
+port 144 nsew signal input
+rlabel metal2 s 58484 0 58540 800 6 la_data_in[124]
+port 145 nsew signal input
+rlabel metal2 s 58868 0 58924 800 6 la_data_in[125]
+port 146 nsew signal input
+rlabel metal2 s 59252 0 59308 800 6 la_data_in[126]
+port 147 nsew signal input
+rlabel metal2 s 59636 0 59692 800 6 la_data_in[127]
+port 148 nsew signal input
+rlabel metal2 s 17396 0 17452 800 6 la_data_in[12]
+port 149 nsew signal input
+rlabel metal2 s 17684 0 17740 800 6 la_data_in[13]
+port 150 nsew signal input
+rlabel metal2 s 18068 0 18124 800 6 la_data_in[14]
+port 151 nsew signal input
+rlabel metal2 s 18452 0 18508 800 6 la_data_in[15]
+port 152 nsew signal input
+rlabel metal2 s 18836 0 18892 800 6 la_data_in[16]
+port 153 nsew signal input
+rlabel metal2 s 19220 0 19276 800 6 la_data_in[17]
+port 154 nsew signal input
+rlabel metal2 s 19604 0 19660 800 6 la_data_in[18]
+port 155 nsew signal input
+rlabel metal2 s 19892 0 19948 800 6 la_data_in[19]
+port 156 nsew signal input
+rlabel metal2 s 13364 0 13420 800 6 la_data_in[1]
+port 157 nsew signal input
+rlabel metal2 s 20276 0 20332 800 6 la_data_in[20]
+port 158 nsew signal input
+rlabel metal2 s 20660 0 20716 800 6 la_data_in[21]
+port 159 nsew signal input
+rlabel metal2 s 21044 0 21100 800 6 la_data_in[22]
+port 160 nsew signal input
+rlabel metal2 s 21428 0 21484 800 6 la_data_in[23]
+port 161 nsew signal input
+rlabel metal2 s 21812 0 21868 800 6 la_data_in[24]
+port 162 nsew signal input
+rlabel metal2 s 22100 0 22156 800 6 la_data_in[25]
+port 163 nsew signal input
+rlabel metal2 s 22484 0 22540 800 6 la_data_in[26]
+port 164 nsew signal input
+rlabel metal2 s 22868 0 22924 800 6 la_data_in[27]
+port 165 nsew signal input
+rlabel metal2 s 23252 0 23308 800 6 la_data_in[28]
+port 166 nsew signal input
+rlabel metal2 s 23636 0 23692 800 6 la_data_in[29]
+port 167 nsew signal input
+rlabel metal2 s 13652 0 13708 800 6 la_data_in[2]
+port 168 nsew signal input
+rlabel metal2 s 24020 0 24076 800 6 la_data_in[30]
+port 169 nsew signal input
+rlabel metal2 s 24308 0 24364 800 6 la_data_in[31]
+port 170 nsew signal input
+rlabel metal2 s 24692 0 24748 800 6 la_data_in[32]
+port 171 nsew signal input
+rlabel metal2 s 25076 0 25132 800 6 la_data_in[33]
+port 172 nsew signal input
+rlabel metal2 s 25460 0 25516 800 6 la_data_in[34]
+port 173 nsew signal input
+rlabel metal2 s 25844 0 25900 800 6 la_data_in[35]
+port 174 nsew signal input
+rlabel metal2 s 26132 0 26188 800 6 la_data_in[36]
+port 175 nsew signal input
+rlabel metal2 s 26516 0 26572 800 6 la_data_in[37]
+port 176 nsew signal input
+rlabel metal2 s 26900 0 26956 800 6 la_data_in[38]
+port 177 nsew signal input
+rlabel metal2 s 27284 0 27340 800 6 la_data_in[39]
+port 178 nsew signal input
+rlabel metal2 s 14036 0 14092 800 6 la_data_in[3]
+port 179 nsew signal input
+rlabel metal2 s 27668 0 27724 800 6 la_data_in[40]
+port 180 nsew signal input
+rlabel metal2 s 28052 0 28108 800 6 la_data_in[41]
+port 181 nsew signal input
+rlabel metal2 s 28340 0 28396 800 6 la_data_in[42]
+port 182 nsew signal input
+rlabel metal2 s 28724 0 28780 800 6 la_data_in[43]
+port 183 nsew signal input
+rlabel metal2 s 29108 0 29164 800 6 la_data_in[44]
+port 184 nsew signal input
+rlabel metal2 s 29492 0 29548 800 6 la_data_in[45]
+port 185 nsew signal input
+rlabel metal2 s 29876 0 29932 800 6 la_data_in[46]
+port 186 nsew signal input
+rlabel metal2 s 30260 0 30316 800 6 la_data_in[47]
+port 187 nsew signal input
+rlabel metal2 s 30548 0 30604 800 6 la_data_in[48]
+port 188 nsew signal input
+rlabel metal2 s 30932 0 30988 800 6 la_data_in[49]
+port 189 nsew signal input
+rlabel metal2 s 14420 0 14476 800 6 la_data_in[4]
+port 190 nsew signal input
+rlabel metal2 s 31316 0 31372 800 6 la_data_in[50]
+port 191 nsew signal input
+rlabel metal2 s 31700 0 31756 800 6 la_data_in[51]
+port 192 nsew signal input
+rlabel metal2 s 32084 0 32140 800 6 la_data_in[52]
+port 193 nsew signal input
+rlabel metal2 s 32468 0 32524 800 6 la_data_in[53]
+port 194 nsew signal input
+rlabel metal2 s 32756 0 32812 800 6 la_data_in[54]
+port 195 nsew signal input
+rlabel metal2 s 33140 0 33196 800 6 la_data_in[55]
+port 196 nsew signal input
+rlabel metal2 s 33524 0 33580 800 6 la_data_in[56]
+port 197 nsew signal input
+rlabel metal2 s 33908 0 33964 800 6 la_data_in[57]
+port 198 nsew signal input
+rlabel metal2 s 34292 0 34348 800 6 la_data_in[58]
+port 199 nsew signal input
+rlabel metal2 s 34580 0 34636 800 6 la_data_in[59]
+port 200 nsew signal input
+rlabel metal2 s 14804 0 14860 800 6 la_data_in[5]
+port 201 nsew signal input
+rlabel metal2 s 34964 0 35020 800 6 la_data_in[60]
+port 202 nsew signal input
+rlabel metal2 s 35348 0 35404 800 6 la_data_in[61]
+port 203 nsew signal input
+rlabel metal2 s 35732 0 35788 800 6 la_data_in[62]
+port 204 nsew signal input
+rlabel metal2 s 36116 0 36172 800 6 la_data_in[63]
+port 205 nsew signal input
+rlabel metal2 s 36500 0 36556 800 6 la_data_in[64]
+port 206 nsew signal input
+rlabel metal2 s 36788 0 36844 800 6 la_data_in[65]
+port 207 nsew signal input
+rlabel metal2 s 37172 0 37228 800 6 la_data_in[66]
+port 208 nsew signal input
+rlabel metal2 s 37556 0 37612 800 6 la_data_in[67]
+port 209 nsew signal input
+rlabel metal2 s 37940 0 37996 800 6 la_data_in[68]
+port 210 nsew signal input
+rlabel metal2 s 38324 0 38380 800 6 la_data_in[69]
+port 211 nsew signal input
+rlabel metal2 s 15188 0 15244 800 6 la_data_in[6]
+port 212 nsew signal input
+rlabel metal2 s 38708 0 38764 800 6 la_data_in[70]
+port 213 nsew signal input
+rlabel metal2 s 38996 0 39052 800 6 la_data_in[71]
+port 214 nsew signal input
+rlabel metal2 s 39380 0 39436 800 6 la_data_in[72]
+port 215 nsew signal input
+rlabel metal2 s 39764 0 39820 800 6 la_data_in[73]
+port 216 nsew signal input
+rlabel metal2 s 40148 0 40204 800 6 la_data_in[74]
+port 217 nsew signal input
+rlabel metal2 s 40532 0 40588 800 6 la_data_in[75]
+port 218 nsew signal input
+rlabel metal2 s 40916 0 40972 800 6 la_data_in[76]
+port 219 nsew signal input
+rlabel metal2 s 41204 0 41260 800 6 la_data_in[77]
+port 220 nsew signal input
+rlabel metal2 s 41588 0 41644 800 6 la_data_in[78]
+port 221 nsew signal input
+rlabel metal2 s 41972 0 42028 800 6 la_data_in[79]
+port 222 nsew signal input
+rlabel metal2 s 15476 0 15532 800 6 la_data_in[7]
+port 223 nsew signal input
+rlabel metal2 s 42356 0 42412 800 6 la_data_in[80]
+port 224 nsew signal input
+rlabel metal2 s 42740 0 42796 800 6 la_data_in[81]
+port 225 nsew signal input
+rlabel metal2 s 43028 0 43084 800 6 la_data_in[82]
+port 226 nsew signal input
+rlabel metal2 s 43412 0 43468 800 6 la_data_in[83]
+port 227 nsew signal input
+rlabel metal2 s 43796 0 43852 800 6 la_data_in[84]
+port 228 nsew signal input
+rlabel metal2 s 44180 0 44236 800 6 la_data_in[85]
+port 229 nsew signal input
+rlabel metal2 s 44564 0 44620 800 6 la_data_in[86]
+port 230 nsew signal input
+rlabel metal2 s 44948 0 45004 800 6 la_data_in[87]
+port 231 nsew signal input
+rlabel metal2 s 45236 0 45292 800 6 la_data_in[88]
+port 232 nsew signal input
+rlabel metal2 s 45620 0 45676 800 6 la_data_in[89]
+port 233 nsew signal input
+rlabel metal2 s 15860 0 15916 800 6 la_data_in[8]
+port 234 nsew signal input
+rlabel metal2 s 46004 0 46060 800 6 la_data_in[90]
+port 235 nsew signal input
+rlabel metal2 s 46388 0 46444 800 6 la_data_in[91]
+port 236 nsew signal input
+rlabel metal2 s 46772 0 46828 800 6 la_data_in[92]
+port 237 nsew signal input
+rlabel metal2 s 47156 0 47212 800 6 la_data_in[93]
+port 238 nsew signal input
+rlabel metal2 s 47444 0 47500 800 6 la_data_in[94]
+port 239 nsew signal input
+rlabel metal2 s 47828 0 47884 800 6 la_data_in[95]
+port 240 nsew signal input
+rlabel metal2 s 48212 0 48268 800 6 la_data_in[96]
+port 241 nsew signal input
+rlabel metal2 s 48596 0 48652 800 6 la_data_in[97]
+port 242 nsew signal input
+rlabel metal2 s 48980 0 49036 800 6 la_data_in[98]
+port 243 nsew signal input
+rlabel metal2 s 49364 0 49420 800 6 la_data_in[99]
+port 244 nsew signal input
+rlabel metal2 s 16244 0 16300 800 6 la_data_in[9]
+port 245 nsew signal input
+rlabel metal2 s 13076 0 13132 800 6 la_data_out[0]
+port 246 nsew signal output
+rlabel metal2 s 49844 0 49900 800 6 la_data_out[100]
+port 247 nsew signal output
+rlabel metal2 s 50132 0 50188 800 6 la_data_out[101]
+port 248 nsew signal output
+rlabel metal2 s 50516 0 50572 800 6 la_data_out[102]
+port 249 nsew signal output
+rlabel metal2 s 50900 0 50956 800 6 la_data_out[103]
+port 250 nsew signal output
+rlabel metal2 s 51284 0 51340 800 6 la_data_out[104]
+port 251 nsew signal output
+rlabel metal2 s 51668 0 51724 800 6 la_data_out[105]
+port 252 nsew signal output
+rlabel metal2 s 52052 0 52108 800 6 la_data_out[106]
+port 253 nsew signal output
+rlabel metal2 s 52340 0 52396 800 6 la_data_out[107]
+port 254 nsew signal output
+rlabel metal2 s 52724 0 52780 800 6 la_data_out[108]
+port 255 nsew signal output
+rlabel metal2 s 53108 0 53164 800 6 la_data_out[109]
+port 256 nsew signal output
+rlabel metal2 s 16724 0 16780 800 6 la_data_out[10]
+port 257 nsew signal output
+rlabel metal2 s 53492 0 53548 800 6 la_data_out[110]
+port 258 nsew signal output
+rlabel metal2 s 53876 0 53932 800 6 la_data_out[111]
+port 259 nsew signal output
+rlabel metal2 s 54260 0 54316 800 6 la_data_out[112]
+port 260 nsew signal output
+rlabel metal2 s 54548 0 54604 800 6 la_data_out[113]
+port 261 nsew signal output
+rlabel metal2 s 54932 0 54988 800 6 la_data_out[114]
+port 262 nsew signal output
+rlabel metal2 s 55316 0 55372 800 6 la_data_out[115]
+port 263 nsew signal output
+rlabel metal2 s 55700 0 55756 800 6 la_data_out[116]
+port 264 nsew signal output
+rlabel metal2 s 56084 0 56140 800 6 la_data_out[117]
+port 265 nsew signal output
+rlabel metal2 s 56468 0 56524 800 6 la_data_out[118]
+port 266 nsew signal output
+rlabel metal2 s 56756 0 56812 800 6 la_data_out[119]
+port 267 nsew signal output
+rlabel metal2 s 17108 0 17164 800 6 la_data_out[11]
+port 268 nsew signal output
+rlabel metal2 s 57140 0 57196 800 6 la_data_out[120]
+port 269 nsew signal output
+rlabel metal2 s 57524 0 57580 800 6 la_data_out[121]
+port 270 nsew signal output
+rlabel metal2 s 57908 0 57964 800 6 la_data_out[122]
+port 271 nsew signal output
+rlabel metal2 s 58292 0 58348 800 6 la_data_out[123]
+port 272 nsew signal output
+rlabel metal2 s 58580 0 58636 800 6 la_data_out[124]
+port 273 nsew signal output
+rlabel metal2 s 58964 0 59020 800 6 la_data_out[125]
+port 274 nsew signal output
+rlabel metal2 s 59348 0 59404 800 6 la_data_out[126]
+port 275 nsew signal output
+rlabel metal2 s 59732 0 59788 800 6 la_data_out[127]
+port 276 nsew signal output
+rlabel metal2 s 17492 0 17548 800 6 la_data_out[12]
+port 277 nsew signal output
+rlabel metal2 s 17876 0 17932 800 6 la_data_out[13]
+port 278 nsew signal output
+rlabel metal2 s 18260 0 18316 800 6 la_data_out[14]
+port 279 nsew signal output
+rlabel metal2 s 18548 0 18604 800 6 la_data_out[15]
+port 280 nsew signal output
+rlabel metal2 s 18932 0 18988 800 6 la_data_out[16]
+port 281 nsew signal output
+rlabel metal2 s 19316 0 19372 800 6 la_data_out[17]
+port 282 nsew signal output
+rlabel metal2 s 19700 0 19756 800 6 la_data_out[18]
+port 283 nsew signal output
+rlabel metal2 s 20084 0 20140 800 6 la_data_out[19]
+port 284 nsew signal output
+rlabel metal2 s 13460 0 13516 800 6 la_data_out[1]
+port 285 nsew signal output
+rlabel metal2 s 20468 0 20524 800 6 la_data_out[20]
+port 286 nsew signal output
+rlabel metal2 s 20756 0 20812 800 6 la_data_out[21]
+port 287 nsew signal output
+rlabel metal2 s 21140 0 21196 800 6 la_data_out[22]
+port 288 nsew signal output
+rlabel metal2 s 21524 0 21580 800 6 la_data_out[23]
+port 289 nsew signal output
+rlabel metal2 s 21908 0 21964 800 6 la_data_out[24]
+port 290 nsew signal output
+rlabel metal2 s 22292 0 22348 800 6 la_data_out[25]
+port 291 nsew signal output
+rlabel metal2 s 22580 0 22636 800 6 la_data_out[26]
+port 292 nsew signal output
+rlabel metal2 s 22964 0 23020 800 6 la_data_out[27]
+port 293 nsew signal output
+rlabel metal2 s 23348 0 23404 800 6 la_data_out[28]
+port 294 nsew signal output
+rlabel metal2 s 23732 0 23788 800 6 la_data_out[29]
+port 295 nsew signal output
+rlabel metal2 s 13844 0 13900 800 6 la_data_out[2]
+port 296 nsew signal output
+rlabel metal2 s 24116 0 24172 800 6 la_data_out[30]
+port 297 nsew signal output
+rlabel metal2 s 24500 0 24556 800 6 la_data_out[31]
+port 298 nsew signal output
+rlabel metal2 s 24788 0 24844 800 6 la_data_out[32]
+port 299 nsew signal output
+rlabel metal2 s 25172 0 25228 800 6 la_data_out[33]
+port 300 nsew signal output
+rlabel metal2 s 25556 0 25612 800 6 la_data_out[34]
+port 301 nsew signal output
+rlabel metal2 s 25940 0 25996 800 6 la_data_out[35]
+port 302 nsew signal output
+rlabel metal2 s 26324 0 26380 800 6 la_data_out[36]
+port 303 nsew signal output
+rlabel metal2 s 26708 0 26764 800 6 la_data_out[37]
+port 304 nsew signal output
+rlabel metal2 s 26996 0 27052 800 6 la_data_out[38]
+port 305 nsew signal output
+rlabel metal2 s 27380 0 27436 800 6 la_data_out[39]
+port 306 nsew signal output
+rlabel metal2 s 14132 0 14188 800 6 la_data_out[3]
+port 307 nsew signal output
+rlabel metal2 s 27764 0 27820 800 6 la_data_out[40]
+port 308 nsew signal output
+rlabel metal2 s 28148 0 28204 800 6 la_data_out[41]
+port 309 nsew signal output
+rlabel metal2 s 28532 0 28588 800 6 la_data_out[42]
+port 310 nsew signal output
+rlabel metal2 s 28916 0 28972 800 6 la_data_out[43]
+port 311 nsew signal output
+rlabel metal2 s 29204 0 29260 800 6 la_data_out[44]
+port 312 nsew signal output
+rlabel metal2 s 29588 0 29644 800 6 la_data_out[45]
+port 313 nsew signal output
+rlabel metal2 s 29972 0 30028 800 6 la_data_out[46]
+port 314 nsew signal output
+rlabel metal2 s 30356 0 30412 800 6 la_data_out[47]
+port 315 nsew signal output
+rlabel metal2 s 30740 0 30796 800 6 la_data_out[48]
+port 316 nsew signal output
+rlabel metal2 s 31028 0 31084 800 6 la_data_out[49]
+port 317 nsew signal output
+rlabel metal2 s 14516 0 14572 800 6 la_data_out[4]
+port 318 nsew signal output
+rlabel metal2 s 31412 0 31468 800 6 la_data_out[50]
+port 319 nsew signal output
+rlabel metal2 s 31796 0 31852 800 6 la_data_out[51]
+port 320 nsew signal output
+rlabel metal2 s 32180 0 32236 800 6 la_data_out[52]
+port 321 nsew signal output
+rlabel metal2 s 32564 0 32620 800 6 la_data_out[53]
+port 322 nsew signal output
+rlabel metal2 s 32948 0 33004 800 6 la_data_out[54]
+port 323 nsew signal output
+rlabel metal2 s 33236 0 33292 800 6 la_data_out[55]
+port 324 nsew signal output
+rlabel metal2 s 33620 0 33676 800 6 la_data_out[56]
+port 325 nsew signal output
+rlabel metal2 s 34004 0 34060 800 6 la_data_out[57]
+port 326 nsew signal output
+rlabel metal2 s 34388 0 34444 800 6 la_data_out[58]
+port 327 nsew signal output
+rlabel metal2 s 34772 0 34828 800 6 la_data_out[59]
+port 328 nsew signal output
+rlabel metal2 s 14900 0 14956 800 6 la_data_out[5]
+port 329 nsew signal output
+rlabel metal2 s 35156 0 35212 800 6 la_data_out[60]
+port 330 nsew signal output
+rlabel metal2 s 35444 0 35500 800 6 la_data_out[61]
+port 331 nsew signal output
+rlabel metal2 s 35828 0 35884 800 6 la_data_out[62]
+port 332 nsew signal output
+rlabel metal2 s 36212 0 36268 800 6 la_data_out[63]
+port 333 nsew signal output
+rlabel metal2 s 36596 0 36652 800 6 la_data_out[64]
+port 334 nsew signal output
+rlabel metal2 s 36980 0 37036 800 6 la_data_out[65]
+port 335 nsew signal output
+rlabel metal2 s 37364 0 37420 800 6 la_data_out[66]
+port 336 nsew signal output
+rlabel metal2 s 37652 0 37708 800 6 la_data_out[67]
+port 337 nsew signal output
+rlabel metal2 s 38036 0 38092 800 6 la_data_out[68]
+port 338 nsew signal output
+rlabel metal2 s 38420 0 38476 800 6 la_data_out[69]
+port 339 nsew signal output
+rlabel metal2 s 15284 0 15340 800 6 la_data_out[6]
+port 340 nsew signal output
+rlabel metal2 s 38804 0 38860 800 6 la_data_out[70]
+port 341 nsew signal output
+rlabel metal2 s 39188 0 39244 800 6 la_data_out[71]
+port 342 nsew signal output
+rlabel metal2 s 39476 0 39532 800 6 la_data_out[72]
+port 343 nsew signal output
+rlabel metal2 s 39860 0 39916 800 6 la_data_out[73]
+port 344 nsew signal output
+rlabel metal2 s 40244 0 40300 800 6 la_data_out[74]
+port 345 nsew signal output
+rlabel metal2 s 40628 0 40684 800 6 la_data_out[75]
+port 346 nsew signal output
+rlabel metal2 s 41012 0 41068 800 6 la_data_out[76]
+port 347 nsew signal output
+rlabel metal2 s 41396 0 41452 800 6 la_data_out[77]
+port 348 nsew signal output
+rlabel metal2 s 41684 0 41740 800 6 la_data_out[78]
+port 349 nsew signal output
+rlabel metal2 s 42068 0 42124 800 6 la_data_out[79]
+port 350 nsew signal output
+rlabel metal2 s 15668 0 15724 800 6 la_data_out[7]
+port 351 nsew signal output
+rlabel metal2 s 42452 0 42508 800 6 la_data_out[80]
+port 352 nsew signal output
+rlabel metal2 s 42836 0 42892 800 6 la_data_out[81]
+port 353 nsew signal output
+rlabel metal2 s 43220 0 43276 800 6 la_data_out[82]
+port 354 nsew signal output
+rlabel metal2 s 43604 0 43660 800 6 la_data_out[83]
+port 355 nsew signal output
+rlabel metal2 s 43892 0 43948 800 6 la_data_out[84]
+port 356 nsew signal output
+rlabel metal2 s 44276 0 44332 800 6 la_data_out[85]
+port 357 nsew signal output
+rlabel metal2 s 44660 0 44716 800 6 la_data_out[86]
+port 358 nsew signal output
+rlabel metal2 s 45044 0 45100 800 6 la_data_out[87]
+port 359 nsew signal output
+rlabel metal2 s 45428 0 45484 800 6 la_data_out[88]
+port 360 nsew signal output
+rlabel metal2 s 45812 0 45868 800 6 la_data_out[89]
+port 361 nsew signal output
+rlabel metal2 s 16052 0 16108 800 6 la_data_out[8]
+port 362 nsew signal output
+rlabel metal2 s 46100 0 46156 800 6 la_data_out[90]
+port 363 nsew signal output
+rlabel metal2 s 46484 0 46540 800 6 la_data_out[91]
+port 364 nsew signal output
+rlabel metal2 s 46868 0 46924 800 6 la_data_out[92]
+port 365 nsew signal output
+rlabel metal2 s 47252 0 47308 800 6 la_data_out[93]
+port 366 nsew signal output
+rlabel metal2 s 47636 0 47692 800 6 la_data_out[94]
+port 367 nsew signal output
+rlabel metal2 s 48020 0 48076 800 6 la_data_out[95]
+port 368 nsew signal output
+rlabel metal2 s 48308 0 48364 800 6 la_data_out[96]
+port 369 nsew signal output
+rlabel metal2 s 48692 0 48748 800 6 la_data_out[97]
+port 370 nsew signal output
+rlabel metal2 s 49076 0 49132 800 6 la_data_out[98]
+port 371 nsew signal output
+rlabel metal2 s 49460 0 49516 800 6 la_data_out[99]
+port 372 nsew signal output
+rlabel metal2 s 16340 0 16396 800 6 la_data_out[9]
+port 373 nsew signal output
+rlabel metal2 s 13172 0 13228 800 6 la_oenb[0]
+port 374 nsew signal input
+rlabel metal2 s 49940 0 49996 800 6 la_oenb[100]
+port 375 nsew signal input
+rlabel metal2 s 50324 0 50380 800 6 la_oenb[101]
+port 376 nsew signal input
+rlabel metal2 s 50708 0 50764 800 6 la_oenb[102]
+port 377 nsew signal input
+rlabel metal2 s 50996 0 51052 800 6 la_oenb[103]
+port 378 nsew signal input
+rlabel metal2 s 51380 0 51436 800 6 la_oenb[104]
+port 379 nsew signal input
+rlabel metal2 s 51764 0 51820 800 6 la_oenb[105]
+port 380 nsew signal input
+rlabel metal2 s 52148 0 52204 800 6 la_oenb[106]
+port 381 nsew signal input
+rlabel metal2 s 52532 0 52588 800 6 la_oenb[107]
+port 382 nsew signal input
+rlabel metal2 s 52916 0 52972 800 6 la_oenb[108]
+port 383 nsew signal input
+rlabel metal2 s 53204 0 53260 800 6 la_oenb[109]
+port 384 nsew signal input
+rlabel metal2 s 16916 0 16972 800 6 la_oenb[10]
+port 385 nsew signal input
+rlabel metal2 s 53588 0 53644 800 6 la_oenb[110]
+port 386 nsew signal input
+rlabel metal2 s 53972 0 54028 800 6 la_oenb[111]
+port 387 nsew signal input
+rlabel metal2 s 54356 0 54412 800 6 la_oenb[112]
+port 388 nsew signal input
+rlabel metal2 s 54740 0 54796 800 6 la_oenb[113]
+port 389 nsew signal input
+rlabel metal2 s 55028 0 55084 800 6 la_oenb[114]
+port 390 nsew signal input
+rlabel metal2 s 55412 0 55468 800 6 la_oenb[115]
+port 391 nsew signal input
+rlabel metal2 s 55796 0 55852 800 6 la_oenb[116]
+port 392 nsew signal input
+rlabel metal2 s 56180 0 56236 800 6 la_oenb[117]
+port 393 nsew signal input
+rlabel metal2 s 56564 0 56620 800 6 la_oenb[118]
+port 394 nsew signal input
+rlabel metal2 s 56948 0 57004 800 6 la_oenb[119]
+port 395 nsew signal input
+rlabel metal2 s 17204 0 17260 800 6 la_oenb[11]
+port 396 nsew signal input
+rlabel metal2 s 57236 0 57292 800 6 la_oenb[120]
+port 397 nsew signal input
+rlabel metal2 s 57620 0 57676 800 6 la_oenb[121]
+port 398 nsew signal input
+rlabel metal2 s 58004 0 58060 800 6 la_oenb[122]
+port 399 nsew signal input
+rlabel metal2 s 58388 0 58444 800 6 la_oenb[123]
+port 400 nsew signal input
+rlabel metal2 s 58772 0 58828 800 6 la_oenb[124]
+port 401 nsew signal input
+rlabel metal2 s 59156 0 59212 800 6 la_oenb[125]
+port 402 nsew signal input
+rlabel metal2 s 59444 0 59500 800 6 la_oenb[126]
+port 403 nsew signal input
+rlabel metal2 s 59828 0 59884 800 6 la_oenb[127]
+port 404 nsew signal input
+rlabel metal2 s 17588 0 17644 800 6 la_oenb[12]
+port 405 nsew signal input
+rlabel metal2 s 17972 0 18028 800 6 la_oenb[13]
+port 406 nsew signal input
+rlabel metal2 s 18356 0 18412 800 6 la_oenb[14]
+port 407 nsew signal input
+rlabel metal2 s 18740 0 18796 800 6 la_oenb[15]
+port 408 nsew signal input
+rlabel metal2 s 19028 0 19084 800 6 la_oenb[16]
+port 409 nsew signal input
+rlabel metal2 s 19412 0 19468 800 6 la_oenb[17]
+port 410 nsew signal input
+rlabel metal2 s 19796 0 19852 800 6 la_oenb[18]
+port 411 nsew signal input
+rlabel metal2 s 20180 0 20236 800 6 la_oenb[19]
+port 412 nsew signal input
+rlabel metal2 s 13556 0 13612 800 6 la_oenb[1]
+port 413 nsew signal input
+rlabel metal2 s 20564 0 20620 800 6 la_oenb[20]
+port 414 nsew signal input
+rlabel metal2 s 20948 0 21004 800 6 la_oenb[21]
+port 415 nsew signal input
+rlabel metal2 s 21236 0 21292 800 6 la_oenb[22]
+port 416 nsew signal input
+rlabel metal2 s 21620 0 21676 800 6 la_oenb[23]
+port 417 nsew signal input
+rlabel metal2 s 22004 0 22060 800 6 la_oenb[24]
+port 418 nsew signal input
+rlabel metal2 s 22388 0 22444 800 6 la_oenb[25]
+port 419 nsew signal input
+rlabel metal2 s 22772 0 22828 800 6 la_oenb[26]
+port 420 nsew signal input
+rlabel metal2 s 23156 0 23212 800 6 la_oenb[27]
+port 421 nsew signal input
+rlabel metal2 s 23444 0 23500 800 6 la_oenb[28]
+port 422 nsew signal input
+rlabel metal2 s 23828 0 23884 800 6 la_oenb[29]
+port 423 nsew signal input
+rlabel metal2 s 13940 0 13996 800 6 la_oenb[2]
+port 424 nsew signal input
+rlabel metal2 s 24212 0 24268 800 6 la_oenb[30]
+port 425 nsew signal input
+rlabel metal2 s 24596 0 24652 800 6 la_oenb[31]
+port 426 nsew signal input
+rlabel metal2 s 24980 0 25036 800 6 la_oenb[32]
+port 427 nsew signal input
+rlabel metal2 s 25364 0 25420 800 6 la_oenb[33]
+port 428 nsew signal input
+rlabel metal2 s 25652 0 25708 800 6 la_oenb[34]
+port 429 nsew signal input
+rlabel metal2 s 26036 0 26092 800 6 la_oenb[35]
+port 430 nsew signal input
+rlabel metal2 s 26420 0 26476 800 6 la_oenb[36]
+port 431 nsew signal input
+rlabel metal2 s 26804 0 26860 800 6 la_oenb[37]
+port 432 nsew signal input
+rlabel metal2 s 27188 0 27244 800 6 la_oenb[38]
+port 433 nsew signal input
+rlabel metal2 s 27476 0 27532 800 6 la_oenb[39]
+port 434 nsew signal input
+rlabel metal2 s 14324 0 14380 800 6 la_oenb[3]
+port 435 nsew signal input
+rlabel metal2 s 27860 0 27916 800 6 la_oenb[40]
+port 436 nsew signal input
+rlabel metal2 s 28244 0 28300 800 6 la_oenb[41]
+port 437 nsew signal input
+rlabel metal2 s 28628 0 28684 800 6 la_oenb[42]
+port 438 nsew signal input
+rlabel metal2 s 29012 0 29068 800 6 la_oenb[43]
+port 439 nsew signal input
+rlabel metal2 s 29396 0 29452 800 6 la_oenb[44]
+port 440 nsew signal input
+rlabel metal2 s 29684 0 29740 800 6 la_oenb[45]
+port 441 nsew signal input
+rlabel metal2 s 30068 0 30124 800 6 la_oenb[46]
+port 442 nsew signal input
+rlabel metal2 s 30452 0 30508 800 6 la_oenb[47]
+port 443 nsew signal input
+rlabel metal2 s 30836 0 30892 800 6 la_oenb[48]
+port 444 nsew signal input
+rlabel metal2 s 31220 0 31276 800 6 la_oenb[49]
+port 445 nsew signal input
+rlabel metal2 s 14708 0 14764 800 6 la_oenb[4]
+port 446 nsew signal input
+rlabel metal2 s 31604 0 31660 800 6 la_oenb[50]
+port 447 nsew signal input
+rlabel metal2 s 31892 0 31948 800 6 la_oenb[51]
+port 448 nsew signal input
+rlabel metal2 s 32276 0 32332 800 6 la_oenb[52]
+port 449 nsew signal input
+rlabel metal2 s 32660 0 32716 800 6 la_oenb[53]
+port 450 nsew signal input
+rlabel metal2 s 33044 0 33100 800 6 la_oenb[54]
+port 451 nsew signal input
+rlabel metal2 s 33428 0 33484 800 6 la_oenb[55]
+port 452 nsew signal input
+rlabel metal2 s 33812 0 33868 800 6 la_oenb[56]
+port 453 nsew signal input
+rlabel metal2 s 34100 0 34156 800 6 la_oenb[57]
+port 454 nsew signal input
+rlabel metal2 s 34484 0 34540 800 6 la_oenb[58]
+port 455 nsew signal input
+rlabel metal2 s 34868 0 34924 800 6 la_oenb[59]
+port 456 nsew signal input
+rlabel metal2 s 14996 0 15052 800 6 la_oenb[5]
+port 457 nsew signal input
+rlabel metal2 s 35252 0 35308 800 6 la_oenb[60]
+port 458 nsew signal input
+rlabel metal2 s 35636 0 35692 800 6 la_oenb[61]
+port 459 nsew signal input
+rlabel metal2 s 36020 0 36076 800 6 la_oenb[62]
+port 460 nsew signal input
+rlabel metal2 s 36308 0 36364 800 6 la_oenb[63]
+port 461 nsew signal input
+rlabel metal2 s 36692 0 36748 800 6 la_oenb[64]
+port 462 nsew signal input
+rlabel metal2 s 37076 0 37132 800 6 la_oenb[65]
+port 463 nsew signal input
+rlabel metal2 s 37460 0 37516 800 6 la_oenb[66]
+port 464 nsew signal input
+rlabel metal2 s 37844 0 37900 800 6 la_oenb[67]
+port 465 nsew signal input
+rlabel metal2 s 38132 0 38188 800 6 la_oenb[68]
+port 466 nsew signal input
+rlabel metal2 s 38516 0 38572 800 6 la_oenb[69]
+port 467 nsew signal input
+rlabel metal2 s 15380 0 15436 800 6 la_oenb[6]
+port 468 nsew signal input
+rlabel metal2 s 38900 0 38956 800 6 la_oenb[70]
+port 469 nsew signal input
+rlabel metal2 s 39284 0 39340 800 6 la_oenb[71]
+port 470 nsew signal input
+rlabel metal2 s 39668 0 39724 800 6 la_oenb[72]
+port 471 nsew signal input
+rlabel metal2 s 40052 0 40108 800 6 la_oenb[73]
+port 472 nsew signal input
+rlabel metal2 s 40340 0 40396 800 6 la_oenb[74]
+port 473 nsew signal input
+rlabel metal2 s 40724 0 40780 800 6 la_oenb[75]
+port 474 nsew signal input
+rlabel metal2 s 41108 0 41164 800 6 la_oenb[76]
+port 475 nsew signal input
+rlabel metal2 s 41492 0 41548 800 6 la_oenb[77]
+port 476 nsew signal input
+rlabel metal2 s 41876 0 41932 800 6 la_oenb[78]
+port 477 nsew signal input
+rlabel metal2 s 42260 0 42316 800 6 la_oenb[79]
+port 478 nsew signal input
+rlabel metal2 s 15764 0 15820 800 6 la_oenb[7]
+port 479 nsew signal input
+rlabel metal2 s 42548 0 42604 800 6 la_oenb[80]
+port 480 nsew signal input
+rlabel metal2 s 42932 0 42988 800 6 la_oenb[81]
+port 481 nsew signal input
+rlabel metal2 s 43316 0 43372 800 6 la_oenb[82]
+port 482 nsew signal input
+rlabel metal2 s 43700 0 43756 800 6 la_oenb[83]
+port 483 nsew signal input
+rlabel metal2 s 44084 0 44140 800 6 la_oenb[84]
+port 484 nsew signal input
+rlabel metal2 s 44468 0 44524 800 6 la_oenb[85]
+port 485 nsew signal input
+rlabel metal2 s 44756 0 44812 800 6 la_oenb[86]
+port 486 nsew signal input
+rlabel metal2 s 45140 0 45196 800 6 la_oenb[87]
+port 487 nsew signal input
+rlabel metal2 s 45524 0 45580 800 6 la_oenb[88]
+port 488 nsew signal input
+rlabel metal2 s 45908 0 45964 800 6 la_oenb[89]
+port 489 nsew signal input
+rlabel metal2 s 16148 0 16204 800 6 la_oenb[8]
+port 490 nsew signal input
+rlabel metal2 s 46292 0 46348 800 6 la_oenb[90]
+port 491 nsew signal input
+rlabel metal2 s 46580 0 46636 800 6 la_oenb[91]
+port 492 nsew signal input
+rlabel metal2 s 46964 0 47020 800 6 la_oenb[92]
+port 493 nsew signal input
+rlabel metal2 s 47348 0 47404 800 6 la_oenb[93]
+port 494 nsew signal input
+rlabel metal2 s 47732 0 47788 800 6 la_oenb[94]
+port 495 nsew signal input
+rlabel metal2 s 48116 0 48172 800 6 la_oenb[95]
+port 496 nsew signal input
+rlabel metal2 s 48500 0 48556 800 6 la_oenb[96]
+port 497 nsew signal input
+rlabel metal2 s 48788 0 48844 800 6 la_oenb[97]
+port 498 nsew signal input
+rlabel metal2 s 49172 0 49228 800 6 la_oenb[98]
+port 499 nsew signal input
+rlabel metal2 s 49556 0 49612 800 6 la_oenb[99]
+port 500 nsew signal input
+rlabel metal2 s 16532 0 16588 800 6 la_oenb[9]
+port 501 nsew signal input
+rlabel metal2 s 20 0 76 800 6 wb_clk_i
+port 502 nsew signal input
+rlabel metal2 s 116 0 172 800 6 wb_rst_i
+port 503 nsew signal input
+rlabel metal2 s 212 0 268 800 6 wbs_ack_o
+port 504 nsew signal output
+rlabel metal2 s 692 0 748 800 6 wbs_adr_i[0]
+port 505 nsew signal input
+rlabel metal2 s 4916 0 4972 800 6 wbs_adr_i[10]
+port 506 nsew signal input
+rlabel metal2 s 5204 0 5260 800 6 wbs_adr_i[11]
+port 507 nsew signal input
+rlabel metal2 s 5588 0 5644 800 6 wbs_adr_i[12]
+port 508 nsew signal input
+rlabel metal2 s 5972 0 6028 800 6 wbs_adr_i[13]
+port 509 nsew signal input
+rlabel metal2 s 6356 0 6412 800 6 wbs_adr_i[14]
+port 510 nsew signal input
+rlabel metal2 s 6740 0 6796 800 6 wbs_adr_i[15]
+port 511 nsew signal input
+rlabel metal2 s 7028 0 7084 800 6 wbs_adr_i[16]
+port 512 nsew signal input
+rlabel metal2 s 7412 0 7468 800 6 wbs_adr_i[17]
+port 513 nsew signal input
+rlabel metal2 s 7796 0 7852 800 6 wbs_adr_i[18]
+port 514 nsew signal input
+rlabel metal2 s 8180 0 8236 800 6 wbs_adr_i[19]
+port 515 nsew signal input
+rlabel metal2 s 1172 0 1228 800 6 wbs_adr_i[1]
+port 516 nsew signal input
+rlabel metal2 s 8564 0 8620 800 6 wbs_adr_i[20]
+port 517 nsew signal input
+rlabel metal2 s 8948 0 9004 800 6 wbs_adr_i[21]
+port 518 nsew signal input
+rlabel metal2 s 9236 0 9292 800 6 wbs_adr_i[22]
+port 519 nsew signal input
+rlabel metal2 s 9620 0 9676 800 6 wbs_adr_i[23]
+port 520 nsew signal input
+rlabel metal2 s 10004 0 10060 800 6 wbs_adr_i[24]
+port 521 nsew signal input
+rlabel metal2 s 10388 0 10444 800 6 wbs_adr_i[25]
+port 522 nsew signal input
+rlabel metal2 s 10772 0 10828 800 6 wbs_adr_i[26]
+port 523 nsew signal input
+rlabel metal2 s 11156 0 11212 800 6 wbs_adr_i[27]
+port 524 nsew signal input
+rlabel metal2 s 11444 0 11500 800 6 wbs_adr_i[28]
+port 525 nsew signal input
+rlabel metal2 s 11828 0 11884 800 6 wbs_adr_i[29]
+port 526 nsew signal input
+rlabel metal2 s 1652 0 1708 800 6 wbs_adr_i[2]
+port 527 nsew signal input
+rlabel metal2 s 12212 0 12268 800 6 wbs_adr_i[30]
+port 528 nsew signal input
+rlabel metal2 s 12596 0 12652 800 6 wbs_adr_i[31]
+port 529 nsew signal input
+rlabel metal2 s 2132 0 2188 800 6 wbs_adr_i[3]
+port 530 nsew signal input
+rlabel metal2 s 2708 0 2764 800 6 wbs_adr_i[4]
+port 531 nsew signal input
+rlabel metal2 s 2996 0 3052 800 6 wbs_adr_i[5]
+port 532 nsew signal input
+rlabel metal2 s 3380 0 3436 800 6 wbs_adr_i[6]
+port 533 nsew signal input
+rlabel metal2 s 3764 0 3820 800 6 wbs_adr_i[7]
+port 534 nsew signal input
+rlabel metal2 s 4148 0 4204 800 6 wbs_adr_i[8]
+port 535 nsew signal input
+rlabel metal2 s 4532 0 4588 800 6 wbs_adr_i[9]
+port 536 nsew signal input
+rlabel metal2 s 308 0 364 800 6 wbs_cyc_i
+port 537 nsew signal input
+rlabel metal2 s 788 0 844 800 6 wbs_dat_i[0]
+port 538 nsew signal input
+rlabel metal2 s 5012 0 5068 800 6 wbs_dat_i[10]
+port 539 nsew signal input
+rlabel metal2 s 5396 0 5452 800 6 wbs_dat_i[11]
+port 540 nsew signal input
+rlabel metal2 s 5684 0 5740 800 6 wbs_dat_i[12]
+port 541 nsew signal input
+rlabel metal2 s 6068 0 6124 800 6 wbs_dat_i[13]
+port 542 nsew signal input
+rlabel metal2 s 6452 0 6508 800 6 wbs_dat_i[14]
+port 543 nsew signal input
+rlabel metal2 s 6836 0 6892 800 6 wbs_dat_i[15]
+port 544 nsew signal input
+rlabel metal2 s 7220 0 7276 800 6 wbs_dat_i[16]
+port 545 nsew signal input
+rlabel metal2 s 7604 0 7660 800 6 wbs_dat_i[17]
+port 546 nsew signal input
+rlabel metal2 s 7892 0 7948 800 6 wbs_dat_i[18]
+port 547 nsew signal input
+rlabel metal2 s 8276 0 8332 800 6 wbs_dat_i[19]
+port 548 nsew signal input
+rlabel metal2 s 1364 0 1420 800 6 wbs_dat_i[1]
+port 549 nsew signal input
+rlabel metal2 s 8660 0 8716 800 6 wbs_dat_i[20]
+port 550 nsew signal input
+rlabel metal2 s 9044 0 9100 800 6 wbs_dat_i[21]
+port 551 nsew signal input
+rlabel metal2 s 9428 0 9484 800 6 wbs_dat_i[22]
+port 552 nsew signal input
+rlabel metal2 s 9812 0 9868 800 6 wbs_dat_i[23]
+port 553 nsew signal input
+rlabel metal2 s 10100 0 10156 800 6 wbs_dat_i[24]
+port 554 nsew signal input
+rlabel metal2 s 10484 0 10540 800 6 wbs_dat_i[25]
+port 555 nsew signal input
+rlabel metal2 s 10868 0 10924 800 6 wbs_dat_i[26]
+port 556 nsew signal input
+rlabel metal2 s 11252 0 11308 800 6 wbs_dat_i[27]
+port 557 nsew signal input
+rlabel metal2 s 11636 0 11692 800 6 wbs_dat_i[28]
+port 558 nsew signal input
+rlabel metal2 s 12020 0 12076 800 6 wbs_dat_i[29]
+port 559 nsew signal input
+rlabel metal2 s 1844 0 1900 800 6 wbs_dat_i[2]
+port 560 nsew signal input
+rlabel metal2 s 12308 0 12364 800 6 wbs_dat_i[30]
+port 561 nsew signal input
+rlabel metal2 s 12692 0 12748 800 6 wbs_dat_i[31]
+port 562 nsew signal input
+rlabel metal2 s 2324 0 2380 800 6 wbs_dat_i[3]
+port 563 nsew signal input
+rlabel metal2 s 2804 0 2860 800 6 wbs_dat_i[4]
+port 564 nsew signal input
+rlabel metal2 s 3188 0 3244 800 6 wbs_dat_i[5]
+port 565 nsew signal input
+rlabel metal2 s 3476 0 3532 800 6 wbs_dat_i[6]
+port 566 nsew signal input
+rlabel metal2 s 3860 0 3916 800 6 wbs_dat_i[7]
+port 567 nsew signal input
+rlabel metal2 s 4244 0 4300 800 6 wbs_dat_i[8]
+port 568 nsew signal input
+rlabel metal2 s 4628 0 4684 800 6 wbs_dat_i[9]
+port 569 nsew signal input
+rlabel metal2 s 980 0 1036 800 6 wbs_dat_o[0]
+port 570 nsew signal output
+rlabel metal2 s 5108 0 5164 800 6 wbs_dat_o[10]
+port 571 nsew signal output
+rlabel metal2 s 5492 0 5548 800 6 wbs_dat_o[11]
+port 572 nsew signal output
+rlabel metal2 s 5876 0 5932 800 6 wbs_dat_o[12]
+port 573 nsew signal output
+rlabel metal2 s 6260 0 6316 800 6 wbs_dat_o[13]
+port 574 nsew signal output
+rlabel metal2 s 6548 0 6604 800 6 wbs_dat_o[14]
+port 575 nsew signal output
+rlabel metal2 s 6932 0 6988 800 6 wbs_dat_o[15]
+port 576 nsew signal output
+rlabel metal2 s 7316 0 7372 800 6 wbs_dat_o[16]
+port 577 nsew signal output
+rlabel metal2 s 7700 0 7756 800 6 wbs_dat_o[17]
+port 578 nsew signal output
+rlabel metal2 s 8084 0 8140 800 6 wbs_dat_o[18]
+port 579 nsew signal output
+rlabel metal2 s 8468 0 8524 800 6 wbs_dat_o[19]
+port 580 nsew signal output
+rlabel metal2 s 1460 0 1516 800 6 wbs_dat_o[1]
+port 581 nsew signal output
+rlabel metal2 s 8756 0 8812 800 6 wbs_dat_o[20]
+port 582 nsew signal output
+rlabel metal2 s 9140 0 9196 800 6 wbs_dat_o[21]
+port 583 nsew signal output
+rlabel metal2 s 9524 0 9580 800 6 wbs_dat_o[22]
+port 584 nsew signal output
+rlabel metal2 s 9908 0 9964 800 6 wbs_dat_o[23]
+port 585 nsew signal output
+rlabel metal2 s 10292 0 10348 800 6 wbs_dat_o[24]
+port 586 nsew signal output
+rlabel metal2 s 10580 0 10636 800 6 wbs_dat_o[25]
+port 587 nsew signal output
+rlabel metal2 s 10964 0 11020 800 6 wbs_dat_o[26]
+port 588 nsew signal output
+rlabel metal2 s 11348 0 11404 800 6 wbs_dat_o[27]
+port 589 nsew signal output
+rlabel metal2 s 11732 0 11788 800 6 wbs_dat_o[28]
+port 590 nsew signal output
+rlabel metal2 s 12116 0 12172 800 6 wbs_dat_o[29]
+port 591 nsew signal output
+rlabel metal2 s 1940 0 1996 800 6 wbs_dat_o[2]
+port 592 nsew signal output
+rlabel metal2 s 12500 0 12556 800 6 wbs_dat_o[30]
+port 593 nsew signal output
+rlabel metal2 s 12788 0 12844 800 6 wbs_dat_o[31]
+port 594 nsew signal output
+rlabel metal2 s 2420 0 2476 800 6 wbs_dat_o[3]
+port 595 nsew signal output
+rlabel metal2 s 2900 0 2956 800 6 wbs_dat_o[4]
+port 596 nsew signal output
+rlabel metal2 s 3284 0 3340 800 6 wbs_dat_o[5]
+port 597 nsew signal output
+rlabel metal2 s 3668 0 3724 800 6 wbs_dat_o[6]
+port 598 nsew signal output
+rlabel metal2 s 4052 0 4108 800 6 wbs_dat_o[7]
+port 599 nsew signal output
+rlabel metal2 s 4340 0 4396 800 6 wbs_dat_o[8]
+port 600 nsew signal output
+rlabel metal2 s 4724 0 4780 800 6 wbs_dat_o[9]
+port 601 nsew signal output
+rlabel metal2 s 1076 0 1132 800 6 wbs_sel_i[0]
+port 602 nsew signal input
+rlabel metal2 s 1556 0 1612 800 6 wbs_sel_i[1]
+port 603 nsew signal input
+rlabel metal2 s 2036 0 2092 800 6 wbs_sel_i[2]
+port 604 nsew signal input
+rlabel metal2 s 2516 0 2572 800 6 wbs_sel_i[3]
+port 605 nsew signal input
+rlabel metal2 s 500 0 556 800 6 wbs_stb_i
+port 606 nsew signal input
+rlabel metal2 s 596 0 652 800 6 wbs_we_i
+port 607 nsew signal input
+rlabel metal4 s 34976 2616 35296 57324 6 vccd1
+port 608 nsew power bidirectional
+rlabel metal4 s 4256 2616 4576 57324 6 vccd1
+port 609 nsew power bidirectional
+rlabel metal4 s 50336 2616 50656 57324 6 vssd1
+port 610 nsew ground bidirectional
+rlabel metal4 s 19616 2616 19936 57324 6 vssd1
+port 611 nsew ground bidirectional
+rlabel metal4 s 35636 2664 35956 57276 6 vccd2
+port 612 nsew power bidirectional
+rlabel metal4 s 4916 2664 5236 57276 6 vccd2
+port 613 nsew power bidirectional
+rlabel metal4 s 50996 2664 51316 57276 6 vssd2
+port 614 nsew ground bidirectional
+rlabel metal4 s 20276 2664 20596 57276 6 vssd2
+port 615 nsew ground bidirectional
+rlabel metal4 s 36296 2664 36616 57276 6 vdda1
+port 616 nsew power bidirectional
+rlabel metal4 s 5576 2664 5896 57276 6 vdda1
+port 617 nsew power bidirectional
+rlabel metal4 s 51656 2664 51976 57276 6 vssa1
+port 618 nsew ground bidirectional
+rlabel metal4 s 20936 2664 21256 57276 6 vssa1
+port 619 nsew ground bidirectional
+rlabel metal4 s 36956 2664 37276 57276 6 vdda2
+port 620 nsew power bidirectional
+rlabel metal4 s 6236 2664 6556 57276 6 vdda2
+port 621 nsew power bidirectional
+rlabel metal4 s 52316 2664 52636 57276 6 vssa2
+port 622 nsew ground bidirectional
+rlabel metal4 s 21596 2664 21916 57276 6 vssa2
+port 623 nsew ground bidirectional
+=======
 rlabel metal2 s 179050 0 179106 800 6 irq[0]
 port 115 nsew signal output
 rlabel metal2 s 179418 0 179474 800 6 irq[1]
@@ -2449,12 +4362,18 @@
 port 608 nsew signal input
 rlabel metal2 s 1858 0 1914 800 6 wbs_we_i
 port 609 nsew signal input
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 << properties >>
 string LEFclass BLOCK
-string FIXED_BBOX 0 0 180000 120000
+string FIXED_BBOX 0 0 60000 60000
 string LEFview TRUE
 string GDS_FILE /project/openlane/user_proj_example/runs/user_proj_example/results/magic/user_proj_example.gds
+<<<<<<< HEAD
+string GDS_END 2182180
+string GDS_START 251226
+=======
 string GDS_END 8095954
 string GDS_START 360410
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 << end >>
 
diff --git a/maglef/user_project_wrapper.mag b/maglef/user_project_wrapper.mag
index 39f099a..c775205 100644
--- a/maglef/user_project_wrapper.mag
+++ b/maglef/user_project_wrapper.mag
@@ -1,9 +1,15 @@
 magic
 tech sky130A
 magscale 1 2
+<<<<<<< HEAD
+timestamp 1624955332
+<< obsli1 >>
+rect 34345 2533 412131 400639
+=======
 timestamp 1631895081
 << obsli1 >>
 rect 32505 2873 582423 460411
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 << obsm1 >>
 rect 566 2796 582438 701004
 << metal2 >>
@@ -1389,6 +1395,189 @@
 rect 480 6796 583586 19180
 rect 480 6660 583440 6796
 rect 560 6396 583440 6660
+<<<<<<< HEAD
+rect 560 6260 583520 6396
+rect 480 2143 583520 6260
+<< metal4 >>
+rect -8576 -7504 -7976 711440
+rect -7636 -6564 -7036 710500
+rect -6696 -5624 -6096 709560
+rect -5756 -4684 -5156 708620
+rect -4816 -3744 -4216 707680
+rect -3876 -2804 -3276 706740
+rect -2936 -1864 -2336 705800
+rect -1996 -924 -1396 704860
+rect 1804 -1864 2404 705800
+rect 5404 -3744 6004 707680
+rect 9004 -5624 9604 709560
+rect 12604 -7504 13204 711440
+rect 19804 -1864 20404 705800
+rect 23404 -3744 24004 707680
+rect 27004 -5624 27604 709560
+rect 30604 -7504 31204 711440
+rect 37804 -1864 38404 705800
+rect 41404 -3744 42004 707680
+rect 45004 -5624 45604 709560
+rect 48604 -7504 49204 711440
+rect 55804 -1864 56404 705800
+rect 59404 -3744 60004 707680
+rect 63004 -5624 63604 709560
+rect 66604 -7504 67204 711440
+rect 73804 -1864 74404 705800
+rect 77404 -3744 78004 707680
+rect 81004 -5624 81604 709560
+rect 84604 -7504 85204 711440
+rect 91804 -1864 92404 705800
+rect 95404 -3744 96004 707680
+rect 99004 -5624 99604 709560
+rect 102604 -7504 103204 711440
+rect 109804 -1864 110404 705800
+rect 113404 -3744 114004 707680
+rect 117004 -5624 117604 709560
+rect 120604 -7504 121204 711440
+rect 127804 -1864 128404 705800
+rect 131404 -3744 132004 707680
+rect 135004 -5624 135604 709560
+rect 138604 -7504 139204 711440
+rect 145804 -1864 146404 705800
+rect 149404 -3744 150004 707680
+rect 153004 -5624 153604 709560
+rect 156604 -7504 157204 711440
+rect 163804 -1864 164404 705800
+rect 167404 -3744 168004 707680
+rect 171004 -5624 171604 709560
+rect 174604 -7504 175204 711440
+rect 181804 -1864 182404 705800
+rect 185404 -3744 186004 707680
+rect 189004 -5624 189604 709560
+rect 192604 -7504 193204 711440
+rect 199804 -1864 200404 705800
+rect 203404 -3744 204004 707680
+rect 207004 -5624 207604 709560
+rect 210604 -7504 211204 711440
+rect 217804 -1864 218404 705800
+rect 221404 -3744 222004 707680
+rect 225004 -5624 225604 709560
+rect 228604 -7504 229204 711440
+rect 235804 399952 236404 705800
+rect 239404 400000 240004 707680
+rect 243004 400000 243604 709560
+rect 246604 400000 247204 711440
+rect 253804 399952 254404 705800
+rect 257404 400000 258004 707680
+rect 261004 400000 261604 709560
+rect 264604 400000 265204 711440
+rect 271804 399952 272404 705800
+rect 275404 400000 276004 707680
+rect 279004 400000 279604 709560
+rect 282604 400000 283204 711440
+rect 289804 399952 290404 705800
+rect 293404 400000 294004 707680
+rect 235804 -1864 236404 336048
+rect 239404 -3744 240004 336000
+rect 243004 -5624 243604 336000
+rect 246604 -7504 247204 336000
+rect 253804 -1864 254404 336048
+rect 257404 -3744 258004 336000
+rect 261004 -5624 261604 336000
+rect 264604 -7504 265204 336000
+rect 271804 -1864 272404 336048
+rect 275404 -3744 276004 336000
+rect 279004 -5624 279604 336000
+rect 282604 -7504 283204 336000
+rect 289804 -1864 290404 336048
+rect 293404 -3744 294004 336000
+rect 297004 -5624 297604 709560
+rect 300604 -7504 301204 711440
+rect 307804 -1864 308404 705800
+rect 311404 -3744 312004 707680
+rect 315004 -5624 315604 709560
+rect 318604 -7504 319204 711440
+rect 325804 -1864 326404 705800
+rect 329404 -3744 330004 707680
+rect 333004 -5624 333604 709560
+rect 336604 -7504 337204 711440
+rect 343804 -1864 344404 705800
+rect 347404 -3744 348004 707680
+rect 351004 -5624 351604 709560
+rect 354604 -7504 355204 711440
+rect 361804 -1864 362404 705800
+rect 365404 -3744 366004 707680
+rect 369004 -5624 369604 709560
+rect 372604 -7504 373204 711440
+rect 379804 -1864 380404 705800
+rect 383404 -3744 384004 707680
+rect 387004 -5624 387604 709560
+rect 390604 -7504 391204 711440
+rect 397804 -1864 398404 705800
+rect 401404 -3744 402004 707680
+rect 405004 -5624 405604 709560
+rect 408604 -7504 409204 711440
+rect 415804 -1864 416404 705800
+rect 419404 -3744 420004 707680
+rect 423004 -5624 423604 709560
+rect 426604 -7504 427204 711440
+rect 433804 -1864 434404 705800
+rect 437404 -3744 438004 707680
+rect 441004 -5624 441604 709560
+rect 444604 -7504 445204 711440
+rect 451804 -1864 452404 705800
+rect 455404 -3744 456004 707680
+rect 459004 -5624 459604 709560
+rect 462604 -7504 463204 711440
+rect 469804 -1864 470404 705800
+rect 473404 -3744 474004 707680
+rect 477004 -5624 477604 709560
+rect 480604 -7504 481204 711440
+rect 487804 -1864 488404 705800
+rect 491404 -3744 492004 707680
+rect 495004 -5624 495604 709560
+rect 498604 -7504 499204 711440
+rect 505804 -1864 506404 705800
+rect 509404 -3744 510004 707680
+rect 513004 -5624 513604 709560
+rect 516604 -7504 517204 711440
+rect 523804 -1864 524404 705800
+rect 527404 -3744 528004 707680
+rect 531004 -5624 531604 709560
+rect 534604 -7504 535204 711440
+rect 541804 -1864 542404 705800
+rect 545404 -3744 546004 707680
+rect 549004 -5624 549604 709560
+rect 552604 -7504 553204 711440
+rect 559804 -1864 560404 705800
+rect 563404 -3744 564004 707680
+rect 567004 -5624 567604 709560
+rect 570604 -7504 571204 711440
+rect 577804 -1864 578404 705800
+rect 581404 -3744 582004 707680
+rect 585320 -924 585920 704860
+rect 586260 -1864 586860 705800
+rect 587200 -2804 587800 706740
+rect 588140 -3744 588740 707680
+rect 589080 -4684 589680 708620
+rect 590020 -5624 590620 709560
+rect 590960 -6564 591560 710500
+rect 591900 -7504 592500 711440
+<< obsm4 >>
+rect 237235 336128 292685 397493
+rect 237235 336080 253724 336128
+rect 237235 19347 239324 336080
+rect 240084 19347 242924 336080
+rect 243684 19347 246524 336080
+rect 247284 19347 253724 336080
+rect 254484 336080 271724 336128
+rect 254484 19347 257324 336080
+rect 258084 19347 260924 336080
+rect 261684 19347 264524 336080
+rect 265284 19347 271724 336080
+rect 272484 336080 289724 336128
+rect 272484 19347 275324 336080
+rect 276084 19347 278924 336080
+rect 279684 19347 282524 336080
+rect 283284 19347 289724 336080
+rect 290484 19347 292685 336128
+=======
 rect 560 6260 583586 6396
 rect 480 3299 583586 6260
 << obsm4 >>
@@ -1669,6 +1858,7 @@
 rect 590110 -5734 590730 709670
 rect 591070 -6694 591690 710630
 rect 592030 -7654 592650 711590
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 << metal5 >>
 rect -2006 -934 585930 -314
 rect -2966 -1894 586890 -1274
@@ -3289,13 +3479,686 @@
 rlabel metal2 s 5234 -960 5346 480 8 wbs_stb_i
 port 644 nsew signal input
 rlabel metal2 s 6430 -960 6542 480 8 wbs_we_i
+<<<<<<< HEAD
+port 637 nsew signal input
+rlabel metal4 s 577804 -1864 578404 705800 6 vccd1
+port 638 nsew power bidirectional
+rlabel metal4 s 541804 -1864 542404 705800 6 vccd1
+port 639 nsew power bidirectional
+rlabel metal4 s 505804 -1864 506404 705800 6 vccd1
+port 640 nsew power bidirectional
+rlabel metal4 s 469804 -1864 470404 705800 6 vccd1
+port 641 nsew power bidirectional
+rlabel metal4 s 433804 -1864 434404 705800 6 vccd1
+port 642 nsew power bidirectional
+rlabel metal4 s 397804 -1864 398404 705800 6 vccd1
+port 643 nsew power bidirectional
+rlabel metal4 s 361804 -1864 362404 705800 6 vccd1
+port 644 nsew power bidirectional
+rlabel metal4 s 325804 -1864 326404 705800 6 vccd1
+port 645 nsew power bidirectional
+rlabel metal4 s 289804 399952 290404 705800 6 vccd1
+port 646 nsew power bidirectional
+rlabel metal4 s 253804 399952 254404 705800 6 vccd1
+port 647 nsew power bidirectional
+rlabel metal4 s 217804 -1864 218404 705800 6 vccd1
+port 648 nsew power bidirectional
+rlabel metal4 s 181804 -1864 182404 705800 6 vccd1
+port 649 nsew power bidirectional
+rlabel metal4 s 145804 -1864 146404 705800 6 vccd1
+port 650 nsew power bidirectional
+rlabel metal4 s 109804 -1864 110404 705800 6 vccd1
+port 651 nsew power bidirectional
+rlabel metal4 s 73804 -1864 74404 705800 6 vccd1
+port 652 nsew power bidirectional
+rlabel metal4 s 37804 -1864 38404 705800 6 vccd1
+port 653 nsew power bidirectional
+rlabel metal4 s 1804 -1864 2404 705800 6 vccd1
+port 654 nsew power bidirectional
+rlabel metal4 s 585320 -924 585920 704860 6 vccd1
+port 655 nsew power bidirectional
+rlabel metal4 s -1996 -924 -1396 704860 4 vccd1
+port 656 nsew power bidirectional
+rlabel metal4 s 289804 -1864 290404 336048 6 vccd1
+port 657 nsew power bidirectional
+rlabel metal4 s 253804 -1864 254404 336048 6 vccd1
+port 658 nsew power bidirectional
+rlabel metal5 s -1996 704260 585920 704860 6 vccd1
+port 659 nsew power bidirectional
+rlabel metal5 s -2936 686828 586860 687428 6 vccd1
+port 660 nsew power bidirectional
+rlabel metal5 s -2936 650828 586860 651428 6 vccd1
+port 661 nsew power bidirectional
+rlabel metal5 s -2936 614828 586860 615428 6 vccd1
+port 662 nsew power bidirectional
+rlabel metal5 s -2936 578828 586860 579428 6 vccd1
+port 663 nsew power bidirectional
+rlabel metal5 s -2936 542828 586860 543428 6 vccd1
+port 664 nsew power bidirectional
+rlabel metal5 s -2936 506828 586860 507428 6 vccd1
+port 665 nsew power bidirectional
+rlabel metal5 s -2936 470828 586860 471428 6 vccd1
+port 666 nsew power bidirectional
+rlabel metal5 s -2936 434828 586860 435428 6 vccd1
+port 667 nsew power bidirectional
+rlabel metal5 s -2936 398828 586860 399428 6 vccd1
+port 668 nsew power bidirectional
+rlabel metal5 s -2936 362828 586860 363428 6 vccd1
+port 669 nsew power bidirectional
+rlabel metal5 s -2936 326828 586860 327428 6 vccd1
+port 670 nsew power bidirectional
+rlabel metal5 s -2936 290828 586860 291428 6 vccd1
+port 671 nsew power bidirectional
+rlabel metal5 s -2936 254828 586860 255428 6 vccd1
+port 672 nsew power bidirectional
+rlabel metal5 s -2936 218828 586860 219428 6 vccd1
+port 673 nsew power bidirectional
+rlabel metal5 s -2936 182828 586860 183428 6 vccd1
+port 674 nsew power bidirectional
+rlabel metal5 s -2936 146828 586860 147428 6 vccd1
+port 675 nsew power bidirectional
+rlabel metal5 s -2936 110828 586860 111428 6 vccd1
+port 676 nsew power bidirectional
+rlabel metal5 s -2936 74828 586860 75428 6 vccd1
+port 677 nsew power bidirectional
+rlabel metal5 s -2936 38828 586860 39428 6 vccd1
+port 678 nsew power bidirectional
+rlabel metal5 s -2936 2828 586860 3428 6 vccd1
+port 679 nsew power bidirectional
+rlabel metal5 s -1996 -924 585920 -324 8 vccd1
+port 680 nsew power bidirectional
+rlabel metal4 s 586260 -1864 586860 705800 6 vssd1
+port 681 nsew ground bidirectional
+rlabel metal4 s 559804 -1864 560404 705800 6 vssd1
+port 682 nsew ground bidirectional
+rlabel metal4 s 523804 -1864 524404 705800 6 vssd1
+port 683 nsew ground bidirectional
+rlabel metal4 s 487804 -1864 488404 705800 6 vssd1
+port 684 nsew ground bidirectional
+rlabel metal4 s 451804 -1864 452404 705800 6 vssd1
+port 685 nsew ground bidirectional
+rlabel metal4 s 415804 -1864 416404 705800 6 vssd1
+port 686 nsew ground bidirectional
+rlabel metal4 s 379804 -1864 380404 705800 6 vssd1
+port 687 nsew ground bidirectional
+rlabel metal4 s 343804 -1864 344404 705800 6 vssd1
+port 688 nsew ground bidirectional
+rlabel metal4 s 307804 -1864 308404 705800 6 vssd1
+port 689 nsew ground bidirectional
+rlabel metal4 s 271804 399952 272404 705800 6 vssd1
+port 690 nsew ground bidirectional
+rlabel metal4 s 235804 399952 236404 705800 6 vssd1
+port 691 nsew ground bidirectional
+rlabel metal4 s 199804 -1864 200404 705800 6 vssd1
+port 692 nsew ground bidirectional
+rlabel metal4 s 163804 -1864 164404 705800 6 vssd1
+port 693 nsew ground bidirectional
+rlabel metal4 s 127804 -1864 128404 705800 6 vssd1
+port 694 nsew ground bidirectional
+rlabel metal4 s 91804 -1864 92404 705800 6 vssd1
+port 695 nsew ground bidirectional
+rlabel metal4 s 55804 -1864 56404 705800 6 vssd1
+port 696 nsew ground bidirectional
+rlabel metal4 s 19804 -1864 20404 705800 6 vssd1
+port 697 nsew ground bidirectional
+rlabel metal4 s -2936 -1864 -2336 705800 4 vssd1
+port 698 nsew ground bidirectional
+rlabel metal4 s 271804 -1864 272404 336048 6 vssd1
+port 699 nsew ground bidirectional
+rlabel metal4 s 235804 -1864 236404 336048 6 vssd1
+port 700 nsew ground bidirectional
+rlabel metal5 s -2936 705200 586860 705800 6 vssd1
+port 701 nsew ground bidirectional
+rlabel metal5 s -2936 668828 586860 669428 6 vssd1
+port 702 nsew ground bidirectional
+rlabel metal5 s -2936 632828 586860 633428 6 vssd1
+port 703 nsew ground bidirectional
+rlabel metal5 s -2936 596828 586860 597428 6 vssd1
+port 704 nsew ground bidirectional
+rlabel metal5 s -2936 560828 586860 561428 6 vssd1
+port 705 nsew ground bidirectional
+rlabel metal5 s -2936 524828 586860 525428 6 vssd1
+port 706 nsew ground bidirectional
+rlabel metal5 s -2936 488828 586860 489428 6 vssd1
+port 707 nsew ground bidirectional
+rlabel metal5 s -2936 452828 586860 453428 6 vssd1
+port 708 nsew ground bidirectional
+rlabel metal5 s -2936 416828 586860 417428 6 vssd1
+port 709 nsew ground bidirectional
+rlabel metal5 s -2936 380828 586860 381428 6 vssd1
+port 710 nsew ground bidirectional
+rlabel metal5 s -2936 344828 586860 345428 6 vssd1
+port 711 nsew ground bidirectional
+rlabel metal5 s -2936 308828 586860 309428 6 vssd1
+port 712 nsew ground bidirectional
+rlabel metal5 s -2936 272828 586860 273428 6 vssd1
+port 713 nsew ground bidirectional
+rlabel metal5 s -2936 236828 586860 237428 6 vssd1
+port 714 nsew ground bidirectional
+rlabel metal5 s -2936 200828 586860 201428 6 vssd1
+port 715 nsew ground bidirectional
+rlabel metal5 s -2936 164828 586860 165428 6 vssd1
+port 716 nsew ground bidirectional
+rlabel metal5 s -2936 128828 586860 129428 6 vssd1
+port 717 nsew ground bidirectional
+rlabel metal5 s -2936 92828 586860 93428 6 vssd1
+port 718 nsew ground bidirectional
+rlabel metal5 s -2936 56828 586860 57428 6 vssd1
+port 719 nsew ground bidirectional
+rlabel metal5 s -2936 20828 586860 21428 6 vssd1
+port 720 nsew ground bidirectional
+rlabel metal5 s -2936 -1864 586860 -1264 8 vssd1
+port 721 nsew ground bidirectional
+rlabel metal4 s 581404 -3744 582004 707680 6 vccd2
+port 722 nsew power bidirectional
+rlabel metal4 s 545404 -3744 546004 707680 6 vccd2
+port 723 nsew power bidirectional
+rlabel metal4 s 509404 -3744 510004 707680 6 vccd2
+port 724 nsew power bidirectional
+rlabel metal4 s 473404 -3744 474004 707680 6 vccd2
+port 725 nsew power bidirectional
+rlabel metal4 s 437404 -3744 438004 707680 6 vccd2
+port 726 nsew power bidirectional
+rlabel metal4 s 401404 -3744 402004 707680 6 vccd2
+port 727 nsew power bidirectional
+rlabel metal4 s 365404 -3744 366004 707680 6 vccd2
+port 728 nsew power bidirectional
+rlabel metal4 s 329404 -3744 330004 707680 6 vccd2
+port 729 nsew power bidirectional
+rlabel metal4 s 293404 400000 294004 707680 6 vccd2
+port 730 nsew power bidirectional
+rlabel metal4 s 257404 400000 258004 707680 6 vccd2
+port 731 nsew power bidirectional
+rlabel metal4 s 221404 -3744 222004 707680 6 vccd2
+port 732 nsew power bidirectional
+rlabel metal4 s 185404 -3744 186004 707680 6 vccd2
+port 733 nsew power bidirectional
+rlabel metal4 s 149404 -3744 150004 707680 6 vccd2
+port 734 nsew power bidirectional
+rlabel metal4 s 113404 -3744 114004 707680 6 vccd2
+port 735 nsew power bidirectional
+rlabel metal4 s 77404 -3744 78004 707680 6 vccd2
+port 736 nsew power bidirectional
+rlabel metal4 s 41404 -3744 42004 707680 6 vccd2
+port 737 nsew power bidirectional
+rlabel metal4 s 5404 -3744 6004 707680 6 vccd2
+port 738 nsew power bidirectional
+rlabel metal4 s 587200 -2804 587800 706740 6 vccd2
+port 739 nsew power bidirectional
+rlabel metal4 s -3876 -2804 -3276 706740 4 vccd2
+port 740 nsew power bidirectional
+rlabel metal4 s 293404 -3744 294004 336000 6 vccd2
+port 741 nsew power bidirectional
+rlabel metal4 s 257404 -3744 258004 336000 6 vccd2
+port 742 nsew power bidirectional
+rlabel metal5 s -3876 706140 587800 706740 6 vccd2
+port 743 nsew power bidirectional
+rlabel metal5 s -4816 690476 588740 691076 6 vccd2
+port 744 nsew power bidirectional
+rlabel metal5 s -4816 654476 588740 655076 6 vccd2
+port 745 nsew power bidirectional
+rlabel metal5 s -4816 618476 588740 619076 6 vccd2
+port 746 nsew power bidirectional
+rlabel metal5 s -4816 582476 588740 583076 6 vccd2
+port 747 nsew power bidirectional
+rlabel metal5 s -4816 546476 588740 547076 6 vccd2
+port 748 nsew power bidirectional
+rlabel metal5 s -4816 510476 588740 511076 6 vccd2
+port 749 nsew power bidirectional
+rlabel metal5 s -4816 474476 588740 475076 6 vccd2
+port 750 nsew power bidirectional
+rlabel metal5 s -4816 438476 588740 439076 6 vccd2
+port 751 nsew power bidirectional
+rlabel metal5 s -4816 402476 588740 403076 6 vccd2
+port 752 nsew power bidirectional
+rlabel metal5 s -4816 366476 588740 367076 6 vccd2
+port 753 nsew power bidirectional
+rlabel metal5 s -4816 330476 588740 331076 6 vccd2
+port 754 nsew power bidirectional
+rlabel metal5 s -4816 294476 588740 295076 6 vccd2
+port 755 nsew power bidirectional
+rlabel metal5 s -4816 258476 588740 259076 6 vccd2
+port 756 nsew power bidirectional
+rlabel metal5 s -4816 222476 588740 223076 6 vccd2
+port 757 nsew power bidirectional
+rlabel metal5 s -4816 186476 588740 187076 6 vccd2
+port 758 nsew power bidirectional
+rlabel metal5 s -4816 150476 588740 151076 6 vccd2
+port 759 nsew power bidirectional
+rlabel metal5 s -4816 114476 588740 115076 6 vccd2
+port 760 nsew power bidirectional
+rlabel metal5 s -4816 78476 588740 79076 6 vccd2
+port 761 nsew power bidirectional
+rlabel metal5 s -4816 42476 588740 43076 6 vccd2
+port 762 nsew power bidirectional
+rlabel metal5 s -4816 6476 588740 7076 6 vccd2
+port 763 nsew power bidirectional
+rlabel metal5 s -3876 -2804 587800 -2204 8 vccd2
+port 764 nsew power bidirectional
+rlabel metal4 s 588140 -3744 588740 707680 6 vssd2
+port 765 nsew ground bidirectional
+rlabel metal4 s 563404 -3744 564004 707680 6 vssd2
+port 766 nsew ground bidirectional
+rlabel metal4 s 527404 -3744 528004 707680 6 vssd2
+port 767 nsew ground bidirectional
+rlabel metal4 s 491404 -3744 492004 707680 6 vssd2
+port 768 nsew ground bidirectional
+rlabel metal4 s 455404 -3744 456004 707680 6 vssd2
+port 769 nsew ground bidirectional
+rlabel metal4 s 419404 -3744 420004 707680 6 vssd2
+port 770 nsew ground bidirectional
+rlabel metal4 s 383404 -3744 384004 707680 6 vssd2
+port 771 nsew ground bidirectional
+rlabel metal4 s 347404 -3744 348004 707680 6 vssd2
+port 772 nsew ground bidirectional
+rlabel metal4 s 311404 -3744 312004 707680 6 vssd2
+port 773 nsew ground bidirectional
+rlabel metal4 s 275404 400000 276004 707680 6 vssd2
+port 774 nsew ground bidirectional
+rlabel metal4 s 239404 400000 240004 707680 6 vssd2
+port 775 nsew ground bidirectional
+rlabel metal4 s 203404 -3744 204004 707680 6 vssd2
+port 776 nsew ground bidirectional
+rlabel metal4 s 167404 -3744 168004 707680 6 vssd2
+port 777 nsew ground bidirectional
+rlabel metal4 s 131404 -3744 132004 707680 6 vssd2
+port 778 nsew ground bidirectional
+rlabel metal4 s 95404 -3744 96004 707680 6 vssd2
+port 779 nsew ground bidirectional
+rlabel metal4 s 59404 -3744 60004 707680 6 vssd2
+port 780 nsew ground bidirectional
+rlabel metal4 s 23404 -3744 24004 707680 6 vssd2
+port 781 nsew ground bidirectional
+rlabel metal4 s -4816 -3744 -4216 707680 4 vssd2
+port 782 nsew ground bidirectional
+rlabel metal4 s 275404 -3744 276004 336000 6 vssd2
+port 783 nsew ground bidirectional
+rlabel metal4 s 239404 -3744 240004 336000 6 vssd2
+port 784 nsew ground bidirectional
+rlabel metal5 s -4816 707080 588740 707680 6 vssd2
+port 785 nsew ground bidirectional
+rlabel metal5 s -4816 672476 588740 673076 6 vssd2
+port 786 nsew ground bidirectional
+rlabel metal5 s -4816 636476 588740 637076 6 vssd2
+port 787 nsew ground bidirectional
+rlabel metal5 s -4816 600476 588740 601076 6 vssd2
+port 788 nsew ground bidirectional
+rlabel metal5 s -4816 564476 588740 565076 6 vssd2
+port 789 nsew ground bidirectional
+rlabel metal5 s -4816 528476 588740 529076 6 vssd2
+port 790 nsew ground bidirectional
+rlabel metal5 s -4816 492476 588740 493076 6 vssd2
+port 791 nsew ground bidirectional
+rlabel metal5 s -4816 456476 588740 457076 6 vssd2
+port 792 nsew ground bidirectional
+rlabel metal5 s -4816 420476 588740 421076 6 vssd2
+port 793 nsew ground bidirectional
+rlabel metal5 s -4816 384476 588740 385076 6 vssd2
+port 794 nsew ground bidirectional
+rlabel metal5 s -4816 348476 588740 349076 6 vssd2
+port 795 nsew ground bidirectional
+rlabel metal5 s -4816 312476 588740 313076 6 vssd2
+port 796 nsew ground bidirectional
+rlabel metal5 s -4816 276476 588740 277076 6 vssd2
+port 797 nsew ground bidirectional
+rlabel metal5 s -4816 240476 588740 241076 6 vssd2
+port 798 nsew ground bidirectional
+rlabel metal5 s -4816 204476 588740 205076 6 vssd2
+port 799 nsew ground bidirectional
+rlabel metal5 s -4816 168476 588740 169076 6 vssd2
+port 800 nsew ground bidirectional
+rlabel metal5 s -4816 132476 588740 133076 6 vssd2
+port 801 nsew ground bidirectional
+rlabel metal5 s -4816 96476 588740 97076 6 vssd2
+port 802 nsew ground bidirectional
+rlabel metal5 s -4816 60476 588740 61076 6 vssd2
+port 803 nsew ground bidirectional
+rlabel metal5 s -4816 24476 588740 25076 6 vssd2
+port 804 nsew ground bidirectional
+rlabel metal5 s -4816 -3744 588740 -3144 8 vssd2
+port 805 nsew ground bidirectional
+rlabel metal4 s 549004 -5624 549604 709560 6 vdda1
+port 806 nsew power bidirectional
+rlabel metal4 s 513004 -5624 513604 709560 6 vdda1
+port 807 nsew power bidirectional
+rlabel metal4 s 477004 -5624 477604 709560 6 vdda1
+port 808 nsew power bidirectional
+rlabel metal4 s 441004 -5624 441604 709560 6 vdda1
+port 809 nsew power bidirectional
+rlabel metal4 s 405004 -5624 405604 709560 6 vdda1
+port 810 nsew power bidirectional
+rlabel metal4 s 369004 -5624 369604 709560 6 vdda1
+port 811 nsew power bidirectional
+rlabel metal4 s 333004 -5624 333604 709560 6 vdda1
+port 812 nsew power bidirectional
+rlabel metal4 s 297004 -5624 297604 709560 6 vdda1
+port 813 nsew power bidirectional
+rlabel metal4 s 261004 400000 261604 709560 6 vdda1
+port 814 nsew power bidirectional
+rlabel metal4 s 225004 -5624 225604 709560 6 vdda1
+port 815 nsew power bidirectional
+rlabel metal4 s 189004 -5624 189604 709560 6 vdda1
+port 816 nsew power bidirectional
+rlabel metal4 s 153004 -5624 153604 709560 6 vdda1
+port 817 nsew power bidirectional
+rlabel metal4 s 117004 -5624 117604 709560 6 vdda1
+port 818 nsew power bidirectional
+rlabel metal4 s 81004 -5624 81604 709560 6 vdda1
+port 819 nsew power bidirectional
+rlabel metal4 s 45004 -5624 45604 709560 6 vdda1
+port 820 nsew power bidirectional
+rlabel metal4 s 9004 -5624 9604 709560 6 vdda1
+port 821 nsew power bidirectional
+rlabel metal4 s 589080 -4684 589680 708620 6 vdda1
+port 822 nsew power bidirectional
+rlabel metal4 s -5756 -4684 -5156 708620 4 vdda1
+port 823 nsew power bidirectional
+rlabel metal4 s 261004 -5624 261604 336000 6 vdda1
+port 824 nsew power bidirectional
+rlabel metal5 s -5756 708020 589680 708620 6 vdda1
+port 825 nsew power bidirectional
+rlabel metal5 s -6696 694076 590620 694676 6 vdda1
+port 826 nsew power bidirectional
+rlabel metal5 s -6696 658076 590620 658676 6 vdda1
+port 827 nsew power bidirectional
+rlabel metal5 s -6696 622076 590620 622676 6 vdda1
+port 828 nsew power bidirectional
+rlabel metal5 s -6696 586076 590620 586676 6 vdda1
+port 829 nsew power bidirectional
+rlabel metal5 s -6696 550076 590620 550676 6 vdda1
+port 830 nsew power bidirectional
+rlabel metal5 s -6696 514076 590620 514676 6 vdda1
+port 831 nsew power bidirectional
+rlabel metal5 s -6696 478076 590620 478676 6 vdda1
+port 832 nsew power bidirectional
+rlabel metal5 s -6696 442076 590620 442676 6 vdda1
+port 833 nsew power bidirectional
+rlabel metal5 s -6696 406076 590620 406676 6 vdda1
+port 834 nsew power bidirectional
+rlabel metal5 s -6696 370076 590620 370676 6 vdda1
+port 835 nsew power bidirectional
+rlabel metal5 s -6696 334076 590620 334676 6 vdda1
+port 836 nsew power bidirectional
+rlabel metal5 s -6696 298076 590620 298676 6 vdda1
+port 837 nsew power bidirectional
+rlabel metal5 s -6696 262076 590620 262676 6 vdda1
+port 838 nsew power bidirectional
+rlabel metal5 s -6696 226076 590620 226676 6 vdda1
+port 839 nsew power bidirectional
+rlabel metal5 s -6696 190076 590620 190676 6 vdda1
+port 840 nsew power bidirectional
+rlabel metal5 s -6696 154076 590620 154676 6 vdda1
+port 841 nsew power bidirectional
+rlabel metal5 s -6696 118076 590620 118676 6 vdda1
+port 842 nsew power bidirectional
+rlabel metal5 s -6696 82076 590620 82676 6 vdda1
+port 843 nsew power bidirectional
+rlabel metal5 s -6696 46076 590620 46676 6 vdda1
+port 844 nsew power bidirectional
+rlabel metal5 s -6696 10076 590620 10676 6 vdda1
+port 845 nsew power bidirectional
+rlabel metal5 s -5756 -4684 589680 -4084 8 vdda1
+port 846 nsew power bidirectional
+rlabel metal4 s 590020 -5624 590620 709560 6 vssa1
+port 847 nsew ground bidirectional
+rlabel metal4 s 567004 -5624 567604 709560 6 vssa1
+port 848 nsew ground bidirectional
+rlabel metal4 s 531004 -5624 531604 709560 6 vssa1
+port 849 nsew ground bidirectional
+rlabel metal4 s 495004 -5624 495604 709560 6 vssa1
+port 850 nsew ground bidirectional
+rlabel metal4 s 459004 -5624 459604 709560 6 vssa1
+port 851 nsew ground bidirectional
+rlabel metal4 s 423004 -5624 423604 709560 6 vssa1
+port 852 nsew ground bidirectional
+rlabel metal4 s 387004 -5624 387604 709560 6 vssa1
+port 853 nsew ground bidirectional
+rlabel metal4 s 351004 -5624 351604 709560 6 vssa1
+port 854 nsew ground bidirectional
+rlabel metal4 s 315004 -5624 315604 709560 6 vssa1
+port 855 nsew ground bidirectional
+rlabel metal4 s 279004 400000 279604 709560 6 vssa1
+port 856 nsew ground bidirectional
+rlabel metal4 s 243004 400000 243604 709560 6 vssa1
+port 857 nsew ground bidirectional
+rlabel metal4 s 207004 -5624 207604 709560 6 vssa1
+port 858 nsew ground bidirectional
+rlabel metal4 s 171004 -5624 171604 709560 6 vssa1
+port 859 nsew ground bidirectional
+rlabel metal4 s 135004 -5624 135604 709560 6 vssa1
+port 860 nsew ground bidirectional
+rlabel metal4 s 99004 -5624 99604 709560 6 vssa1
+port 861 nsew ground bidirectional
+rlabel metal4 s 63004 -5624 63604 709560 6 vssa1
+port 862 nsew ground bidirectional
+rlabel metal4 s 27004 -5624 27604 709560 6 vssa1
+port 863 nsew ground bidirectional
+rlabel metal4 s -6696 -5624 -6096 709560 4 vssa1
+port 864 nsew ground bidirectional
+rlabel metal4 s 279004 -5624 279604 336000 6 vssa1
+port 865 nsew ground bidirectional
+rlabel metal4 s 243004 -5624 243604 336000 6 vssa1
+port 866 nsew ground bidirectional
+rlabel metal5 s -6696 708960 590620 709560 6 vssa1
+port 867 nsew ground bidirectional
+rlabel metal5 s -6696 676076 590620 676676 6 vssa1
+port 868 nsew ground bidirectional
+rlabel metal5 s -6696 640076 590620 640676 6 vssa1
+port 869 nsew ground bidirectional
+rlabel metal5 s -6696 604076 590620 604676 6 vssa1
+port 870 nsew ground bidirectional
+rlabel metal5 s -6696 568076 590620 568676 6 vssa1
+port 871 nsew ground bidirectional
+rlabel metal5 s -6696 532076 590620 532676 6 vssa1
+port 872 nsew ground bidirectional
+rlabel metal5 s -6696 496076 590620 496676 6 vssa1
+port 873 nsew ground bidirectional
+rlabel metal5 s -6696 460076 590620 460676 6 vssa1
+port 874 nsew ground bidirectional
+rlabel metal5 s -6696 424076 590620 424676 6 vssa1
+port 875 nsew ground bidirectional
+rlabel metal5 s -6696 388076 590620 388676 6 vssa1
+port 876 nsew ground bidirectional
+rlabel metal5 s -6696 352076 590620 352676 6 vssa1
+port 877 nsew ground bidirectional
+rlabel metal5 s -6696 316076 590620 316676 6 vssa1
+port 878 nsew ground bidirectional
+rlabel metal5 s -6696 280076 590620 280676 6 vssa1
+port 879 nsew ground bidirectional
+rlabel metal5 s -6696 244076 590620 244676 6 vssa1
+port 880 nsew ground bidirectional
+rlabel metal5 s -6696 208076 590620 208676 6 vssa1
+port 881 nsew ground bidirectional
+rlabel metal5 s -6696 172076 590620 172676 6 vssa1
+port 882 nsew ground bidirectional
+rlabel metal5 s -6696 136076 590620 136676 6 vssa1
+port 883 nsew ground bidirectional
+rlabel metal5 s -6696 100076 590620 100676 6 vssa1
+port 884 nsew ground bidirectional
+rlabel metal5 s -6696 64076 590620 64676 6 vssa1
+port 885 nsew ground bidirectional
+rlabel metal5 s -6696 28076 590620 28676 6 vssa1
+port 886 nsew ground bidirectional
+rlabel metal5 s -6696 -5624 590620 -5024 8 vssa1
+port 887 nsew ground bidirectional
+rlabel metal4 s 552604 -7504 553204 711440 6 vdda2
+port 888 nsew power bidirectional
+rlabel metal4 s 516604 -7504 517204 711440 6 vdda2
+port 889 nsew power bidirectional
+rlabel metal4 s 480604 -7504 481204 711440 6 vdda2
+port 890 nsew power bidirectional
+rlabel metal4 s 444604 -7504 445204 711440 6 vdda2
+port 891 nsew power bidirectional
+rlabel metal4 s 408604 -7504 409204 711440 6 vdda2
+port 892 nsew power bidirectional
+rlabel metal4 s 372604 -7504 373204 711440 6 vdda2
+port 893 nsew power bidirectional
+rlabel metal4 s 336604 -7504 337204 711440 6 vdda2
+port 894 nsew power bidirectional
+rlabel metal4 s 300604 -7504 301204 711440 6 vdda2
+port 895 nsew power bidirectional
+rlabel metal4 s 264604 400000 265204 711440 6 vdda2
+port 896 nsew power bidirectional
+rlabel metal4 s 228604 -7504 229204 711440 6 vdda2
+port 897 nsew power bidirectional
+rlabel metal4 s 192604 -7504 193204 711440 6 vdda2
+port 898 nsew power bidirectional
+rlabel metal4 s 156604 -7504 157204 711440 6 vdda2
+port 899 nsew power bidirectional
+rlabel metal4 s 120604 -7504 121204 711440 6 vdda2
+port 900 nsew power bidirectional
+rlabel metal4 s 84604 -7504 85204 711440 6 vdda2
+port 901 nsew power bidirectional
+rlabel metal4 s 48604 -7504 49204 711440 6 vdda2
+port 902 nsew power bidirectional
+rlabel metal4 s 12604 -7504 13204 711440 6 vdda2
+port 903 nsew power bidirectional
+rlabel metal4 s 590960 -6564 591560 710500 6 vdda2
+port 904 nsew power bidirectional
+rlabel metal4 s -7636 -6564 -7036 710500 4 vdda2
+port 905 nsew power bidirectional
+rlabel metal4 s 264604 -7504 265204 336000 6 vdda2
+port 906 nsew power bidirectional
+rlabel metal5 s -7636 709900 591560 710500 6 vdda2
+port 907 nsew power bidirectional
+rlabel metal5 s -8576 697676 592500 698276 6 vdda2
+port 908 nsew power bidirectional
+rlabel metal5 s -8576 661676 592500 662276 6 vdda2
+port 909 nsew power bidirectional
+rlabel metal5 s -8576 625676 592500 626276 6 vdda2
+port 910 nsew power bidirectional
+rlabel metal5 s -8576 589676 592500 590276 6 vdda2
+port 911 nsew power bidirectional
+rlabel metal5 s -8576 553676 592500 554276 6 vdda2
+port 912 nsew power bidirectional
+rlabel metal5 s -8576 517676 592500 518276 6 vdda2
+port 913 nsew power bidirectional
+rlabel metal5 s -8576 481676 592500 482276 6 vdda2
+port 914 nsew power bidirectional
+rlabel metal5 s -8576 445676 592500 446276 6 vdda2
+port 915 nsew power bidirectional
+rlabel metal5 s -8576 409676 592500 410276 6 vdda2
+port 916 nsew power bidirectional
+rlabel metal5 s -8576 373676 592500 374276 6 vdda2
+port 917 nsew power bidirectional
+rlabel metal5 s -8576 337676 592500 338276 6 vdda2
+port 918 nsew power bidirectional
+rlabel metal5 s -8576 301676 592500 302276 6 vdda2
+port 919 nsew power bidirectional
+rlabel metal5 s -8576 265676 592500 266276 6 vdda2
+port 920 nsew power bidirectional
+rlabel metal5 s -8576 229676 592500 230276 6 vdda2
+port 921 nsew power bidirectional
+rlabel metal5 s -8576 193676 592500 194276 6 vdda2
+port 922 nsew power bidirectional
+rlabel metal5 s -8576 157676 592500 158276 6 vdda2
+port 923 nsew power bidirectional
+rlabel metal5 s -8576 121676 592500 122276 6 vdda2
+port 924 nsew power bidirectional
+rlabel metal5 s -8576 85676 592500 86276 6 vdda2
+port 925 nsew power bidirectional
+rlabel metal5 s -8576 49676 592500 50276 6 vdda2
+port 926 nsew power bidirectional
+rlabel metal5 s -8576 13676 592500 14276 6 vdda2
+port 927 nsew power bidirectional
+rlabel metal5 s -7636 -6564 591560 -5964 8 vdda2
+port 928 nsew power bidirectional
+rlabel metal4 s 591900 -7504 592500 711440 6 vssa2
+port 929 nsew ground bidirectional
+rlabel metal4 s 570604 -7504 571204 711440 6 vssa2
+port 930 nsew ground bidirectional
+rlabel metal4 s 534604 -7504 535204 711440 6 vssa2
+port 931 nsew ground bidirectional
+rlabel metal4 s 498604 -7504 499204 711440 6 vssa2
+port 932 nsew ground bidirectional
+rlabel metal4 s 462604 -7504 463204 711440 6 vssa2
+port 933 nsew ground bidirectional
+rlabel metal4 s 426604 -7504 427204 711440 6 vssa2
+port 934 nsew ground bidirectional
+rlabel metal4 s 390604 -7504 391204 711440 6 vssa2
+port 935 nsew ground bidirectional
+rlabel metal4 s 354604 -7504 355204 711440 6 vssa2
+port 936 nsew ground bidirectional
+rlabel metal4 s 318604 -7504 319204 711440 6 vssa2
+port 937 nsew ground bidirectional
+rlabel metal4 s 282604 400000 283204 711440 6 vssa2
+port 938 nsew ground bidirectional
+rlabel metal4 s 246604 400000 247204 711440 6 vssa2
+port 939 nsew ground bidirectional
+rlabel metal4 s 210604 -7504 211204 711440 6 vssa2
+port 940 nsew ground bidirectional
+rlabel metal4 s 174604 -7504 175204 711440 6 vssa2
+port 941 nsew ground bidirectional
+rlabel metal4 s 138604 -7504 139204 711440 6 vssa2
+port 942 nsew ground bidirectional
+rlabel metal4 s 102604 -7504 103204 711440 6 vssa2
+port 943 nsew ground bidirectional
+rlabel metal4 s 66604 -7504 67204 711440 6 vssa2
+port 944 nsew ground bidirectional
+rlabel metal4 s 30604 -7504 31204 711440 6 vssa2
+port 945 nsew ground bidirectional
+rlabel metal4 s -8576 -7504 -7976 711440 4 vssa2
+port 946 nsew ground bidirectional
+rlabel metal4 s 282604 -7504 283204 336000 6 vssa2
+port 947 nsew ground bidirectional
+rlabel metal4 s 246604 -7504 247204 336000 6 vssa2
+port 948 nsew ground bidirectional
+rlabel metal5 s -8576 710840 592500 711440 6 vssa2
+port 949 nsew ground bidirectional
+rlabel metal5 s -8576 679676 592500 680276 6 vssa2
+port 950 nsew ground bidirectional
+rlabel metal5 s -8576 643676 592500 644276 6 vssa2
+port 951 nsew ground bidirectional
+rlabel metal5 s -8576 607676 592500 608276 6 vssa2
+port 952 nsew ground bidirectional
+rlabel metal5 s -8576 571676 592500 572276 6 vssa2
+port 953 nsew ground bidirectional
+rlabel metal5 s -8576 535676 592500 536276 6 vssa2
+port 954 nsew ground bidirectional
+rlabel metal5 s -8576 499676 592500 500276 6 vssa2
+port 955 nsew ground bidirectional
+rlabel metal5 s -8576 463676 592500 464276 6 vssa2
+port 956 nsew ground bidirectional
+rlabel metal5 s -8576 427676 592500 428276 6 vssa2
+port 957 nsew ground bidirectional
+rlabel metal5 s -8576 391676 592500 392276 6 vssa2
+port 958 nsew ground bidirectional
+rlabel metal5 s -8576 355676 592500 356276 6 vssa2
+port 959 nsew ground bidirectional
+rlabel metal5 s -8576 319676 592500 320276 6 vssa2
+port 960 nsew ground bidirectional
+rlabel metal5 s -8576 283676 592500 284276 6 vssa2
+port 961 nsew ground bidirectional
+rlabel metal5 s -8576 247676 592500 248276 6 vssa2
+port 962 nsew ground bidirectional
+rlabel metal5 s -8576 211676 592500 212276 6 vssa2
+port 963 nsew ground bidirectional
+rlabel metal5 s -8576 175676 592500 176276 6 vssa2
+port 964 nsew ground bidirectional
+rlabel metal5 s -8576 139676 592500 140276 6 vssa2
+port 965 nsew ground bidirectional
+rlabel metal5 s -8576 103676 592500 104276 6 vssa2
+port 966 nsew ground bidirectional
+rlabel metal5 s -8576 67676 592500 68276 6 vssa2
+port 967 nsew ground bidirectional
+rlabel metal5 s -8576 31676 592500 32276 6 vssa2
+port 968 nsew ground bidirectional
+rlabel metal5 s -8576 -7504 592500 -6904 8 vssa2
+port 969 nsew ground bidirectional
+=======
 port 645 nsew signal input
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 << properties >>
 string LEFclass BLOCK
 string FIXED_BBOX 0 0 584000 704000
 string LEFview TRUE
 string GDS_FILE /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/magic/user_project_wrapper.gds
+<<<<<<< HEAD
+string GDS_END 37861620
+string GDS_START 2182234
+=======
 string GDS_END 9776122
 string GDS_START 8096008
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 << end >>
 
diff --git a/openlane/user_proj_example/config.tcl b/openlane/user_proj_example/config.tcl
index 94af8ba..e3d2bd1 100755
--- a/openlane/user_proj_example/config.tcl
+++ b/openlane/user_proj_example/config.tcl
@@ -23,6 +23,22 @@
 set ::env(VERILOG_FILES) "\
 	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
 	$script_dir/../../verilog/rtl/user_proj_example.v"
+#       $script_dir/../../verilog/rtl/user_proj_cells.v"
+
+set verilog_root $script_dir/../../verilog/
+set lef_root $script_dir/../../cells/lef/
+set gds_root $script_dir/../../cells/gds/
+# Adding the standard cells into OpenLane:
+set ::env(EXTRA_LEFS) [glob $script_dir/../../cells/lef/*.lef]
+set ::env(EXTRA_LIBS) [glob $script_dir/../../cells/lib/libres*.lib]
+set ::env(EXTRA_GDS_FILES)  [glob $script_dir/../../cells/gds/*.gds]
+set ::env(VERILOG_FILES_BLACKBOX) "$verilog_root/rtl/user_proj_cells.v"
+set ::env(SYNTH_READ_BLACKBOX_LIB) 1
+set ::env(CLOCK_TREE_SYNTH) 0
+#set ::env(CLOCK_PERIOD) 0
+set ::env(RUN_SPEF_EXTRACTION) 0
+set ::env(MACRO_PLACEMENT_CFG) $script_dir/macro_placement.cfg
+
 
 set ::env(DESIGN_IS_CORE) 0
 
@@ -31,13 +47,43 @@
 set ::env(CLOCK_PERIOD) "10"
 
 set ::env(FP_SIZING) absolute
+<<<<<<< HEAD
+#set ::env(DIE_AREA) "0 0 900 600"
+set ::env(DIE_AREA) "0 0 300 300"
+
+set ::env(DESIGN_IS_CORE) 0
+
+set ::env(VDD_NETS) [list {vccd1} {vccd2} {vdda1} {vdda2}]
+set ::env(GND_NETS) [list {vssd1} {vssd2} {vssa1} {vssa2}]
+=======
 set ::env(DIE_AREA) "0 0 900 600"
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 
 set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
 
-set ::env(PL_BASIC_PLACEMENT) 1
-set ::env(PL_TARGET_DENSITY) 0.05
+set ::env(PL_BASIC_PLACEMENT) 0
+set ::env(PL_RANDOM_GLB_PLACEMENT) 1
+set ::env(PL_TARGET_DENSITY) 0.5
 
+<<<<<<< HEAD
+# If you're going to use multiple power domains, then keep this disabled.
+set ::env(RUN_CVC) 0
+
+set ::env(PLACE_SITE) "unit"
+set ::env(PLACE_SITE_HEIGHT) "3.330"
+set ::env(PLACE_SITE_WIDTH) "0.480"
+
+set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_ls__inv_8"
+set ::env(ROOT_CLK_BUFFER) "sky130_fd_sc_ls__clkbuf_16"
+set ::env(CLK_BUFFER) "sky130_fd_sc_ls__clkbuf_4"
+set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_ls"
+
+# set ::env(GLB_RT_OBS) "met2 0 3519 2920 3540" # Might be needed for precheck
+
+# The following is a workaround on the extraction issue with the power rails in the Libresilicon cells. This should be removed when the reason has been identified and solved:
+set ::env(QUIT_ON_ILLEGAL_OVERLAPS) 0
+
+=======
 # Maximum layer used for routing is metal 4.
 # This is because this macro will be inserted in a top level (user_project_wrapper) 
 # where the PDN is planned on metal 5. So, to avoid having shorts between routes
@@ -51,3 +97,4 @@
 set ::env(DIODE_INSERTION_STRATEGY) 4 
 # If you're going to use multiple power domains, then disable cvc run.
 set ::env(RUN_CVC) 1
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
diff --git a/openlane/user_proj_example/macro_placement.cfg b/openlane/user_proj_example/macro_placement.cfg
new file mode 100644
index 0000000..03835ba
--- /dev/null
+++ b/openlane/user_proj_example/macro_placement.cfg
@@ -0,0 +1,19 @@
+AND2X1 38.4 23.31 N
+AND2X2 38.4 29.97 N
+AOI21X1 38.4 36.63 N
+AOI22X1 38.4 43.29 N
+BUFX2 38.4 49.95 N
+BUFX4 38.4 56.61 N
+CLKBUF1 38.4 63.27 N
+INV 38.4 69.93 N
+INVX1 38.4 76.59 N
+INVX2 38.4 83.25 N
+INVX4 38.4 89.91 N
+INVX8 38.4 96.57 N
+MUX2X1 38.4 103.23 N
+NAND2X1 38.4 109.89 N
+NAND3X1 38.4 116.55 N
+OR2X1 38.4 123.21 N
+OR2X2 38.4 129.87 N
+XNOR2X1 38.4 136.53 N
+XOR2X1 38.4 143.19 N
diff --git a/scripts/cells.pl b/scripts/cells.pl
new file mode 100755
index 0000000..70b8fa9
--- /dev/null
+++ b/scripts/cells.pl
@@ -0,0 +1,65 @@
+#!/usr/bin/perl -w
+
+my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib";
+
+
+
+print <<EOF
+`default_nettype none
+
+/*
+ *-------------------------------------------------------------
+ *
+ * user_proj_cells  (LibreSilicon Testwafer #1)
+ *
+ */
+
+EOF
+;
+
+our $nextla=0;
+our $nextio=0;
+
+foreach my $mag(sort <$STDCELLLIB/Catalog/*.mag>)
+{
+  next if((-s $mag)<=50);
+  #print `ls -la $mag`;
+  my $cell=$mag; $cell=~s/\.mag$/.cell/;
+  my $lib=$mag; $lib=~s/\.mag$/.lib/;
+  my $name=""; $name=$1 if($mag=~m/([\w\-\.]+)\.mag$/);
+  next unless(-f $cell);
+  next unless(-f $lib);
+  next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag");
+
+  open CELL,"<$cell";
+  print "module $name(\n";
+
+  while(<CELL>)
+  {
+    if(m/^\.inputs (.*)/)
+    {
+      foreach my $inp(sort split " ",$1)
+      {
+        my $io=$nextio++;
+	print "  inout $inp, // input\n";
+      }
+    }
+    if(m/^\.outputs (.*)/)
+    {
+      foreach my $outp(sort split " ",$1)
+      {
+        my $io=$nextio++;
+	print "  inout $outp, // output\n";
+      }
+    }
+
+  }
+  close CELL;
+  print "     \`ifdef USE_POWER_PINS\n";
+  print "	inout VPWR, // cell power supply\n";
+  print "	inout VGND  // cell ground supply\n";
+  print "     \`endif\n";
+  print ");\n";
+  print "endmodule\n\n";
+}
+
diff --git a/scripts/deploy2caravel.sh b/scripts/deploy2caravel.sh
new file mode 100755
index 0000000..7fac3d2
--- /dev/null
+++ b/scripts/deploy2caravel.sh
@@ -0,0 +1,69 @@
+#!/bin/bash
+#CARAVEL=/media/philipp/Daten/skywater/caravel-stdcelllib-stdcells
+
+if [ -z "$CARAVEL" ]
+then
+	echo "Environment variables not found, please run '. env.sh' to define them."
+	source env.sh || source ../env.sh
+fi
+
+if [ -z "$CARAVEL" ]
+then
+	echo "Environment variables still not found, please run '. env.sh' to define them."
+	exit
+fi
+
+
+mkdir $CARAVEL/cells{,/lib,/lef,/lef/orig,/gds,/mag} 2>/dev/null
+
+echo "Cleaning up old files"
+rm -f $CARAVEL/cells/lib/*.lib $CARAVEL/cells/lef/orig/*.lef $CARAVEL/cells/lef/*.lef $CARAVEL/cells/gds/*.gds $CARAVEL/cells/mag/*.mag
+
+cd $STDCELLLIB/Catalog
+
+# The DRC Expander and the DRC Fixer need to run earlier in the StdCellLib instead, this would be too late:
+#perl $CARAVEL/scripts/drcexpander.pl $PDK_ROOT/sky130A/libs.tech/magic/sky130A.tech >$CARAVEL/sky130Aexpanded.tech
+#perl ../Tools/perl/drcfixall.pl $CARAVEL/sky130Aexpanded.tech
+
+echo "Copying files that were created by StdCellLib"
+cp *.mag $CARAVEL/cells/mag/
+cp *.lib $CARAVEL/cells/lib/
+cp *.cell $CARAVEL/cells/cell/
+cp *.sp $CARAVEL/cells/sp/
+cp outputlib/*.lef $CARAVEL/cells/lef/orig/
+cp outputlib/*.gds $CARAVEL/cells/gds/
+rm $CARAVEL/cells/mag/demoboard.mag
+
+echo "Removing cells with DRC issues left"
+cd $CARAVEL/cells/mag
+rm -f corr.*.mag
+perl $CARAVEL/scripts/removeDRCcells.pl
+
+echo "Now cleaning up the files for Sky130"
+cd $CARAVEL/cells/lef
+perl fixup.pl
+
+cd $CARAVEL/cells/mag
+perl fixup.pl
+
+cd $CARAVEL/cells/sp
+perl fixup.pl
+
+cd $CARAVEL/cells/lib
+rm -f libresilicon.lib new.lib
+libertymerge -b $STDCELLLIB/Catalog/libresilicon.libtemplate -o libresilicon.lib -u *.lib
+perl removenl.pl >new.lib
+mv new.lib libresilicon.lib
+
+echo "Now generating the demo wafer, the macro placement and the test-bench"
+
+perl $CARAVEL/scripts/generator.pl >$CARAVEL/verilog/rtl/user_proj_example.v
+perl $CARAVEL/scripts/cells.pl >$CARAVEL/verilog/rtl/user_proj_cells.v
+perl $CARAVEL/scripts/placement.pl >$CARAVEL/openlane/user_proj_example/macro_placement.cfg
+
+cd $CARAVEL
+#bash my.sh
+echo "Now building the Caravel user-project"
+make user_proj_example && make user_project_wrapper
+
+echo "Deployment done.";
diff --git a/scripts/drcexpander.pl b/scripts/drcexpander.pl
new file mode 100755
index 0000000..060f38f
--- /dev/null
+++ b/scripts/drcexpander.pl
@@ -0,0 +1,364 @@
+print STDERR "DRC Expander - expands the DRC rules in MAGIC tech files and annotates the layers that might cause the DRC issues\n";
+
+# Original tech file:
+my $tech=$ARGV[0] || "/usr/local/lib/magic/sys/sky130A.tech";
+my $expand=0;
+my $debug=0;
+my $createrules=0; # Create code for rules instead of expanding the tech file
+
+print STDERR "Processing the original tech file: $tech\n";
+
+#our %contacts=();
+our %alias=();
+
+# Required DRC rules for SKY130: area,cifmaxwidth,edge4way,exact_overlap,extend,overhang,rect_only,spacing,surround,width
+my $rules=<<EOF
+surround types1 types2 distance presence error 
+widespacing types1 wwidth types2 distance flavor error 
+spacing types1 types2 distance adjacency error 
+width type-list width error 
+overhang types1 types2 distance error
+extend types1 types2 distance error  
+rect_only types error
+angles types allowed why 
+edge types1 types2 d OKTypes cornerTypes cornerDist error [plane] 
+edge4way types1 types2 d OKTypes cornerTypes cornerDist error [plane] 
+exact_overlap type-list
+no_overlap type-list1 type-list2
+off_grid types pitch why 
+area types minarea minedge why
+maxwidth layers mwidth [bends] why 
+cifwidth layer width why
+cifspacing layer1 layer2 separation adjacency why 
+cifarea layer minarea minedge why 
+cifmaxwidth layer mwidth [bends] why
+EOF
+;
+
+print STDERR "Extracting optional arguments:\n";
+my @erules=();
+foreach my $line(split "\n",$rules)
+{
+  if($line=~m/\[/)
+  {
+    my $a=$line; $a=~s/\[\w+\]//;
+    my $b=$line; $b=~s/\[//; $b=~s/\]//;
+    #print "A: $a\nB: $b\n";
+    push @erules,$a;
+    push @erules,$b;
+  }
+  else
+  {
+    #print "R: $line\n";	  
+    push @erules,$line;
+  }
+}
+
+print STDERR "Handling rules:\n";
+foreach my $rule (@erules)
+{
+  print STDERR "  # Rule: $rule\n";	
+  my @a=split " ",$rule;
+  my $kind=shift @a;
+  #print "Kind:$kind\n";
+  my $regexp="^\\s*($kind)";
+  my @types=("zero","other");
+  my @typelists=();
+  foreach(@a)
+  {
+    $regexp.="\\s+";
+    if($_=~m/^(types|type-list|layer|OKTypes)/)
+    {
+      $regexp.="(\\S+)";
+      push @types,"type-list";
+    }
+    elsif($_=~m/^(why|error)/)
+    {
+      $regexp.="\"([^\"]*)\"";
+      push @types,"why";
+    }
+    else
+    {
+      $regexp.="(\\S+)";
+      push @types,"other";
+    }
+  }
+
+  next unless($createrules);
+  
+  $regexp.="\\s*\$";
+  print "  if(m/$regexp/)\n  {\n";
+  print '    print " # ORIGINAL RULE:$oneline\n";'."\n";
+  foreach(2 .. scalar(@types)-1)
+  {
+    print "    my \$vl$_=\$$_;\n" if($types[$_] eq "type-list");
+    print "    my \$l$_=join \" \",allLayers(\$vl$_);\n" if($types[$_] eq "type-list");
+    #    print "    print STDERR \"L$_: \$vl$_ -> \$l$_\n\" if(\$debug);\n" if($types[$_] eq "type-list");
+    print "    my \$text=\$$_;\n" if($types[$_] eq "why"); 
+    push @typelists,$_ if($types[$_] eq "type-list");
+  }
+  print "    print \"  ";
+  foreach(1 .. scalar(@types)-1)
+  {
+    print "\$$_ " if($types[$_] eq "other");
+    print "\$vl$_ " if($types[$_] eq "type-list");
+    if($types[$_] eq "why")
+    {
+      print "\\\"\$$_ ";
+      #print "[erase ".join(",",@typelists)."]";
+      foreach my $tl (@typelists)
+      {
+        print "[erase \$l$tl]";
+      }
+      print "\\\"";
+    }
+  }
+  print "\\n\";\n";
+  print "  }\n";
+  print "\n"; 
+  # $1 $vl1 $vl2 $4 $5 \"$6 [erase $l1]".(($vl1 eq $vl2)?"":"[erase $l2]")."\"\n"; 
+
+
+}
+
+exit if($createrules);
+
+#magic:  tech layers allli
+# At first we are reading through the original tech file to search for all the virtual layers that need to be expanded
+open IN,"<$tech";
+while(<IN>)
+{
+  s/\\//g;
+  if(m/^\s*(spacing|surround)\s+(\S+)\s+(\S+)\s+/)
+  {
+    my $a2=$2;
+    my $a3=$3;
+    $alias{$a2}=1;
+    $alias{$a3}=1;
+    foreach(split(",",$a2))
+    {
+      $alias{$_}=1;
+      $alias{$1}=1 if(m/^([^\/]+)/);
+    }
+    foreach(split(",",$a3))
+    {
+      $alias{$_}=1;
+      $alias{$1}=1 if(m/^([^\/]+)/);
+    }
+  }
+  # Rule: width type-list width error
+  if(m/^\s*(width)\s+(\S+)\s+(\S+)\s+"([^"]*)"\s*$/)
+  {
+    $alias{$2}=1;
+    foreach(split(",",$2))
+    {
+      $alias{$_}=1;
+    }
+  }
+}
+close IN;
+
+
+if($debug)
+{
+  print STDERR "BEFORE:\n";
+  foreach(sort keys %alias)
+  {
+    print STDERR "$_ -> $alias{$_}\n";
+  }
+}
+
+# No we are asking magic what those virtual layers actually mean
+    if(open OUT,"|magic -dnull -noconsole -T $tech >magic.layers.out")
+    {
+      print OUT "puts \"CUT HERE -------- CUT HERE\"\n";
+      foreach(sort keys %alias)
+      {
+        print OUT "puts \"EXPANDING: $_\"\n";
+        print OUT "puts [tech layers $_]\n";
+      }
+      print OUT "puts \"CUT HERE -------- CUT HERE\"\n";
+      print OUT "quit -noprompt\n";
+      close OUT;
+
+      # Now we are retrieving the answer from Magic:
+      if(open IN,"<magic.layers.out")
+      {
+        while(<IN>)
+        {
+          if(m/^EXPANDING: (\S+)/)
+          {
+            my $next=<IN>;
+            chomp $next;
+            $alias{$1}=$next;
+           }
+         }
+         close IN;
+       }
+       else
+       {
+         die "Could not get the results from magic!\n";
+       }
+    }
+    else
+    {
+      die "Could not run magic!\n";
+    }
+
+
+if($debug)
+{
+  print STDERR "\n\nAFTER:\n";
+  foreach(sort keys %alias)
+  {
+    print STDERR "$_ -> $alias{$_}\n";
+  }
+  exit;
+}
+
+open IN,"<$tech";
+
+# This function expands a single layer-list into all the physical layers it means
+sub allLayers($)
+{
+	#if($_[0] !~ m/\//) # If we do not look for "images" (which are signalled by / in the typelist and are currently not supported by magic), then we can just use the whole typelist which is more efficient.
+	#{
+	#return split " ",$alias{$_[0]} ;
+        #}
+
+  my @a=split ",",$_[0];
+  my %b=();
+  foreach(@a)
+  {
+    print STDERR "piece: $_\n" if($debug);
+    if(m/(\/\S+)/ && defined($alias{$_}) && $alias{$_})
+    {    
+      print STDERR "WE FOUND A SLASH AND AN ALIAS\n" if($debug);
+      my $image=$1;
+      foreach my $part(split " ",$alias{$_})
+      {
+        print STDERR "PART: $part\n" if($debug);
+        $b{$part.(($part =~ m/\//)?"":$image)}=1;
+      }
+    }
+    elsif(m/^([^\/]+)(\/\S+)/) # This is a workaround for bugs in magic that hopefully get fixed
+    {
+      print STDERR "WE FOUND A SLASH BUT NO ALIAS\n" if($debug);
+      my $image=$2;
+      my $short=$1;
+      foreach my $part(split " ",$alias{$short})
+      {
+        print STDERR "PART: $part\n" if($debug);
+        $b{$part.$image}=1;
+      }
+    }
+    else
+    {
+      print STDERR "NO SLASH OR ALIAS\n" if($debug);
+      foreach my $part(split " ",$alias{$_})
+      {
+        $b{$part}=1;
+      }
+    }
+  }
+  return sort keys %b;
+}
+
+my $section="";
+our %drckind=();
+# Finally we are processing the whole tech file and annotating the rules:
+while(<IN>)
+{
+  while($_=~m/\\$/)
+  {
+    $_.=<IN>;
+  }
+  if(m/^(\w+)/)
+  {
+    $section=$1;
+  }
+  #  if($section eq "contact" && m/^\s+(\w+)/)
+  #{
+  #  my $a=$_;
+  #  $a=~s/^\s+//; $a=~s/\s+$//;
+  #  my @a=split(/\s+/,$a);
+  #  my $cont=shift(@a);
+  #  foreach my $cnt(@a)
+  #  {
+  #    print STDERR "CONTACT: $cont -> $cnt\n";
+  #    $contacts{$cont}{$cnt}=1;
+  #    $contacts{$cnt}{$cont}=1;
+  #  }
+  #}
+  #if($section eq "aliases" && m/^\s+(\w+)/)
+  #{
+  #  my $a=$_;
+  #  $a=~s/^\s+//; $a=~s/\s+$//;
+  #  my @a=split(/\s+/,$a);
+  #  my $cont=shift(@a);
+  #  foreach my $cnt(@a)
+  #  {
+  #    print STDERR "ALIAS: $cont -> $cnt\n";
+  #    $alias{$cont}=$cnt;
+  #  }
+  #}
+  my $oneline=$_;	  
+  $oneline=~s/\n//sg;
+  tr/\\//;
+  if($section eq "drc")
+  {
+    if(m/^\s*(\w+)/)
+    {
+      $drckind{$1}=1;
+    }
+  }
+ 
+
+  if(m/^\s*(spacing|surround)\s+(\S+)\s+(\S+)\s+(\d+)\s+\\?\s*(\w+)\s+\\?\s*"([^"]+)"/)
+  {
+    #print " # ORIGINAL RULE:$oneline\n";
+    my $vl1=$2;
+    my $vl2=$3;
+    my $text=$6;
+    my $l1=join " ",allLayers($vl1);
+    print STDERR "L1: $vl1 -> $l1\n" if($debug);
+    my $l2=join " ",allLayers($vl2);
+    print STDERR "L2: $vl2 -> $l2\n" if($debug);
+
+    if($expand)
+    {
+        foreach my $layer1(allLayers($vl1))
+        {
+          foreach my $layer2(allLayers($vl2))
+          {
+	    #print "  $1 $layer1 $layer2 $4 $5 \"$6 [erase $layer1]".(($layer1 eq $layer2)?"":"[erase $layer2]")."\"\n"; 
+          }
+        }
+    }
+    else
+    {
+      print "  $1 $vl1 $vl2 $4 $5 \"$6 [erase $l1".(($vl1 eq $vl2)?"":",$l2")."]\"\n"; 
+    }
+    #print "\n";
+  }
+  # Rule: width type-list width error
+  elsif(m/^\s*(width)\s+(\S+)\s+(\S+)\s+"([^"]*)"\s*$/)
+  {
+    print " # ORIGINAL RULE:$oneline\n";
+    my $vl2=$2;
+    print STDERR "ALLLAYERS $vl2\n" if($debug);
+    my $l2=join ",",allLayers($vl2); # For painting we need a different strategy to try each layer individually
+    print STDERR "INFO: vl2=$vl2 l2=$l2\n" if($debug);
+    my $text=$4;
+    print "  $1 $vl2 $3 \"$4 [paint $l2]\"\n";
+  }
+
+
+  else
+  {
+    print $_;
+  }
+}
+close IN;
+
+print STDERR "".join(",", sort keys %drckind)."\n";
diff --git a/scripts/feedback2mag.pl b/scripts/feedback2mag.pl
new file mode 100755
index 0000000..e351cf9
--- /dev/null
+++ b/scripts/feedback2mag.pl
@@ -0,0 +1,27 @@
+my $example=<<EOF
+box 55873 307343 55907 307362
+feedback add "Illegal overlap (types do not connect)" medium
+box 56977 307343 57011 307362
+feedback add "Illegal overlap (types do not connect)" medium
+box 58081 307343 58115 307362
+feedback add "Illegal overlap (types do not connect)" medium
+box 60013 307343 60047 307362
+feedback add "Illegal overlap (types do not connect)" medium
+box 61117 307343 61151 307362
+feedback add "Illegal overlap (types do not connect)" medium
+box 62221 307343 62255 307362
+feedback add "Illegal overlap (types do not connect)" medium
+box 62588 307349 62612 307362
+feedback add "Illegal overlap (types do not connect)" medium
+box 211358 306763 211362 306797 
+EOF
+;
+print "<< metal5 >>\n";
+while(<STDIN>)
+{
+  if(m/box (-?\d+) (-?\d+) (-?\d+) (-?\d+)/)
+  {
+    print "rect ".int($1/1)." ".int($2/1)." ".int($3/1)." ".int($4/1)."\n";
+  }
+}
+print "<< end >>\n";
diff --git a/scripts/generator.pl b/scripts/generator.pl
new file mode 100755
index 0000000..47885d0
--- /dev/null
+++ b/scripts/generator.pl
@@ -0,0 +1,135 @@
+#!/usr/bin/perl -w
+
+my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib";
+
+print <<EOF
+`default_nettype none
+
+/*
+ *-------------------------------------------------------------
+ *
+ * user_proj_ls130tw1  (LibreSilicon Testwafer #1)
+ *
+ */
+
+module user_proj_example #(
+    parameter BITS = 32
+)(
+`ifdef USE_POWER_PINS
+    inout vdda1,        // User area 1 3.3V supply
+    inout vdda2,        // User area 2 3.3V supply
+    inout vssa1,        // User area 1 analog ground
+    inout vssa2,        // User area 2 analog ground
+    inout vccd1,        // User area 1 1.8V supply
+    inout vccd2,        // User area 2 1.8v supply
+    inout vssd1,        // User area 1 digital ground
+    inout vssd2,        // User area 2 digital ground
+`endif
+
+    // Wishbone Slave ports (WB MI A)
+    input wb_clk_i,
+    input wb_rst_i,
+    input wbs_stb_i,
+    input wbs_cyc_i,
+    input wbs_we_i,
+    input [3:0] wbs_sel_i,
+    input [31:0] wbs_dat_i,
+    input [31:0] wbs_adr_i,
+    output wbs_ack_o,
+    output [31:0] wbs_dat_o,
+
+    // Logic Analyzer Signals
+    input  [127:0] la_data_in,
+    output [127:0] la_data_out,
+    input  [127:0] la_oenb,
+
+    // IOs
+    input  [`MPRJ_IO_PADS-1:0] io_in,
+    output [`MPRJ_IO_PADS-1:0] io_out,
+    output [`MPRJ_IO_PADS-1:0] io_oeb,
+
+    // IRQ
+    output [2:0] irq,
+
+);
+
+    // IRQ
+    assign irq = 3'b000;	// Unused
+
+EOF
+;
+
+
+our $nextla=0;
+our $nextio=0;
+our $conf="";
+my $MPRJ_IO_PADS=38;
+
+foreach my $mag(<$STDCELLLIB/Catalog/*.mag>)
+{
+  next if((-s $mag)<=50);
+  #print `ls -la $mag`;
+  my $cell=$mag; $cell=~s/\.mag$/.cell/;
+  my $lib=$mag; $lib=~s/\.mag$/.lib/;
+
+  my $name=""; $name=$1 if($mag=~m/([\w\-\.]+)\.mag$/);
+  next unless(-f $cell);
+  next unless(-f $lib);
+  next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag");
+
+  open CELL,"<$cell";
+  print "$name $name(\n";
+  print " `ifdef USE_POWER_PINS\n";
+  print "  \.VPWR(vccd1),\n"; # ??? Should we do 3.3V or 1.8V ?
+  print "  \.VGND(vssd1),\n";
+  print " `endif\n";
+
+
+  while(<CELL>)
+  {
+    if(m/^\.inputs (.*)/)
+    {
+      foreach my $inp(sort split " ",$1)
+      {
+        my $io=$nextio++;
+	if($io<$MPRJ_IO_PADS)
+	{
+          print "  \.$inp(io_in[$io]),\n";
+	  $conf.="assign io_oeb[$io] = 1'b1;\n";
+          $inout{"io$io"}="ioin";
+	}
+	else
+	{
+	  my $la=$io-$MPRJ_IO_PADS;
+          print "  \.$inp(la_data_in[$la]),\n";
+          $inout{"io$io"}="lain";
+	}
+      }
+    }
+    if(m/^\.outputs (.*)/)
+    {
+      foreach my $outp(sort split " ",$1)
+      {
+        my $io=$nextio++;
+	if($io<$MPRJ_IO_PADS)
+	{
+          print "  \.$outp(io_out[$io]),\n";
+	  $conf.="assign io_oeb[$io] = 1'b0;\n";
+          $inout{"io$io"}="ioout";
+	}
+	else
+	{
+	  my $la=$io-$MPRJ_IO_PADS;
+          print "  \.$outp(la_data_out[$la]),\n";
+          $inout{"io$io"}="laout";
+	}
+      }
+    }
+
+  }
+  close CELL;
+  print ");\n";
+}
+print $conf;
+print "endmodule\n";
+print "`default_nettype wire\n";
diff --git a/scripts/gitpush.sh b/scripts/gitpush.sh
new file mode 100755
index 0000000..4a27623
--- /dev/null
+++ b/scripts/gitpush.sh
@@ -0,0 +1,5 @@
+git add cells/ def/user_proj_example.def lef/user_proj_example.lef openlane/user_proj_example/config.tcl openlane/user_proj_example/macro_placement.cfg signoff/user_proj_example/final_summary_report.csv verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v
+git add gds/user_proj_example.gds.gz 
+git add gds/user_project_wrapper.gds.gz 
+git add mag/user_project_wrapper.mag 
+git add maglef/user_proj_example.mag spi/lvs/user_proj_example.spice verilog/gl/user_proj_example.v verilog/dv
diff --git a/scripts/placement.pl b/scripts/placement.pl
new file mode 100755
index 0000000..1e1bed0
--- /dev/null
+++ b/scripts/placement.pl
@@ -0,0 +1,23 @@
+#!/usr/bin/perl -w
+
+my $width=0.48;
+my $height=3.33;
+
+our $nextla=$height*7;
+
+my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib";
+
+foreach my $mag(sort <$STDCELLLIB/Catalog/*.mag>)
+{
+  next if((-s $mag)<=50);
+  #print `ls -la $mag`;
+  my $cell=$mag; $cell=~s/\.mag$/.cell/;
+  next unless(-f $cell);
+  my $lib=$mag; $lib=~s/\.mag$/.lib/;
+  next unless(-f $lib);
+  my $name=""; $name=$1 if($mag=~m/([\w\-\.]+)\.mag$/);
+  next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag");
+
+  print "$name ".($width*80)." $nextla N\n";
+  $nextla+=$height*2;
+}
diff --git a/scripts/removeDRCcells.pl b/scripts/removeDRCcells.pl
new file mode 100755
index 0000000..53599cf
--- /dev/null
+++ b/scripts/removeDRCcells.pl
@@ -0,0 +1,36 @@
+#!/usr/bin/perl -w
+
+foreach my $mag (<*.mag>)
+{
+  my $cell=$mag; $cell=~s/\.mag$//;	
+  #print "Checking $cell\n";
+  my $STDCELLLIB=$ENV{'STDCELLLIB'};
+  my $drc=0;
+  if(open(IN,"<$STDCELLLIB/Catalog/$cell.drc"))
+  {
+    while(<IN>)
+    {
+      $drc=$1 if(m/Number of DRC errors: (\d+)/);
+    }
+    close IN;
+  }
+  else
+  {
+    print "Warning: Could not find DRC: $STDCELLLIB/$cell.drc $!\n";
+    $drc=1;
+  }
+  $drc=1 if(!-f "$STDCELLLIB/Catalog/$cell.mag");
+  if($drc)
+  {
+    print "Removing cell with $drc DRC issues: $cell\n";
+    unlink $mag;
+    unlink "../lef/orig/$cell.lef";
+    unlink "../lef/$cell.lef";
+    unlink "../gds/$cell.gds";
+    unlink "../lib/$cell.lib";
+    unlink "../cell/$cell.cell";
+    unlink "../sp/$cell.sp";
+  }
+
+}
+
diff --git a/scripts/testgen.pl b/scripts/testgen.pl
new file mode 100755
index 0000000..2af77d5
--- /dev/null
+++ b/scripts/testgen.pl
@@ -0,0 +1,64 @@
+#!/usr/bin/perl -w
+open IN,"<$ARGV[0]";
+
+print <<EOF
+#include "../../defs.h"
+#include "../../stub.c"
+EOF
+;
+
+my $header=<IN>;
+my @l=split "->",$header;
+my @ins=sort split " ",$l[0];
+my @outs=sort split " ",$l[1];
+my %map=();
+
+my $reg=0;
+my @io=();
+print " printf(\"Initializing the Inputs of the cell:\\n\");\n";
+foreach(@ins)
+{
+  print "  reg_mprj_io_$reg = GPIO_MODE_MGMT_STD_OUTPUT; // $_\n";
+  $io[$reg>>5]|=1<<($reg&31);
+  $reg++;
+}
+print " printf(\"Initializing the Outputs of the cell:\\n\");\n";
+foreach(@outs)
+{
+  $map{$_}=$reg++;
+
+  print "  reg_mprj_io_$reg = GPIO_MODE_USER_STD_OUTPUT; // $_\n";
+}
+
+print "  reg_mprj_xfer=1;\n";
+print "  while (reg_mprj_xfer == 1);\n";
+
+foreach(0 .. 3)
+{
+  print "reg_la".$_."_ena=".sprintf("0x%08X",$io[$_]).";\n" if(defined($io[$_]));
+}
+
+my $counter=0;
+print " printf(\"Starting the tests:\\n\");\n";
+
+while(<IN>)
+{
+  last if(m/^function:/);
+  @l=split " ",$_;
+  my $if=0;
+  foreach(@l)
+  {
+    if(m/(\w+)=(\d)/)
+    {
+      print "  assert(reg_la".$map{$1}."_data==$2); //$1\n";
+    }
+    else
+    {
+      print "  reg_la".$if."_data=$_; //$ins[$if]\n";
+    }
+    $if++;
+  }
+  print "  printf(\"Test $counter successful\\n\");\n\n";
+
+  $counter++;
+}
diff --git a/scripts/viewer.pl b/scripts/viewer.pl
new file mode 100755
index 0000000..ee60497
--- /dev/null
+++ b/scripts/viewer.pl
@@ -0,0 +1,13 @@
+#!/usr/bin/perl -w
+
+my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib";
+my $CARAVEL=$ENV{'CARAVEL'} || "/media/philipp/Daten/skywater/caravel-stdcelllib-stdcells";
+
+open OUT,"|magic -noconsole -T sky130A";
+foreach(<$CARAVEL/cells/lef/*.lef>)
+{
+  print OUT "lef read $_\n";
+} 
+print OUT "def read ".$ARGV[0]."\n";
+#print OUT "select top\n";
+close OUT;
diff --git a/signoff/user_proj_example/OPENLANE_VERSION b/signoff/user_proj_example/OPENLANE_VERSION
index a978c5e..689f21a 100644
--- a/signoff/user_proj_example/OPENLANE_VERSION
+++ b/signoff/user_proj_example/OPENLANE_VERSION
@@ -1 +1,5 @@
+<<<<<<< HEAD
+openlane v0.15
+=======
 openlane 2021.09.09_03.00.48-8-gebd50be
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
diff --git a/signoff/user_proj_example/PDK_SOURCES b/signoff/user_proj_example/PDK_SOURCES
index 22b75cd..5adcc6d 100644
--- a/signoff/user_proj_example/PDK_SOURCES
+++ b/signoff/user_proj_example/PDK_SOURCES
@@ -1,4 +1,8 @@
 -ne skywater-pdk 
 c094b6e83a4f9298e47f696ec5a7fd53535ec5eb
 -ne open_pdks 
+<<<<<<< HEAD
+7e29496eecf3ee8e1766f1b7f9441f97204d4735
+=======
 6c05bc48dc88784f9d98b89d6791cdfd91526676
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
diff --git a/signoff/user_proj_example/final_summary_report.csv b/signoff/user_proj_example/final_summary_report.csv
index ccefd43..c224bab 100644
--- a/signoff/user_proj_example/final_summary_report.csv
+++ b/signoff/user_proj_example/final_summary_report.csv
@@ -1,2 +1,6 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
+<<<<<<< HEAD
+0,/project/openlane/user_proj_example,user_proj_example,user_proj_example,Flow_completed,0h9m36s,0h5m56s,5333.333333333334,0.09,2666.666666666667,7,435.02,240,0,0,0,0,0,0,0,8,0,-1,-1,79199,3073,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,13.89,22.99,1.82,0.0,0.0,17,607,17,607,0,0,0,240,0,0,0,0,0,0,0,0,-1,-1,-1,164,882,236,1282,100.0,10.0,10,AREA 0,5,50,1,153.6,153.18,0.5,0,sky130_fd_sc_ls,4,3
+=======
 0,/project/openlane/user_proj_example,user_proj_example,user_proj_example,flow_completed,0h6m55s,0h3m29s,2077.777777777778,0.54,1038.888888888889,1.06,673.95,561,0,0,0,0,0,0,0,4,0,0,-1,70414,7173,-2.56,-4.44,0.0,-0.49,-0.66,-14.55,-34.44,0.0,-5.47,-5.31,62840367.0,0.08,3.22,2.62,0.6,0.0,-1,342,1149,29,836,0,0,0,380,0,0,0,0,0,0,0,4,169,135,20,424,7276,0,7700,93.80863039399625,10.66,10,AREA 0,5,50,1,153.6,153.18,0.05,0.0,sky130_fd_sc_hd,4,4
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
diff --git a/signoff/user_project_wrapper/OPENLANE_VERSION b/signoff/user_project_wrapper/OPENLANE_VERSION
index a978c5e..689f21a 100644
--- a/signoff/user_project_wrapper/OPENLANE_VERSION
+++ b/signoff/user_project_wrapper/OPENLANE_VERSION
@@ -1 +1,5 @@
+<<<<<<< HEAD
+openlane v0.15
+=======
 openlane 2021.09.09_03.00.48-8-gebd50be
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
diff --git a/signoff/user_project_wrapper/PDK_SOURCES b/signoff/user_project_wrapper/PDK_SOURCES
index 22b75cd..5adcc6d 100644
--- a/signoff/user_project_wrapper/PDK_SOURCES
+++ b/signoff/user_project_wrapper/PDK_SOURCES
@@ -1,4 +1,8 @@
 -ne skywater-pdk 
 c094b6e83a4f9298e47f696ec5a7fd53535ec5eb
 -ne open_pdks 
+<<<<<<< HEAD
+7e29496eecf3ee8e1766f1b7f9441f97204d4735
+=======
 6c05bc48dc88784f9d98b89d6791cdfd91526676
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index 7e6259b..fa6dd35 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,6 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
+<<<<<<< HEAD
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,Flow_completed,0h23m40s,0h10m14s,0.19458281444582815,10.2784,0.09729140722291407,0,524.7,1,0,0,0,0,0,0,0,0,0,-1,-1,1438092,2812,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,1.74,4.21,0.21,0.14,-1,27,645,27,645,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,180,180,0.55,0,sky130_fd_sc_hd,4,0
+=======
 0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h4m39s,0h1m52s,0.19458281444582815,10.2784,0.09729140722291407,-1,456.66,1,0,0,0,0,0,0,0,0,0,-1,-1,1381836,2009,0.0,-1,-1,0.0,0.0,0.0,-1,-1,0.0,0.0,-1,40144.71,1.33,4.28,0.36,0.4,-1,27,645,27,645,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
diff --git a/spi/lvs/user_proj_example.spice b/spi/lvs/user_proj_example.spice
index 26f672f..6702fca 100644
--- a/spi/lvs/user_proj_example.spice
+++ b/spi/lvs/user_proj_example.spice
@@ -1,5 +1,13 @@
 * NGSPICE file created from user_proj_example.ext - technology: sky130A
 
+<<<<<<< HEAD
+* Black-box entry subcircuit for sky130_fd_sc_ls__decap_8 abstract view
+.subckt sky130_fd_sc_ls__decap_8 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_ls__decap_4 abstract view
+.subckt sky130_fd_sc_ls__decap_4 VGND VNB VPB VPWR
+=======
 * Black-box entry subcircuit for sky130_fd_sc_hd__fill_1 abstract view
 .subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR
 .ends
@@ -10,28 +18,46 @@
 
 * Black-box entry subcircuit for sky130_fd_sc_hd__decap_12 abstract view
 .subckt sky130_fd_sc_hd__decap_12 VGND VNB VPB VPWR
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view
-.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR
+* Black-box entry subcircuit for sky130_fd_sc_ls__diode_2 abstract view
+.subckt sky130_fd_sc_ls__diode_2 DIODE VGND VNB VPB VPWR
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__tapvpwrvgnd_1 abstract view
-.subckt sky130_fd_sc_hd__tapvpwrvgnd_1 VGND VPWR
+* Black-box entry subcircuit for sky130_fd_sc_ls__fill_diode_2 abstract view
+.subckt sky130_fd_sc_ls__fill_diode_2 VGND VNB VPB VPWR
 .ends
 
+<<<<<<< HEAD
+* Black-box entry subcircuit for sky130_fd_sc_ls__fill_1 abstract view
+.subckt sky130_fd_sc_ls__fill_1 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_ls__clkbuf_2 abstract view
+.subckt sky130_fd_sc_ls__clkbuf_2 A VGND VNB VPB VPWR X
+=======
 * Black-box entry subcircuit for sky130_fd_sc_hd__and3b_2 abstract view
 .subckt sky130_fd_sc_hd__and3b_2 A_N B C VGND VNB VPB VPWR X
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__o22a_1 abstract view
-.subckt sky130_fd_sc_hd__o22a_1 A1 A2 B1 B2 VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_ls__tapvpwrvgnd_1 abstract view
+.subckt sky130_fd_sc_ls__tapvpwrvgnd_1 VGND VPWR
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__inv_2 abstract view
-.subckt sky130_fd_sc_hd__inv_2 A VGND VNB VPB VPWR Y
+* Black-box entry subcircuit for sky130_fd_sc_ls__conb_1 abstract view
+.subckt sky130_fd_sc_ls__conb_1 VGND VNB VPB VPWR HI LO
 .ends
 
+<<<<<<< HEAD
+* Black-box entry subcircuit for sky130_fd_sc_ls__clkbuf_1 abstract view
+.subckt sky130_fd_sc_ls__clkbuf_1 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for NAND2X1 abstract view
+.subckt NAND2X1 VPWR VGND Y B A
+=======
 * Black-box entry subcircuit for sky130_fd_sc_hd__diode_2 abstract view
 .subckt sky130_fd_sc_hd__diode_2 DIODE VGND VNB VPB VPWR
 .ends
@@ -42,56 +68,101 @@
 
 * Black-box entry subcircuit for sky130_fd_sc_hd__decap_3 abstract view
 .subckt sky130_fd_sc_hd__decap_3 VGND VNB VPB VPWR
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__nor2b_1 abstract view
-.subckt sky130_fd_sc_hd__nor2b_1 A B_N VGND VNB VPB VPWR Y
+* Black-box entry subcircuit for sky130_fd_sc_ls__buf_1 abstract view
+.subckt sky130_fd_sc_ls__buf_1 A VGND VNB VPB VPWR X
 .ends
 
+<<<<<<< HEAD
+* Black-box entry subcircuit for XNOR2X1 abstract view
+.subckt XNOR2X1 VPWR VGND Y B A
+.ends
+
+* Black-box entry subcircuit for AOI21X1 abstract view
+.subckt AOI21X1 VPWR VGND Y A C B
+=======
 * Black-box entry subcircuit for sky130_fd_sc_hd__decap_8 abstract view
 .subckt sky130_fd_sc_hd__decap_8 VGND VNB VPB VPWR
 .ends
 
 * Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_2 abstract view
 .subckt sky130_fd_sc_hd__clkbuf_2 A VGND VNB VPB VPWR X
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_1 abstract view
-.subckt sky130_fd_sc_hd__clkbuf_1 A VGND VNB VPB VPWR X
+* Black-box entry subcircuit for XOR2X1 abstract view
+.subckt XOR2X1 VPWR VGND Y A B
 .ends
 
+<<<<<<< HEAD
+* Black-box entry subcircuit for INVX1 abstract view
+.subckt INVX1 VPWR VGND Y A
+=======
 * Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view
 .subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR
 .ends
 
 * Black-box entry subcircuit for sky130_fd_sc_hd__dfxtp_4 abstract view
 .subckt sky130_fd_sc_hd__dfxtp_4 CLK D VGND VNB VPB VPWR Q
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__mux4_1 abstract view
-.subckt sky130_fd_sc_hd__mux4_1 A0 A1 A2 A3 S0 S1 VGND VNB VPB VPWR X
+* Black-box entry subcircuit for INVX2 abstract view
+.subckt INVX2 VPWR VGND Y A
 .ends
 
+<<<<<<< HEAD
+* Black-box entry subcircuit for INVX4 abstract view
+.subckt INVX4 VPWR VGND Y A
+.ends
+
+* Black-box entry subcircuit for OR2X1 abstract view
+.subckt OR2X1 VPWR VGND Y A B
+.ends
+
+* Black-box entry subcircuit for OR2X2 abstract view
+.subckt OR2X2 VPWR VGND Y A B
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_ls__buf_2 abstract view
+.subckt sky130_fd_sc_ls__buf_2 A VGND VNB VPB VPWR X
+=======
 * Black-box entry subcircuit for sky130_fd_sc_hd__conb_1 abstract view
 .subckt sky130_fd_sc_hd__conb_1 VGND VNB VPB VPWR HI LO
 .ends
 
 * Black-box entry subcircuit for sky130_fd_sc_hd__o2bb2a_1 abstract view
 .subckt sky130_fd_sc_hd__o2bb2a_1 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__o221a_1 abstract view
-.subckt sky130_fd_sc_hd__o221a_1 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
+* Black-box entry subcircuit for INVX8 abstract view
+.subckt INVX8 VPWR VGND Y A
 .ends
 
+<<<<<<< HEAD
+* Black-box entry subcircuit for BUFX2 abstract view
+.subckt BUFX2 VPWR VGND Y A
+=======
 * Black-box entry subcircuit for sky130_fd_sc_hd__or3_2 abstract view
 .subckt sky130_fd_sc_hd__or3_2 A B C VGND VNB VPB VPWR X
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__dlymetal6s2s_1 abstract view
-.subckt sky130_fd_sc_hd__dlymetal6s2s_1 A VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_ls__clkbuf_4 abstract view
+.subckt sky130_fd_sc_ls__clkbuf_4 A VGND VNB VPB VPWR X
 .ends
 
+<<<<<<< HEAD
+* Black-box entry subcircuit for NAND3X1 abstract view
+.subckt NAND3X1 VPWR VGND Y C B A
+.ends
+
+* Black-box entry subcircuit for INV abstract view
+.subckt INV VPWR VGND Y A
+=======
 * Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_16 abstract view
 .subckt sky130_fd_sc_hd__clkbuf_16 A VGND VNB VPB VPWR X
 .ends
@@ -106,24 +177,38 @@
 
 * Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_4 abstract view
 .subckt sky130_fd_sc_hd__clkbuf_4 A VGND VNB VPB VPWR X
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__and3b_1 abstract view
-.subckt sky130_fd_sc_hd__and3b_1 A_N B C VGND VNB VPB VPWR X
+* Black-box entry subcircuit for BUFX4 abstract view
+.subckt BUFX4 VPWR VGND Y A
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__o21a_1 abstract view
-.subckt sky130_fd_sc_hd__o21a_1 A1 A2 B1 VGND VNB VPB VPWR X
+* Black-box entry subcircuit for AOI22X1 abstract view
+.subckt AOI22X1 VPWR VGND Y D B C A
 .ends
 
+<<<<<<< HEAD
+* Black-box entry subcircuit for CLKBUF1 abstract view
+.subckt CLKBUF1 VPWR VGND Y A
+.ends
+
+* Black-box entry subcircuit for MUX2X1 abstract view
+.subckt MUX2X1 VPWR VGND Y S A B
+=======
 * Black-box entry subcircuit for sky130_fd_sc_hd__a21oi_1 abstract view
 .subckt sky130_fd_sc_hd__a21oi_1 A1 A2 B1 VGND VNB VPB VPWR Y
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hd__a22o_1 abstract view
-.subckt sky130_fd_sc_hd__a22o_1 A1 A2 B1 B2 VGND VNB VPB VPWR X
+* Black-box entry subcircuit for AND2X1 abstract view
+.subckt AND2X1 VPWR VGND Y B A
 .ends
 
+<<<<<<< HEAD
+* Black-box entry subcircuit for AND2X2 abstract view
+.subckt AND2X2 VPWR VGND Y A B
+=======
 * Black-box entry subcircuit for sky130_fd_sc_hd__dfxtp_1 abstract view
 .subckt sky130_fd_sc_hd__dfxtp_1 CLK D VGND VNB VPB VPWR Q
 .ends
@@ -218,6 +303,7 @@
 
 * Black-box entry subcircuit for sky130_fd_sc_hd__buf_2 abstract view
 .subckt sky130_fd_sc_hd__buf_2 A VGND VNB VPB VPWR X
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 .ends
 
 * Black-box entry subcircuit for sky130_fd_sc_hd__buf_12 abstract view
@@ -323,6 +409,9370 @@
 + wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2]
 + wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
 + wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
+<<<<<<< HEAD
++ wbs_stb_i wbs_we_i vccd1 vssd1 vccd2 vssd2 vdda1 vssa1 vdda2 vssa2 vssa2_uq0 vssa1_uq0
++ vssd2_uq0 vdda2_uq0 vdda1_uq0 vccd2_uq0
+XFILLER_67_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_280 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_464 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_224 _214_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_213 _187_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_202 _198_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_45_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_235 _195_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_13_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_95 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_51_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput401 _219_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[5] sky130_fd_sc_ls__clkbuf_2
+Xoutput434 _057_/LO vssd1 vssd1 vccd1 vccd1 io_out[35] sky130_fd_sc_ls__clkbuf_2
+Xoutput423 _051_/LO vssd1 vssd1 vccd1 vccd1 io_out[25] sky130_fd_sc_ls__clkbuf_2
+Xoutput412 _046_/LO vssd1 vssd1 vccd1 vccd1 io_out[15] sky130_fd_sc_ls__clkbuf_2
+Xoutput456 _165_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[108] sky130_fd_sc_ls__clkbuf_2
+Xoutput478 XNOR2X1/Y vssd1 vssd1 vccd1 vccd1 la_data_out[12] sky130_fd_sc_ls__clkbuf_2
+Xoutput467 _175_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[118] sky130_fd_sc_ls__clkbuf_2
+Xoutput445 _060_/LO vssd1 vssd1 vccd1 vccd1 irq[1] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput489 _079_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[22] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_258 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_927 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_916 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_905 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_949 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_938 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_10_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_46_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_578 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_702 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_735 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_713 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_724 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_768 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_757 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_746 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_272 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_200_ vssd1 vssd1 vccd1 vccd1 _200_/HI _200_/LO sky130_fd_sc_ls__conb_1
+X_131_ vssd1 vssd1 vccd1 vccd1 _131_/HI _131_/LO sky130_fd_sc_ls__conb_1
+XPHY_779 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_062_ vssd1 vssd1 vccd1 vccd1 _062_/HI _062_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_78_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_48_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_150 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_22 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_99 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_56_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_52_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_60_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_75_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_128 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_97 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_510 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_521 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_532 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_543 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_554 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_565 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_576 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_587 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_598 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_114_ vssd1 vssd1 vccd1 vccd1 _114_/HI _114_/LO sky130_fd_sc_ls__conb_1
+X_045_ vssd1 vssd1 vccd1 vccd1 _045_/HI _045_/LO sky130_fd_sc_ls__conb_1
+XFILLER_50_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_451 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_71_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput301 wbs_adr_i[13] vssd1 vssd1 vccd1 vccd1 input301/X sky130_fd_sc_ls__clkbuf_1
+Xinput312 wbs_adr_i[23] vssd1 vssd1 vccd1 vccd1 input312/X sky130_fd_sc_ls__clkbuf_1
+Xinput345 wbs_dat_i[23] vssd1 vssd1 vccd1 vccd1 input345/X sky130_fd_sc_ls__clkbuf_1
+Xinput334 wbs_dat_i[13] vssd1 vssd1 vccd1 vccd1 input334/X sky130_fd_sc_ls__clkbuf_1
+Xinput323 wbs_adr_i[4] vssd1 vssd1 vccd1 vccd1 input323/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput367 wbs_we_i vssd1 vssd1 vccd1 vccd1 input367/X sky130_fd_sc_ls__clkbuf_1
+Xinput356 wbs_dat_i[4] vssd1 vssd1 vccd1 vccd1 input356/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_340 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_351 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_362 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_373 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_384 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_395 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_61_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_8_566 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_5 _023_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_6_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_028_ vssd1 vssd1 vccd1 vccd1 _028_/HI _028_/LO sky130_fd_sc_ls__conb_1
+XFILLER_79_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_584 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_20_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_270 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput120 la_data_in[58] vssd1 vssd1 vccd1 vccd1 input120/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_76_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput131 la_data_in[68] vssd1 vssd1 vccd1 vccd1 input131/X sky130_fd_sc_ls__clkbuf_1
+Xinput142 la_data_in[78] vssd1 vssd1 vccd1 vccd1 input142/X sky130_fd_sc_ls__clkbuf_1
+Xinput153 la_data_in[88] vssd1 vssd1 vccd1 vccd1 input153/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput186 la_oenb[117] vssd1 vssd1 vccd1 vccd1 input186/X sky130_fd_sc_ls__clkbuf_1
+Xinput175 la_oenb[107] vssd1 vssd1 vccd1 vccd1 input175/X sky130_fd_sc_ls__clkbuf_1
+Xinput164 la_data_in[98] vssd1 vssd1 vccd1 vccd1 input164/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_36_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_278 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput197 la_oenb[127] vssd1 vssd1 vccd1 vccd1 input197/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_170 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_192 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_181 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput605 _193_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[7] sky130_fd_sc_ls__clkbuf_2
+XFILLER_79_392 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_39_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_77_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_77_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_214 _206_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_225 _214_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_203 _199_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_35 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_513 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_36_215 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput402 _019_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[6] sky130_fd_sc_ls__clkbuf_2
+Xoutput424 INVX2/Y vssd1 vssd1 vccd1 vccd1 io_out[26] sky130_fd_sc_ls__clkbuf_2
+Xoutput413 BUFX2/Y vssd1 vssd1 vccd1 vccd1 io_out[16] sky130_fd_sc_ls__clkbuf_2
+Xoutput435 _058_/LO vssd1 vssd1 vccd1 vccd1 io_out[36] sky130_fd_sc_ls__clkbuf_2
+Xoutput446 _061_/LO vssd1 vssd1 vccd1 vccd1 irq[2] sky130_fd_sc_ls__clkbuf_2
+Xoutput457 _166_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[109] sky130_fd_sc_ls__clkbuf_2
+Xoutput468 _176_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[119] sky130_fd_sc_ls__clkbuf_2
+Xoutput479 _071_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[13] sky130_fd_sc_ls__clkbuf_2
+XFILLER_27_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_917 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_906 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_939 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_928 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_50_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_12_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_314 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_207 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XNAND2X1 vccd1 vssd1 NAND2X1/Y input30/X input29/X NAND2X1
+XFILLER_33_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_498 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_181 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_28_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_736 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_703 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_714 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_725 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_769 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_758 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_747 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_130_ vssd1 vssd1 vccd1 vccd1 _130_/HI _130_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_061_ vssd1 vssd1 vccd1 vccd1 _061_/HI _061_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_177 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_9_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_20_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_500 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_34_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_511 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_522 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_34_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_533 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_544 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_43_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_555 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_566 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_577 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_588 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_599 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_113_ vssd1 vssd1 vccd1 vccd1 _113_/HI _113_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_044_ vssd1 vssd1 vccd1 vccd1 _044_/HI _044_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_7 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_61_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_65_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_52_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_25_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_154 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_20_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_401 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput302 wbs_adr_i[14] vssd1 vssd1 vccd1 vccd1 input302/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput313 wbs_adr_i[24] vssd1 vssd1 vccd1 vccd1 input313/X sky130_fd_sc_ls__clkbuf_1
+Xinput324 wbs_adr_i[5] vssd1 vssd1 vccd1 vccd1 input324/X sky130_fd_sc_ls__clkbuf_1
+Xinput335 wbs_dat_i[14] vssd1 vssd1 vccd1 vccd1 input335/X sky130_fd_sc_ls__clkbuf_1
+Xinput346 wbs_dat_i[24] vssd1 vssd1 vccd1 vccd1 input346/X sky130_fd_sc_ls__clkbuf_1
+Xinput357 wbs_dat_i[5] vssd1 vssd1 vccd1 vccd1 input357/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_71_463 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_31_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_330 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_341 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_352 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_43_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_363 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_374 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_385 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_545 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_396 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XANTENNA_6 _000_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+X_027_ vssd1 vssd1 vccd1 vccd1 _027_/HI _027_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_62_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_5_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput110 la_data_in[49] vssd1 vssd1 vccd1 vccd1 input110/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput143 la_data_in[79] vssd1 vssd1 vccd1 vccd1 input143/X sky130_fd_sc_ls__clkbuf_1
+Xinput121 la_data_in[59] vssd1 vssd1 vccd1 vccd1 input121/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput132 la_data_in[69] vssd1 vssd1 vccd1 vccd1 input132/X sky130_fd_sc_ls__clkbuf_1
+Xinput154 la_data_in[89] vssd1 vssd1 vccd1 vccd1 input154/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput187 la_oenb[118] vssd1 vssd1 vccd1 vccd1 input187/X sky130_fd_sc_ls__clkbuf_1
+Xinput176 la_oenb[108] vssd1 vssd1 vccd1 vccd1 input176/X sky130_fd_sc_ls__clkbuf_1
+Xinput165 la_data_in[99] vssd1 vssd1 vccd1 vccd1 input165/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput198 la_oenb[12] vssd1 vssd1 vccd1 vccd1 input198/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_16_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_160 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_171 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_193 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_182 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput606 _194_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[8] sky130_fd_sc_ls__clkbuf_2
+XFILLER_79_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_50_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_50_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_319 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_215 _206_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_204 _199_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_226 _215_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_378 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_76_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput425 _052_/LO vssd1 vssd1 vccd1 vccd1 io_out[27] sky130_fd_sc_ls__clkbuf_2
+Xoutput414 _047_/LO vssd1 vssd1 vccd1 vccd1 io_out[17] sky130_fd_sc_ls__clkbuf_2
+Xoutput403 _020_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[7] sky130_fd_sc_ls__clkbuf_2
+Xoutput436 NAND2X1/Y vssd1 vssd1 vccd1 vccd1 io_out[37] sky130_fd_sc_ls__clkbuf_2
+Xoutput469 _070_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[11] sky130_fd_sc_ls__clkbuf_2
+Xoutput458 _069_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[10] sky130_fd_sc_ls__clkbuf_2
+Xoutput447 _062_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[0] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_70_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_918 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_907 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_63_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_929 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_10_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_326 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_31 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_238 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_97 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_400 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_14_433 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_455 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_293 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_68_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_60_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_704 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_715 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_726 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_759 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_748 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_737 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_51_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_7_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_060_ vssd1 vssd1 vccd1 vccd1 _060_/HI _060_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_46 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_189_ vssd1 vssd1 vccd1 vccd1 _189_/HI _189_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_501 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_43_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_512 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_523 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_534 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_545 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_556 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_567 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_578 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_589 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_112_ vssd1 vssd1 vccd1 vccd1 _112_/HI _112_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_043_ vssd1 vssd1 vccd1 vccd1 _043_/HI _043_/LO sky130_fd_sc_ls__conb_1
+XFILLER_50_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_29_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_21_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput303 wbs_adr_i[15] vssd1 vssd1 vccd1 vccd1 input303/X sky130_fd_sc_ls__clkbuf_1
+Xinput336 wbs_dat_i[15] vssd1 vssd1 vccd1 vccd1 input336/X sky130_fd_sc_ls__clkbuf_1
+Xinput325 wbs_adr_i[6] vssd1 vssd1 vccd1 vccd1 input325/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput314 wbs_adr_i[25] vssd1 vssd1 vccd1 vccd1 input314/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput347 wbs_dat_i[25] vssd1 vssd1 vccd1 vccd1 input347/X sky130_fd_sc_ls__clkbuf_1
+Xinput358 wbs_dat_i[6] vssd1 vssd1 vccd1 vccd1 input358/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_75_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_320 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_331 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_342 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_353 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_364 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_375 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_386 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_397 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_61_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_7 _000_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_6_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_026_ vssd1 vssd1 vccd1 vccd1 _026_/HI _026_/LO sky130_fd_sc_ls__conb_1
+XFILLER_79_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_19_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_15_23 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_272 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_13_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_76_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput111 la_data_in[4] vssd1 vssd1 vccd1 vccd1 OR2X1/A sky130_fd_sc_ls__buf_1
+Xinput100 la_data_in[3] vssd1 vssd1 vccd1 vccd1 input100/X sky130_fd_sc_ls__clkbuf_1
+Xinput133 la_data_in[6] vssd1 vssd1 vccd1 vccd1 input133/X sky130_fd_sc_ls__clkbuf_1
+Xinput122 la_data_in[5] vssd1 vssd1 vccd1 vccd1 OR2X1/B sky130_fd_sc_ls__buf_1
+Xinput144 la_data_in[7] vssd1 vssd1 vccd1 vccd1 OR2X2/A sky130_fd_sc_ls__buf_1
+XFILLER_76_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput177 la_oenb[109] vssd1 vssd1 vccd1 vccd1 input177/X sky130_fd_sc_ls__clkbuf_1
+Xinput155 la_data_in[8] vssd1 vssd1 vccd1 vccd1 OR2X2/B sky130_fd_sc_ls__buf_1
+Xinput166 la_data_in[9] vssd1 vssd1 vccd1 vccd1 input166/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_63_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput188 la_oenb[119] vssd1 vssd1 vccd1 vccd1 input188/X sky130_fd_sc_ls__clkbuf_1
+Xinput199 la_oenb[13] vssd1 vssd1 vccd1 vccd1 input199/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_16_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_188 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_150 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_73 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_172 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_194 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_183 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput607 _195_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[9] sky130_fd_sc_ls__clkbuf_2
+X_009_ vssd1 vssd1 vccd1 vccd1 _009_/HI _009_/LO sky130_fd_sc_ls__conb_1
+XFILLER_79_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_456 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_519 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_205 _201_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_73_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_216 _207_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_227 _215_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_53_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_48 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_239 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_73_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput415 BUFX4/Y vssd1 vssd1 vccd1 vccd1 io_out[18] sky130_fd_sc_ls__clkbuf_2
+Xoutput404 _021_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[8] sky130_fd_sc_ls__clkbuf_2
+Xoutput426 INVX4/Y vssd1 vssd1 vccd1 vccd1 io_out[28] sky130_fd_sc_ls__clkbuf_2
+Xoutput437 _037_/LO vssd1 vssd1 vccd1 vccd1 io_out[3] sky130_fd_sc_ls__clkbuf_2
+Xoutput459 _167_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[110] sky130_fd_sc_ls__clkbuf_2
+Xoutput448 _157_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[100] sky130_fd_sc_ls__clkbuf_2
+XFILLER_67_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_908 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_35_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_919 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_53_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_41_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_64_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_60_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_705 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_716 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_727 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_749 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_738 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_51_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_34_518 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_188_ vssd1 vssd1 vccd1 vccd1 _188_/HI _188_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_175 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_518 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_502 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_513 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_524 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_535 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_546 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_557 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_568 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_111_ vssd1 vssd1 vccd1 vccd1 _111_/HI _111_/LO sky130_fd_sc_ls__conb_1
+XPHY_579 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_216 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_042_ vssd1 vssd1 vccd1 vccd1 _042_/HI _042_/LO sky130_fd_sc_ls__conb_1
+XFILLER_59_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_34_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_65_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_443 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_52_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_35 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_20_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput304 wbs_adr_i[16] vssd1 vssd1 vccd1 vccd1 input304/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput326 wbs_adr_i[7] vssd1 vssd1 vccd1 vccd1 input326/X sky130_fd_sc_ls__clkbuf_1
+Xinput315 wbs_adr_i[26] vssd1 vssd1 vccd1 vccd1 input315/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_75_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput348 wbs_dat_i[26] vssd1 vssd1 vccd1 vccd1 input348/X sky130_fd_sc_ls__clkbuf_1
+Xinput359 wbs_dat_i[7] vssd1 vssd1 vccd1 vccd1 input359/X sky130_fd_sc_ls__clkbuf_1
+Xinput337 wbs_dat_i[16] vssd1 vssd1 vccd1 vccd1 input337/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_310 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_16_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_321 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_332 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_343 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_61_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_354 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_365 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_376 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_61_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_387 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_398 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_025_ vssd1 vssd1 vccd1 vccd1 _025_/HI _025_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_8 _003_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_66_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_421 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_262 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput101 la_data_in[40] vssd1 vssd1 vccd1 vccd1 input101/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_76_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput145 la_data_in[80] vssd1 vssd1 vccd1 vccd1 input145/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput112 la_data_in[50] vssd1 vssd1 vccd1 vccd1 input112/X sky130_fd_sc_ls__clkbuf_1
+Xinput123 la_data_in[60] vssd1 vssd1 vccd1 vccd1 input123/X sky130_fd_sc_ls__clkbuf_1
+Xinput134 la_data_in[70] vssd1 vssd1 vccd1 vccd1 input134/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput167 la_oenb[0] vssd1 vssd1 vccd1 vccd1 input167/X sky130_fd_sc_ls__clkbuf_1
+Xinput156 la_data_in[90] vssd1 vssd1 vccd1 vccd1 input156/X sky130_fd_sc_ls__clkbuf_1
+Xinput178 la_oenb[10] vssd1 vssd1 vccd1 vccd1 input178/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_76_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_56_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput189 la_oenb[11] vssd1 vssd1 vccd1 vccd1 input189/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_140 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_173 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_184 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_195 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_008_ vssd1 vssd1 vccd1 vccd1 _008_/HI _008_/LO sky130_fd_sc_ls__conb_1
+XFILLER_4_594 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_206 _201_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_228 _188_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_217 _207_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_571 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_181 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_325 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_207 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XXNOR2X1 vccd1 vssd1 XNOR2X1/Y input61/X input50/X XNOR2X1
+XFILLER_17_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput416 _048_/LO vssd1 vssd1 vccd1 vccd1 io_out[19] sky130_fd_sc_ls__clkbuf_2
+Xoutput405 _220_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[9] sky130_fd_sc_ls__clkbuf_2
+Xoutput427 _053_/LO vssd1 vssd1 vccd1 vccd1 io_out[29] sky130_fd_sc_ls__clkbuf_2
+Xoutput438 _038_/LO vssd1 vssd1 vccd1 vccd1 io_out[4] sky130_fd_sc_ls__clkbuf_2
+Xoutput449 _158_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[101] sky130_fd_sc_ls__clkbuf_2
+XFILLER_4_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_538 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_27_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_909 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_5_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_295 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_41_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_1_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_55_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_706 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_717 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_739 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_728 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_187_ vssd1 vssd1 vccd1 vccd1 _187_/HI _187_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_503 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_514 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_525 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_34_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_536 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_547 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_558 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_569 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_110_ vssd1 vssd1 vccd1 vccd1 _110_/HI _110_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_239 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_041_ vssd1 vssd1 vccd1 vccd1 _041_/HI _041_/LO sky130_fd_sc_ls__conb_1
+XFILLER_50_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_74_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_75_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_19_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_65_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_146 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_588 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_47 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput327 wbs_adr_i[8] vssd1 vssd1 vccd1 vccd1 input327/X sky130_fd_sc_ls__clkbuf_1
+Xinput305 wbs_adr_i[17] vssd1 vssd1 vccd1 vccd1 input305/X sky130_fd_sc_ls__clkbuf_1
+Xinput316 wbs_adr_i[27] vssd1 vssd1 vccd1 vccd1 input316/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput349 wbs_dat_i[27] vssd1 vssd1 vccd1 vccd1 input349/X sky130_fd_sc_ls__clkbuf_1
+Xinput338 wbs_dat_i[17] vssd1 vssd1 vccd1 vccd1 input338/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_45_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_300 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_311 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_322 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_333 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_344 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_355 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_366 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_377 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_8_537 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_515 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_388 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_399 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XANTENNA_9 _003_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+X_024_ vssd1 vssd1 vccd1 vccd1 _024_/HI _024_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_533 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_566 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_208 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_47 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput102 la_data_in[41] vssd1 vssd1 vccd1 vccd1 input102/X sky130_fd_sc_ls__clkbuf_1
+Xinput135 la_data_in[71] vssd1 vssd1 vccd1 vccd1 input135/X sky130_fd_sc_ls__clkbuf_1
+Xinput113 la_data_in[51] vssd1 vssd1 vccd1 vccd1 input113/X sky130_fd_sc_ls__clkbuf_1
+Xinput124 la_data_in[61] vssd1 vssd1 vccd1 vccd1 input124/X sky130_fd_sc_ls__clkbuf_1
+Xinput168 la_oenb[100] vssd1 vssd1 vccd1 vccd1 input168/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput146 la_data_in[81] vssd1 vssd1 vccd1 vccd1 input146/X sky130_fd_sc_ls__clkbuf_1
+Xinput157 la_data_in[91] vssd1 vssd1 vccd1 vccd1 input157/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput179 la_oenb[110] vssd1 vssd1 vccd1 vccd1 input179/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_72_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_152 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_141 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_130 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_185 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_174 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_196 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_007_ vssd1 vssd1 vccd1 vccd1 _007_/HI _007_/LO sky130_fd_sc_ls__conb_1
+XFILLER_4_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_260 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_436 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_15_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_207 _202_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_218 _208_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_229 _188_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_38_293 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_561 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_263 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_26_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_42_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_193 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_3_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_175 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput417 _036_/LO vssd1 vssd1 vccd1 vccd1 io_out[1] sky130_fd_sc_ls__clkbuf_2
+Xoutput406 _035_/LO vssd1 vssd1 vccd1 vccd1 io_out[0] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput439 AND2X2/Y vssd1 vssd1 vccd1 vccd1 io_out[5] sky130_fd_sc_ls__clkbuf_2
+Xoutput428 AND2X1/Y vssd1 vssd1 vccd1 vccd1 io_out[2] sky130_fd_sc_ls__clkbuf_2
+XFILLER_67_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XAOI21X1 vccd1 vssd1 AOI21X1/Y input35/X input37/X input36/X AOI21X1
+XFILLER_10_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_491 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_89 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_425 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_285 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_49_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_71 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_707 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_718 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_729 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_58_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_42_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_38 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_186_ vssd1 vssd1 vccd1 vccd1 _186_/HI _186_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_317 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_504 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_515 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_526 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_36_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_537 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_548 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_559 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_51_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_040_ vssd1 vssd1 vccd1 vccd1 _040_/HI _040_/LO sky130_fd_sc_ls__conb_1
+XFILLER_50_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_75_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_169_ vssd1 vssd1 vccd1 vccd1 _169_/HI _169_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_50 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_416 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput306 wbs_adr_i[18] vssd1 vssd1 vccd1 vccd1 input306/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput317 wbs_adr_i[28] vssd1 vssd1 vccd1 vccd1 input317/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput339 wbs_dat_i[18] vssd1 vssd1 vccd1 vccd1 input339/X sky130_fd_sc_ls__clkbuf_1
+Xinput328 wbs_adr_i[9] vssd1 vssd1 vccd1 vccd1 input328/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_28_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_301 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_312 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_323 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_334 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_345 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_356 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_367 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_378 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_389 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_61_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_023_ vssd1 vssd1 vccd1 vccd1 _023_/HI _023_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XXOR2X1 vccd1 vssd1 XOR2X1/Y XOR2X1/A XOR2X1/B XOR2X1
+XFILLER_19_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_62_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_890 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_15 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput103 la_data_in[42] vssd1 vssd1 vccd1 vccd1 input103/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput114 la_data_in[52] vssd1 vssd1 vccd1 vccd1 input114/X sky130_fd_sc_ls__clkbuf_1
+Xinput125 la_data_in[62] vssd1 vssd1 vccd1 vccd1 input125/X sky130_fd_sc_ls__clkbuf_1
+Xinput136 la_data_in[72] vssd1 vssd1 vccd1 vccd1 input136/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_76_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput169 la_oenb[101] vssd1 vssd1 vccd1 vccd1 input169/X sky130_fd_sc_ls__clkbuf_1
+Xinput158 la_data_in[92] vssd1 vssd1 vccd1 vccd1 input158/X sky130_fd_sc_ls__clkbuf_1
+Xinput147 la_data_in[82] vssd1 vssd1 vccd1 vccd1 input147/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_56_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_142 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_120 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_153 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_164 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_175 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_186 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_197 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_006_ vssd1 vssd1 vccd1 vccd1 _006_/HI _006_/LO sky130_fd_sc_ls__conb_1
+XFILLER_4_530 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_4_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_4_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_67_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_272 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_62_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_0 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_448 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_219 _208_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_208 _202_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_81_584 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_533 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_49_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_76_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput407 _042_/LO vssd1 vssd1 vccd1 vccd1 io_out[10] sky130_fd_sc_ls__clkbuf_2
+Xoutput429 INVX8/Y vssd1 vssd1 vccd1 vccd1 io_out[30] sky130_fd_sc_ls__clkbuf_2
+Xoutput418 CLKBUF1/Y vssd1 vssd1 vccd1 vccd1 io_out[20] sky130_fd_sc_ls__clkbuf_2
+XFILLER_79_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_63_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_708 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_719 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_42_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_43 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_80_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_185_ vssd1 vssd1 vccd1 vccd1 _185_/HI _185_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_505 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_516 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_527 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_34_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_538 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_549 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_208 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput590 _208_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[22] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_74_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_168_ vssd1 vssd1 vccd1 vccd1 _168_/HI _168_/LO sky130_fd_sc_ls__conb_1
+X_099_ vssd1 vssd1 vccd1 vccd1 _099_/HI _099_/LO sky130_fd_sc_ls__conb_1
+XFILLER_34_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_435 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput318 wbs_adr_i[29] vssd1 vssd1 vccd1 vccd1 input318/X sky130_fd_sc_ls__clkbuf_1
+Xinput307 wbs_adr_i[19] vssd1 vssd1 vccd1 vccd1 input307/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput329 wbs_cyc_i vssd1 vssd1 vccd1 vccd1 input329/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_302 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_313 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_324 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_61_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_335 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_346 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_357 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_368 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_61_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_379 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_6_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_022_ vssd1 vssd1 vccd1 vccd1 _022_/HI _022_/LO sky130_fd_sc_ls__conb_1
+XFILLER_79_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_66_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_181 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_880 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_891 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_57_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_287 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput104 la_data_in[43] vssd1 vssd1 vccd1 vccd1 input104/X sky130_fd_sc_ls__clkbuf_1
+Xinput115 la_data_in[53] vssd1 vssd1 vccd1 vccd1 input115/X sky130_fd_sc_ls__clkbuf_1
+Xinput126 la_data_in[63] vssd1 vssd1 vccd1 vccd1 input126/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_56_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput148 la_data_in[83] vssd1 vssd1 vccd1 vccd1 input148/X sky130_fd_sc_ls__clkbuf_1
+Xinput137 la_data_in[73] vssd1 vssd1 vccd1 vccd1 input137/X sky130_fd_sc_ls__clkbuf_1
+Xinput159 la_data_in[93] vssd1 vssd1 vccd1 vccd1 input159/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_121 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_110 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_154 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_165 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_176 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_198 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_187 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_005_ vssd1 vssd1 vccd1 vccd1 _005_/HI _005_/LO sky130_fd_sc_ls__conb_1
+XFILLER_39_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_1 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_530 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_209 _204_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_53_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_5_317 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_1_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_519 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput408 _043_/LO vssd1 vssd1 vccd1 vccd1 io_out[11] sky130_fd_sc_ls__clkbuf_2
+Xoutput419 _049_/LO vssd1 vssd1 vccd1 vccd1 io_out[21] sky130_fd_sc_ls__clkbuf_2
+XFILLER_4_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_50_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_47 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_81_393 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_10 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_78_76 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_121 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_165 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_180 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_709 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_268 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_128 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_3_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_121 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XINVX1 vccd1 vssd1 INVX1/Y INVX1/A INVX1
+XFILLER_58_165 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_73_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_14_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_10_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_184_ vssd1 vssd1 vccd1 vccd1 _184_/HI _184_/LO sky130_fd_sc_ls__conb_1
+XFILLER_1_150 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_60_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_506 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_517 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_34_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_528 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_539 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput580 _199_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[13] sky130_fd_sc_ls__clkbuf_2
+XFILLER_78_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput591 _209_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[23] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_59_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_74_499 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_167_ vssd1 vssd1 vccd1 vccd1 _167_/HI _167_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_098_ vssd1 vssd1 vccd1 vccd1 _098_/HI _098_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_227 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_27_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_39 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput308 wbs_adr_i[1] vssd1 vssd1 vccd1 vccd1 input308/X sky130_fd_sc_ls__clkbuf_1
+Xinput319 wbs_adr_i[2] vssd1 vssd1 vccd1 vccd1 input319/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_56_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_56_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_56_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_43_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_303 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_314 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_325 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_336 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_347 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_358 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_369 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_021_ vssd1 vssd1 vccd1 vccd1 _021_/HI _021_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_558 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_881 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_870 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_892 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_580 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_219_ vssd1 vssd1 vccd1 vccd1 _219_/HI _219_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_573 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_244 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_39 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_322 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput116 la_data_in[54] vssd1 vssd1 vccd1 vccd1 input116/X sky130_fd_sc_ls__clkbuf_1
+Xinput105 la_data_in[44] vssd1 vssd1 vccd1 vccd1 input105/X sky130_fd_sc_ls__clkbuf_1
+Xinput127 la_data_in[64] vssd1 vssd1 vccd1 vccd1 input127/X sky130_fd_sc_ls__clkbuf_1
+Xinput138 la_data_in[74] vssd1 vssd1 vccd1 vccd1 input138/X sky130_fd_sc_ls__clkbuf_1
+Xinput149 la_data_in[84] vssd1 vssd1 vccd1 vccd1 input149/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_69_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_122 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_144 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_166 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_199 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_188 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_177 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_004_ vssd1 vssd1 vccd1 vccd1 _004_/HI _004_/LO sky130_fd_sc_ls__conb_1
+XFILLER_4_543 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_2 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_288 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_285 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_67_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_72_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput409 _044_/LO vssd1 vssd1 vccd1 vccd1 io_out[12] sky130_fd_sc_ls__clkbuf_2
+XFILLER_79_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_483 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_350 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_66_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_26_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_63 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XINVX2 vccd1 vssd1 INVX2/Y INVX2/A INVX2
+XFILLER_58_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_183_ vssd1 vssd1 vccd1 vccd1 _183_/HI _183_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_125 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_18_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_60_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_442 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_43_309 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_507 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_36_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_518 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_529 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput570 _153_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[96] sky130_fd_sc_ls__clkbuf_2
+Xoutput581 _200_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[14] sky130_fd_sc_ls__clkbuf_2
+Xoutput592 _210_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[24] sky130_fd_sc_ls__clkbuf_2
+XFILLER_75_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_361 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_166_ vssd1 vssd1 vccd1 vccd1 _166_/HI _166_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_097_ vssd1 vssd1 vccd1 vccd1 _097_/HI _097_/LO sky130_fd_sc_ls__conb_1
+XFILLER_40_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_460 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_404 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_65_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_190 _151_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_33_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput309 wbs_adr_i[20] vssd1 vssd1 vccd1 vccd1 input309/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_28_103 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_304 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_315 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_36_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_326 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_337 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_348 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_359 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_508 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_020_ vssd1 vssd1 vccd1 vccd1 _020_/HI _020_/LO sky130_fd_sc_ls__conb_1
+XFILLER_79_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_74_264 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_74_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_74_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_871 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_860 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_42_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_893 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_882 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_218_ vssd1 vssd1 vccd1 vccd1 _218_/HI _218_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_530 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_51_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_149_ vssd1 vssd1 vccd1 vccd1 _149_/HI _149_/LO sky130_fd_sc_ls__conb_1
+XFILLER_65_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_61_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_205 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput106 la_data_in[45] vssd1 vssd1 vccd1 vccd1 input106/X sky130_fd_sc_ls__clkbuf_1
+Xinput117 la_data_in[55] vssd1 vssd1 vccd1 vccd1 input117/X sky130_fd_sc_ls__clkbuf_1
+Xinput128 la_data_in[65] vssd1 vssd1 vccd1 vccd1 input128/X sky130_fd_sc_ls__clkbuf_1
+Xinput139 la_data_in[75] vssd1 vssd1 vccd1 vccd1 input139/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_56_220 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_29_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_56_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_56_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_56_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_134 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_123 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_112 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_156 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_145 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_167 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_189 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_178 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_90 _039_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+X_003_ vssd1 vssd1 vccd1 vccd1 _003_/HI _003_/LO sky130_fd_sc_ls__conb_1
+XFILLER_75_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_75_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_3 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_120 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_690 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_63 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_543 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_142 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_1_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_48_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_370 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_451 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_440 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_76_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_60_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_4_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_182_ vssd1 vssd1 vccd1 vccd1 _182_/HI _182_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_77_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_18_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_508 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_519 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput571 _154_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[97] sky130_fd_sc_ls__clkbuf_2
+Xoutput560 _144_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[87] sky130_fd_sc_ls__clkbuf_2
+Xoutput593 _211_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[25] sky130_fd_sc_ls__clkbuf_2
+Xoutput582 _201_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[15] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_165_ vssd1 vssd1 vccd1 vccd1 _165_/HI _165_/LO sky130_fd_sc_ls__conb_1
+X_096_ vssd1 vssd1 vccd1 vccd1 _096_/HI _096_/LO sky130_fd_sc_ls__conb_1
+XFILLER_69_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_65_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_32 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_80_427 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_191 _151_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_180 _145_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_0_409 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_71_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_305 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_316 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_327 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_338 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_349 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xoutput390 _218_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[2] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_42_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_872 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_861 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_850 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_894 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_883 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_217_ vssd1 vssd1 vccd1 vccd1 _217_/HI _217_/LO sky130_fd_sc_ls__conb_1
+X_148_ vssd1 vssd1 vccd1 vccd1 _148_/HI _148_/LO sky130_fd_sc_ls__conb_1
+X_079_ vssd1 vssd1 vccd1 vccd1 _079_/HI _079_/LO sky130_fd_sc_ls__conb_1
+XFILLER_32_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_53_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput107 la_data_in[46] vssd1 vssd1 vccd1 vccd1 input107/X sky130_fd_sc_ls__clkbuf_1
+Xinput118 la_data_in[56] vssd1 vssd1 vccd1 vccd1 input118/X sky130_fd_sc_ls__clkbuf_1
+Xinput129 la_data_in[66] vssd1 vssd1 vccd1 vccd1 input129/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_113 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_102 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_157 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_146 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_168 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_179 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XANTENNA_80 _055_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+X_002_ vssd1 vssd1 vccd1 vccd1 _002_/HI _002_/LO sky130_fd_sc_ls__conb_1
+XANTENNA_91 AOI21X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_4_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_680 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_691 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_533 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_5_309 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_298 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_44_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_63_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_39 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_330 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_14_408 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_68 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_113 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_94 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_172 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_21 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_102 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_67_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput290 la_oenb[96] vssd1 vssd1 vccd1 vccd1 input290/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_113 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XINVX4 vccd1 vssd1 INVX4/Y INVX4/A INVX4
+XFILLER_58_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_533 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_569 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_400 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_181_ vssd1 vssd1 vccd1 vccd1 _181_/HI _181_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_142 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_49_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_72_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_60_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_377 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_9_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_509 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_34_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput572 _155_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[98] sky130_fd_sc_ls__clkbuf_2
+Xoutput561 _145_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[88] sky130_fd_sc_ls__clkbuf_2
+Xoutput550 _135_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[78] sky130_fd_sc_ls__clkbuf_2
+XFILLER_1_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput594 _212_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[26] sky130_fd_sc_ls__clkbuf_2
+Xoutput583 _202_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[16] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_433 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_75_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_164_ vssd1 vssd1 vccd1 vccd1 _164_/HI _164_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_095_ vssd1 vssd1 vccd1 vccd1 _095_/HI _095_/LO sky130_fd_sc_ls__conb_1
+XFILLER_40_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_495 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_170 _129_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_33_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_45_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_181 _146_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_192 _152_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_21_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_230 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_56_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_306 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_317 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_328 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_339 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput380 _027_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[20] sky130_fd_sc_ls__clkbuf_2
+Xoutput391 _032_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[30] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_862 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_851 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_840 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_895 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_884 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_873 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_216_ vssd1 vssd1 vccd1 vccd1 _216_/HI _216_/LO sky130_fd_sc_ls__conb_1
+X_147_ vssd1 vssd1 vccd1 vccd1 _147_/HI _147_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_078_ vssd1 vssd1 vccd1 vccd1 _078_/HI _078_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput108 la_data_in[47] vssd1 vssd1 vccd1 vccd1 input108/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_76_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput119 la_data_in[57] vssd1 vssd1 vccd1 vccd1 input119/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_69_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_125 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_114 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_103 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_169 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XANTENNA_70 _052_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_92 AOI21X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_81 _055_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+X_001_ vssd1 vssd1 vccd1 vccd1 _001_/HI _001_/LO sky130_fd_sc_ls__conb_1
+XFILLER_20_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_535 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_5 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_670 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_62_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_681 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_692 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_7_32 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_7_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_58_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_199 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput90 la_data_in[30] vssd1 vssd1 vccd1 vccd1 input90/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_1_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_76_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_280 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_144 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_63_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_17 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_420 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_22_464 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_306 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_1_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_45_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XOR2X1 vccd1 vssd1 OR2X1/Y OR2X1/A OR2X1/B OR2X1
+XFILLER_9_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput280 la_oenb[87] vssd1 vssd1 vccd1 vccd1 input280/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_36_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput291 la_oenb[97] vssd1 vssd1 vccd1 vccd1 input291/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_51_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_48 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_180_ vssd1 vssd1 vccd1 vccd1 _180_/HI _180_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_13_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_77_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_117 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_36_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_515 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput562 _146_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[89] sky130_fd_sc_ls__clkbuf_2
+Xoutput540 _126_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[69] sky130_fd_sc_ls__clkbuf_2
+Xoutput551 _136_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[79] sky130_fd_sc_ls__clkbuf_2
+Xoutput573 _156_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[99] sky130_fd_sc_ls__clkbuf_2
+Xoutput595 _213_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[27] sky130_fd_sc_ls__clkbuf_2
+Xoutput584 _203_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[17] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_42_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_42_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_163_ vssd1 vssd1 vccd1 vccd1 _163_/HI _163_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_094_ vssd1 vssd1 vccd1 vccd1 _094_/HI _094_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_452 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_160 _121_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_193 _153_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_171 _131_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_182 _146_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_68_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_307 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_318 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_329 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_20_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput370 _023_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[11] sky130_fd_sc_ls__clkbuf_2
+Xoutput392 _010_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[31] sky130_fd_sc_ls__clkbuf_2
+Xoutput381 _005_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[21] sky130_fd_sc_ls__clkbuf_2
+XFILLER_19_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_256 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_863 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_852 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_841 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_830 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_896 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_885 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_874 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_11_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_215_ vssd1 vssd1 vccd1 vccd1 _215_/HI _215_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_51_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_146_ vssd1 vssd1 vccd1 vccd1 _146_/HI _146_/LO sky130_fd_sc_ls__conb_1
+X_077_ vssd1 vssd1 vccd1 vccd1 _077_/HI _077_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_80_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_175 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput109 la_data_in[48] vssd1 vssd1 vccd1 vccd1 input109/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_69_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_115 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_148 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_60 _049_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_93 _159_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_21_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_71 INVX4/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+X_000_ vssd1 vssd1 vccd1 vccd1 _000_/HI _000_/LO sky130_fd_sc_ls__conb_1
+XANTENNA_82 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_21_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_569 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_47_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_6 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_112 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_660 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_671 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_682 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_693 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_374 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_129_ vssd1 vssd1 vccd1 vccd1 _129_/HI _129_/LO sky130_fd_sc_ls__conb_1
+XFILLER_66_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_513 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_34_484 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_134 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_156 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput80 la_data_in[21] vssd1 vssd1 vccd1 vccd1 input80/X sky130_fd_sc_ls__clkbuf_1
+Xinput91 la_data_in[31] vssd1 vssd1 vccd1 vccd1 input91/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_1_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_561 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_362 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_35_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_451 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_490 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_66_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_365 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_432 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_76_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_72_343 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XOR2X2 vccd1 vssd1 OR2X2/Y OR2X2/A OR2X2/B OR2X2
+XFILLER_13_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput281 la_oenb[88] vssd1 vssd1 vccd1 vccd1 input281/X sky130_fd_sc_ls__clkbuf_1
+Xinput270 la_oenb[78] vssd1 vssd1 vccd1 vccd1 input270/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput292 la_oenb[98] vssd1 vssd1 vccd1 vccd1 input292/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_36_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_14_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_240 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_1_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_48_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_140 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput563 _068_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[8] sky130_fd_sc_ls__clkbuf_2
+Xoutput552 _067_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[7] sky130_fd_sc_ls__clkbuf_2
+Xoutput541 OR2X1/Y vssd1 vssd1 vccd1 vccd1 la_data_out[6] sky130_fd_sc_ls__clkbuf_2
+Xoutput530 _066_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[5] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput574 OR2X2/Y vssd1 vssd1 vccd1 vccd1 la_data_out[9] sky130_fd_sc_ls__clkbuf_2
+Xoutput596 _214_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[28] sky130_fd_sc_ls__clkbuf_2
+Xoutput585 _204_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[18] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_162_ vssd1 vssd1 vccd1 vccd1 _162_/HI _162_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_093_ vssd1 vssd1 vccd1 vccd1 _093_/HI _093_/LO sky130_fd_sc_ls__conb_1
+XFILLER_77_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_1_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_161 _122_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_73_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_150 _112_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_33_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_183 _147_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_194 _153_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_172 _131_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_33_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_70 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_60_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput1 io_in[0] vssd1 vssd1 vccd1 vccd1 input1/X sky130_fd_sc_ls__buf_2
+XFILLER_56_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_308 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_319 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput371 _000_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[12] sky130_fd_sc_ls__clkbuf_2
+XFILLER_10_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput393 _011_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[32] sky130_fd_sc_ls__clkbuf_2
+Xoutput382 _028_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[22] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_74_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_268 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_820 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_42_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_853 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_842 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_831 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_15_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_214_ vssd1 vssd1 vccd1 vccd1 _214_/HI _214_/LO sky130_fd_sc_ls__conb_1
+XPHY_897 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_886 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_875 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_864 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+X_145_ vssd1 vssd1 vccd1 vccd1 _145_/HI _145_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_076_ vssd1 vssd1 vccd1 vccd1 _076_/HI _076_/LO sky130_fd_sc_ls__conb_1
+XFILLER_38_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_56_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_149 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_138 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_50 AOI22X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_83 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_72 INVX4/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_61 INV/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_94 _159_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_21_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_4_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_268 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_7 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_650 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_661 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_672 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_683 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_694 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_342 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_128_ vssd1 vssd1 vccd1 vccd1 _128_/HI _128_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_059_ vssd1 vssd1 vccd1 vccd1 _059_/HI _059_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_570 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_81_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput81 la_data_in[22] vssd1 vssd1 vccd1 vccd1 input81/X sky130_fd_sc_ls__clkbuf_1
+Xinput70 la_data_in[12] vssd1 vssd1 vccd1 vccd1 input70/X sky130_fd_sc_ls__clkbuf_1
+Xinput92 la_data_in[32] vssd1 vssd1 vccd1 vccd1 input92/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_67_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_25_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_480 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_78_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_491 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_322 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_388 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_86 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_580 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_9_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_67_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_381 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput271 la_oenb[79] vssd1 vssd1 vccd1 vccd1 input271/X sky130_fd_sc_ls__clkbuf_1
+Xinput260 la_oenb[69] vssd1 vssd1 vccd1 vccd1 input260/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_63_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput293 la_oenb[99] vssd1 vssd1 vccd1 vccd1 input293/X sky130_fd_sc_ls__clkbuf_1
+Xinput282 la_oenb[89] vssd1 vssd1 vccd1 vccd1 input282/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_282 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_293 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_73_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_141 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_134 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_70 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput520 _107_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[50] sky130_fd_sc_ls__clkbuf_2
+Xoutput553 _137_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[80] sky130_fd_sc_ls__clkbuf_2
+Xoutput542 _127_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[70] sky130_fd_sc_ls__clkbuf_2
+Xoutput531 _117_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[60] sky130_fd_sc_ls__clkbuf_2
+Xoutput586 _205_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[19] sky130_fd_sc_ls__clkbuf_2
+Xoutput575 _185_/LO vssd1 vssd1 vccd1 vccd1 wbs_ack_o sky130_fd_sc_ls__clkbuf_2
+Xoutput564 _147_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[90] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_425 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput597 _215_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[29] sky130_fd_sc_ls__clkbuf_2
+XFILLER_75_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_377 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_161_ vssd1 vssd1 vccd1 vccd1 _161_/HI _161_/LO sky130_fd_sc_ls__conb_1
+XFILLER_50_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_092_ vssd1 vssd1 vccd1 vccd1 _092_/HI _092_/LO sky130_fd_sc_ls__conb_1
+XFILLER_40_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_487 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_409 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_60_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_151 _113_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_140 _097_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_18_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_184 _147_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_162 _122_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_33_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_173 _132_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_195 _155_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_81_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_244 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput2 io_in[10] vssd1 vssd1 vccd1 vccd1 input2/X sky130_fd_sc_ls__buf_2
+XFILLER_28_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_309 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput383 _006_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[23] sky130_fd_sc_ls__clkbuf_2
+Xoutput394 _012_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[33] sky130_fd_sc_ls__clkbuf_2
+Xoutput372 _001_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[13] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_19_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_810 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_15_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_854 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_843 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_832 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_821 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_887 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_876 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_865 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_213_ vssd1 vssd1 vccd1 vccd1 _213_/HI _213_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_898 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_546 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_144_ vssd1 vssd1 vccd1 vccd1 _144_/HI _144_/LO sky130_fd_sc_ls__conb_1
+XFILLER_51_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_075_ vssd1 vssd1 vccd1 vccd1 _075_/HI _075_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_295 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_65_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_239 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_18_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_199 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_128 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_117 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_40 _219_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_84 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_62 INV/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_51 BUFX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_73 _053_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_95 _162_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_21_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_75_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_420 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_114 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_30_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_640 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_651 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_662 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_62_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_673 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_684 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_695 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_310 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_7_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_127_ vssd1 vssd1 vccd1 vccd1 _127_/HI _127_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_058_ vssd1 vssd1 vccd1 vccd1 _058_/HI _058_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_515 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_53_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_61_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput60 la_data_in[119] vssd1 vssd1 vccd1 vccd1 input60/X sky130_fd_sc_ls__clkbuf_1
+Xinput71 la_data_in[13] vssd1 vssd1 vccd1 vccd1 XOR2X1/A sky130_fd_sc_ls__buf_1
+Xinput82 la_data_in[23] vssd1 vssd1 vccd1 vccd1 input82/X sky130_fd_sc_ls__clkbuf_1
+Xinput93 la_data_in[33] vssd1 vssd1 vccd1 vccd1 input93/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_57_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_431 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_52_272 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_103 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_73_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_570 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_470 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_481 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_492 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_39_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_334 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_456 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_45_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_4_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput272 la_oenb[7] vssd1 vssd1 vccd1 vccd1 input272/X sky130_fd_sc_ls__clkbuf_1
+Xinput250 la_oenb[5] vssd1 vssd1 vccd1 vccd1 input250/X sky130_fd_sc_ls__clkbuf_1
+Xinput261 la_oenb[6] vssd1 vssd1 vccd1 vccd1 input261/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_393 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput294 la_oenb[9] vssd1 vssd1 vccd1 vccd1 input294/X sky130_fd_sc_ls__clkbuf_1
+Xinput283 la_oenb[8] vssd1 vssd1 vccd1 vccd1 input283/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_460 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XINVX8 vccd1 vssd1 INVX8/Y INVX8/A INVX8
+XFILLER_39_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_153 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_54_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_197 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_80_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_50_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_515 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_474 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_24_507 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput510 _098_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[41] sky130_fd_sc_ls__clkbuf_2
+Xoutput554 _138_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[81] sky130_fd_sc_ls__clkbuf_2
+Xoutput543 _128_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[71] sky130_fd_sc_ls__clkbuf_2
+Xoutput532 _118_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[61] sky130_fd_sc_ls__clkbuf_2
+Xoutput521 _108_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[51] sky130_fd_sc_ls__clkbuf_2
+Xoutput565 _148_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[91] sky130_fd_sc_ls__clkbuf_2
+Xoutput576 _186_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[0] sky130_fd_sc_ls__clkbuf_2
+Xoutput587 _187_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[1] sky130_fd_sc_ls__clkbuf_2
+XFILLER_5_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput598 _188_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[2] sky130_fd_sc_ls__clkbuf_2
+XFILLER_74_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_160_ vssd1 vssd1 vccd1 vccd1 _160_/HI _160_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_091_ vssd1 vssd1 vccd1 vccd1 _091_/HI _091_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_77_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_18_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_141 _105_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_73_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_152 _113_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_130 _087_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_163 _125_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_185 _148_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_174 _132_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_14_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XANTENNA_196 _155_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_14_573 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_271 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput3 io_in[11] vssd1 vssd1 vccd1 vccd1 input3/X sky130_fd_sc_ls__buf_2
+XFILLER_49_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput395 _033_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[34] sky130_fd_sc_ls__clkbuf_2
+Xoutput384 _029_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[24] sky130_fd_sc_ls__clkbuf_2
+Xoutput373 _024_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[14] sky130_fd_sc_ls__clkbuf_2
+XFILLER_19_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_811 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_800 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_35_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_844 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_833 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_822 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_42_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_888 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_877 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_866 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_855 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_212_ vssd1 vssd1 vccd1 vccd1 _212_/HI _212_/LO sky130_fd_sc_ls__conb_1
+XFILLER_42_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_51_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_899 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_143_ vssd1 vssd1 vccd1 vccd1 _143_/HI _143_/LO sky130_fd_sc_ls__conb_1
+XFILLER_51_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_074_ vssd1 vssd1 vccd1 vccd1 _074_/HI _074_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_76_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_318 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_14_370 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_392 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_129 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_118 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_30 _012_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_41 _020_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_52 BUFX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_74 _053_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_63 INVX1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_21_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_96 _164_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_85 NAND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_4_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_9 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_630 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_641 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_652 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_663 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_674 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_685 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_696 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_366 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_126_ vssd1 vssd1 vccd1 vccd1 _126_/HI _126_/LO sky130_fd_sc_ls__conb_1
+X_057_ vssd1 vssd1 vccd1 vccd1 _057_/HI _057_/LO sky130_fd_sc_ls__conb_1
+XFILLER_66_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_505 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_498 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput50 la_data_in[10] vssd1 vssd1 vccd1 vccd1 input50/X sky130_fd_sc_ls__buf_1
+Xinput72 la_data_in[14] vssd1 vssd1 vccd1 vccd1 XOR2X1/B sky130_fd_sc_ls__buf_1
+Xinput61 la_data_in[11] vssd1 vssd1 vccd1 vccd1 input61/X sky130_fd_sc_ls__buf_1
+Xinput94 la_data_in[34] vssd1 vssd1 vccd1 vccd1 input94/X sky130_fd_sc_ls__clkbuf_1
+Xinput83 la_data_in[24] vssd1 vssd1 vccd1 vccd1 input83/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_181 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_32_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_148 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_75_310 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_57_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_354 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_560 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_460 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_471 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_482 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_493 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_109_ vssd1 vssd1 vccd1 vccd1 _109_/HI _109_/LO sky130_fd_sc_ls__conb_1
+XFILLER_66_343 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_346 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_424 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_1_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_129 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_13_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_40_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_361 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput262 la_oenb[70] vssd1 vssd1 vccd1 vccd1 input262/X sky130_fd_sc_ls__clkbuf_1
+Xinput251 la_oenb[60] vssd1 vssd1 vccd1 vccd1 input251/X sky130_fd_sc_ls__clkbuf_1
+Xinput240 la_oenb[50] vssd1 vssd1 vccd1 vccd1 input240/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_343 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput284 la_oenb[90] vssd1 vssd1 vccd1 vccd1 input284/X sky130_fd_sc_ls__clkbuf_1
+Xinput273 la_oenb[80] vssd1 vssd1 vccd1 vccd1 input273/X sky130_fd_sc_ls__clkbuf_1
+Xinput295 wb_clk_i vssd1 vssd1 vccd1 vccd1 input295/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_63_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_290 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_58_129 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_27_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_22_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_232 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_77_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_530 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_420 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput500 _089_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[32] sky130_fd_sc_ls__clkbuf_2
+Xoutput511 _099_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[42] sky130_fd_sc_ls__clkbuf_2
+Xoutput544 _129_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[72] sky130_fd_sc_ls__clkbuf_2
+Xoutput533 _119_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[62] sky130_fd_sc_ls__clkbuf_2
+Xoutput522 _109_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[52] sky130_fd_sc_ls__clkbuf_2
+Xoutput566 _149_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[92] sky130_fd_sc_ls__clkbuf_2
+Xoutput555 _139_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[82] sky130_fd_sc_ls__clkbuf_2
+XFILLER_5_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput577 _196_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[10] sky130_fd_sc_ls__clkbuf_2
+Xoutput599 _216_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[30] sky130_fd_sc_ls__clkbuf_2
+Xoutput588 _206_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[20] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_54_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_090_ vssd1 vssd1 vccd1 vccd1 _090_/HI _090_/LO sky130_fd_sc_ls__conb_1
+XFILLER_40_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_2_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_142 _105_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_120 _072_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_33_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_131 _088_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_175 _137_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_153 _115_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_164 _125_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_45_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_197 _186_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_186 _148_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_14_552 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput4 io_in[12] vssd1 vssd1 vccd1 vccd1 input4/X sky130_fd_sc_ls__buf_2
+XFILLER_49_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_121 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_51_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput396 _013_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[35] sky130_fd_sc_ls__clkbuf_2
+Xoutput385 _007_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[25] sky130_fd_sc_ls__clkbuf_2
+Xoutput374 _002_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[15] sky130_fd_sc_ls__clkbuf_2
+XFILLER_19_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_801 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_55_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_845 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_834 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_823 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_812 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_35_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_878 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_867 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_856 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_211_ vssd1 vssd1 vccd1 vccd1 _211_/HI _211_/LO sky130_fd_sc_ls__conb_1
+XFILLER_23_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_889 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_555 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_566 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_142_ vssd1 vssd1 vccd1 vccd1 _142_/HI _142_/LO sky130_fd_sc_ls__conb_1
+XFILLER_51_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_11_588 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_073_ vssd1 vssd1 vccd1 vccd1 _073_/HI _073_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_208 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_73_260 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_570 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_56_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_433 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_31 _012_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_20 _029_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_42 _020_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_64 INVX1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_75 AND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_53 _047_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_86 NAND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_97 _164_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_79_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_620 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_631 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_642 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_653 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_664 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_675 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_686 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_697 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_125_ vssd1 vssd1 vccd1 vccd1 _125_/HI _125_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_48 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_056_ vssd1 vssd1 vccd1 vccd1 _056_/HI _056_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_19_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_149 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput40 la_data_in[100] vssd1 vssd1 vccd1 vccd1 input40/X sky130_fd_sc_ls__clkbuf_1
+Xinput62 la_data_in[120] vssd1 vssd1 vccd1 vccd1 input62/X sky130_fd_sc_ls__clkbuf_1
+Xinput73 la_data_in[15] vssd1 vssd1 vccd1 vccd1 input73/X sky130_fd_sc_ls__clkbuf_1
+Xinput51 la_data_in[110] vssd1 vssd1 vccd1 vccd1 input51/X sky130_fd_sc_ls__clkbuf_1
+Xinput84 la_data_in[25] vssd1 vssd1 vccd1 vccd1 input84/X sky130_fd_sc_ls__clkbuf_1
+Xinput95 la_data_in[35] vssd1 vssd1 vccd1 vccd1 input95/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_69_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_550 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_52_230 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_57_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_73_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_594 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_450 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_461 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_43_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_472 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_483 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_494 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_108_ vssd1 vssd1 vccd1 vccd1 _108_/HI _108_/LO sky130_fd_sc_ls__conb_1
+X_039_ vssd1 vssd1 vccd1 vccd1 _039_/HI _039_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_76_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_123 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_156 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_4_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_67_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_373 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput263 la_oenb[71] vssd1 vssd1 vccd1 vccd1 input263/X sky130_fd_sc_ls__clkbuf_1
+Xinput252 la_oenb[61] vssd1 vssd1 vccd1 vccd1 input252/X sky130_fd_sc_ls__clkbuf_1
+Xinput241 la_oenb[51] vssd1 vssd1 vccd1 vccd1 input241/X sky130_fd_sc_ls__clkbuf_1
+Xinput230 la_oenb[41] vssd1 vssd1 vccd1 vccd1 input230/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput285 la_oenb[91] vssd1 vssd1 vccd1 vccd1 input285/X sky130_fd_sc_ls__clkbuf_1
+Xinput274 la_oenb[81] vssd1 vssd1 vccd1 vccd1 input274/X sky130_fd_sc_ls__clkbuf_1
+Xinput296 wb_rst_i vssd1 vssd1 vccd1 vccd1 input296/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_36_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_280 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_291 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_76_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_72_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_476 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_79_95 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput501 _090_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[33] sky130_fd_sc_ls__clkbuf_2
+Xoutput545 _130_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[73] sky130_fd_sc_ls__clkbuf_2
+Xoutput534 _120_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[63] sky130_fd_sc_ls__clkbuf_2
+Xoutput523 _110_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[53] sky130_fd_sc_ls__clkbuf_2
+Xoutput512 _100_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[43] sky130_fd_sc_ls__clkbuf_2
+Xoutput567 _150_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[93] sky130_fd_sc_ls__clkbuf_2
+Xoutput556 _140_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[83] sky130_fd_sc_ls__clkbuf_2
+Xoutput578 _197_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[11] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_417 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput589 _207_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[21] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_67_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_369 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_424 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_77_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_479 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_77_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_143 _107_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_132 _088_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_110 _177_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_33_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_121 _078_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_165 _127_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_176 _137_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_154 _115_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_60_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_198 _186_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_187 _149_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_81_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_236 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput5 io_in[13] vssd1 vssd1 vccd1 vccd1 input5/X sky130_fd_sc_ls__buf_2
+XFILLER_64_442 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_464 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XBUFX2 vccd1 vssd1 BUFX2/Y BUFX2/A BUFX2
+Xoutput386 _030_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[26] sky130_fd_sc_ls__clkbuf_2
+Xoutput375 _025_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[16] sky130_fd_sc_ls__clkbuf_2
+Xoutput397 _014_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[36] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_59_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_802 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_15_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_835 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_824 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_813 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_42_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_879 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_868 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_857 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_846 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_210_ vssd1 vssd1 vccd1 vccd1 _210_/HI _210_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_141_ vssd1 vssd1 vccd1 vccd1 _141_/HI _141_/LO sky130_fd_sc_ls__conb_1
+XFILLER_51_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_538 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_516 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_51_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_072_ vssd1 vssd1 vccd1 vccd1 _072_/HI _072_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_287 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_272 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_61_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XANTENNA_21 _008_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_32 _033_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_10 _004_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_32_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_54 _047_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_65 _051_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_43 _043_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_76 AND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_98 _069_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_87 AND2X2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_21_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_103 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_610 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_128 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_621 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_632 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_43_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_643 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_654 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_665 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_676 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_687 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_698 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_11_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_124_ vssd1 vssd1 vccd1 vccd1 _124_/HI _124_/LO sky130_fd_sc_ls__conb_1
+X_055_ vssd1 vssd1 vccd1 vccd1 _055_/HI _055_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_563 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput30 io_in[36] vssd1 vssd1 vccd1 vccd1 input30/X sky130_fd_sc_ls__clkbuf_4
+Xinput63 la_data_in[121] vssd1 vssd1 vccd1 vccd1 input63/X sky130_fd_sc_ls__clkbuf_1
+Xinput41 la_data_in[101] vssd1 vssd1 vccd1 vccd1 input41/X sky130_fd_sc_ls__clkbuf_1
+Xinput52 la_data_in[111] vssd1 vssd1 vccd1 vccd1 input52/X sky130_fd_sc_ls__clkbuf_1
+Xinput96 la_data_in[36] vssd1 vssd1 vccd1 vccd1 input96/X sky130_fd_sc_ls__clkbuf_1
+Xinput74 la_data_in[16] vssd1 vssd1 vccd1 vccd1 input74/X sky130_fd_sc_ls__clkbuf_1
+Xinput85 la_data_in[26] vssd1 vssd1 vccd1 vccd1 input85/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_69_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_423 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_533 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_48_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_378 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_73_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_31_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_440 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_451 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_462 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_459 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_473 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_484 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_495 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_78_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_107_ vssd1 vssd1 vccd1 vccd1 _107_/HI _107_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_038_ vssd1 vssd1 vccd1 vccd1 _038_/HI _038_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_337 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_448 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_72_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_584 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput220 la_oenb[32] vssd1 vssd1 vccd1 vccd1 input220/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput253 la_oenb[62] vssd1 vssd1 vccd1 vccd1 input253/X sky130_fd_sc_ls__clkbuf_1
+Xinput242 la_oenb[52] vssd1 vssd1 vccd1 vccd1 input242/X sky130_fd_sc_ls__clkbuf_1
+Xinput231 la_oenb[42] vssd1 vssd1 vccd1 vccd1 input231/X sky130_fd_sc_ls__clkbuf_1
+Xinput286 la_oenb[92] vssd1 vssd1 vccd1 vccd1 input286/X sky130_fd_sc_ls__clkbuf_1
+Xinput275 la_oenb[82] vssd1 vssd1 vccd1 vccd1 input275/X sky130_fd_sc_ls__clkbuf_1
+Xinput264 la_oenb[72] vssd1 vssd1 vccd1 vccd1 input264/X sky130_fd_sc_ls__clkbuf_1
+Xinput297 wbs_adr_i[0] vssd1 vssd1 vccd1 vccd1 input297/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_286 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_270 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_281 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_292 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_452 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_3_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_35_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_212 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_35_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_50_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_50_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_77_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_30 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_5_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_193 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_156 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput502 _091_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[34] sky130_fd_sc_ls__clkbuf_2
+Xoutput535 _121_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[64] sky130_fd_sc_ls__clkbuf_2
+Xoutput513 _101_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[44] sky130_fd_sc_ls__clkbuf_2
+Xoutput524 _111_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[54] sky130_fd_sc_ls__clkbuf_2
+Xoutput557 _141_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[84] sky130_fd_sc_ls__clkbuf_2
+Xoutput546 _131_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[74] sky130_fd_sc_ls__clkbuf_2
+Xoutput568 _151_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[94] sky130_fd_sc_ls__clkbuf_2
+Xoutput579 _198_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[12] sky130_fd_sc_ls__clkbuf_2
+XFILLER_23_543 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_100 _167_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_65_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_18_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_133 _089_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_122 _078_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_111 _179_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_166 _127_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_155 _115_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_144 _107_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_14_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XNAND3X1 vccd1 vssd1 NAND3X1/Y input89/X input78/X input39/X NAND3X1
+XANTENNA_199 _196_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_177 _138_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_188 _149_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_14_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_68_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput6 io_in[14] vssd1 vssd1 vccd1 vccd1 input6/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_49_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_51_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_580 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput387 _008_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[27] sky130_fd_sc_ls__clkbuf_2
+Xoutput376 _003_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[17] sky130_fd_sc_ls__clkbuf_2
+Xoutput398 _034_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[37] sky130_fd_sc_ls__clkbuf_2
+XFILLER_19_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_836 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_825 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_814 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_803 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_869 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_858 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_847 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_546 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+X_140_ vssd1 vssd1 vccd1 vccd1 _140_/HI _140_/LO sky130_fd_sc_ls__conb_1
+XFILLER_51_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_071_ vssd1 vssd1 vccd1 vccd1 _071_/HI _071_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_211 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_51_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_11 _004_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_22 _031_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_33 _033_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_44 _043_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_66 _051_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_55 BUFX4/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_88 AND2X2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_99 _069_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XINV vccd1 vssd1 INV/Y INV/A INV
+XANTENNA_77 INVX8/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_4_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_600 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_611 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_43_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_622 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_633 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_644 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_62_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_655 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_666 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_677 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_490 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_688 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_699 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_123_ vssd1 vssd1 vccd1 vccd1 _123_/HI _123_/LO sky130_fd_sc_ls__conb_1
+X_054_ vssd1 vssd1 vccd1 vccd1 _054_/HI _054_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_358 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_586 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_118 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput20 io_in[27] vssd1 vssd1 vccd1 vccd1 INVX4/A sky130_fd_sc_ls__clkbuf_4
+Xinput31 io_in[37] vssd1 vssd1 vccd1 vccd1 input31/X sky130_fd_sc_ls__clkbuf_1
+Xinput64 la_data_in[122] vssd1 vssd1 vccd1 vccd1 input64/X sky130_fd_sc_ls__clkbuf_1
+Xinput53 la_data_in[112] vssd1 vssd1 vccd1 vccd1 input53/X sky130_fd_sc_ls__clkbuf_1
+Xinput42 la_data_in[102] vssd1 vssd1 vccd1 vccd1 input42/X sky130_fd_sc_ls__clkbuf_1
+Xinput97 la_data_in[37] vssd1 vssd1 vccd1 vccd1 input97/X sky130_fd_sc_ls__clkbuf_1
+Xinput75 la_data_in[17] vssd1 vssd1 vccd1 vccd1 input75/X sky130_fd_sc_ls__clkbuf_1
+Xinput86 la_data_in[27] vssd1 vssd1 vccd1 vccd1 input86/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_6_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_346 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_16_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_430 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_441 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_452 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_1040 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_463 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_474 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_485 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_496 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_106_ vssd1 vssd1 vccd1 vccd1 _106_/HI _106_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_199 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_037_ vssd1 vssd1 vccd1 vccd1 _037_/HI _037_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_19_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_30_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput210 la_oenb[23] vssd1 vssd1 vccd1 vccd1 input210/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_353 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput254 la_oenb[63] vssd1 vssd1 vccd1 vccd1 input254/X sky130_fd_sc_ls__clkbuf_1
+Xinput221 la_oenb[33] vssd1 vssd1 vccd1 vccd1 input221/X sky130_fd_sc_ls__clkbuf_1
+Xinput243 la_oenb[53] vssd1 vssd1 vccd1 vccd1 input243/X sky130_fd_sc_ls__clkbuf_1
+Xinput232 la_oenb[43] vssd1 vssd1 vccd1 vccd1 input232/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput287 la_oenb[93] vssd1 vssd1 vccd1 vccd1 input287/X sky130_fd_sc_ls__clkbuf_1
+Xinput276 la_oenb[83] vssd1 vssd1 vccd1 vccd1 input276/X sky130_fd_sc_ls__clkbuf_1
+Xinput265 la_oenb[73] vssd1 vssd1 vccd1 vccd1 input265/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_63_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput298 wbs_adr_i[10] vssd1 vssd1 vccd1 vccd1 input298/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_260 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_271 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_282 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_293 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_113 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_224 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_408 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_508 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_45_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_70_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_76_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput514 _102_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[45] sky130_fd_sc_ls__clkbuf_2
+Xoutput536 _122_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[65] sky130_fd_sc_ls__clkbuf_2
+Xoutput503 _092_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[35] sky130_fd_sc_ls__clkbuf_2
+Xoutput525 _112_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[55] sky130_fd_sc_ls__clkbuf_2
+Xoutput569 _152_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[95] sky130_fd_sc_ls__clkbuf_2
+Xoutput558 _142_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[85] sky130_fd_sc_ls__clkbuf_2
+Xoutput547 _132_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[75] sky130_fd_sc_ls__clkbuf_2
+XFILLER_67_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_319 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_511 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_112 _180_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_65_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_123 _079_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_101 _167_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_134 _089_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_33_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_145 _108_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_156 _117_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_167 _128_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_53_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_189 _150_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_178 _138_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_81_76 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_41_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput7 io_in[15] vssd1 vssd1 vccd1 vccd1 BUFX2/A sky130_fd_sc_ls__buf_2
+XFILLER_64_444 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_64_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_113 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XBUFX4 vccd1 vssd1 BUFX4/Y BUFX4/A BUFX4
+Xoutput377 _026_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[18] sky130_fd_sc_ls__clkbuf_2
+Xoutput388 _031_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[28] sky130_fd_sc_ls__clkbuf_2
+Xoutput399 _017_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[3] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_227 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_59_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_102 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_826 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_815 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_804 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_35_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_859 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_848 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_837 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_070_ vssd1 vssd1 vccd1 vccd1 _070_/HI _070_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_252 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_18_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_562 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_199_ vssd1 vssd1 vccd1 vccd1 _199_/HI _199_/LO sky130_fd_sc_ls__conb_1
+XFILLER_44_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_425 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_23 _031_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_12 _016_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_32_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_56 BUFX4/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_34 _013_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_45 _044_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_78 INVX8/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_89 _039_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_67 INVX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_75_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_601 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_43_436 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_612 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_623 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_634 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_645 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_656 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_667 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_678 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_689 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_122_ vssd1 vssd1 vccd1 vccd1 _122_/HI _122_/LO sky130_fd_sc_ls__conb_1
+X_053_ vssd1 vssd1 vccd1 vccd1 _053_/HI _053_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_510 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_3_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_78_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_74_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_34_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput21 io_in[28] vssd1 vssd1 vccd1 vccd1 input21/X sky130_fd_sc_ls__clkbuf_1
+Xinput10 io_in[18] vssd1 vssd1 vccd1 vccd1 input10/X sky130_fd_sc_ls__clkbuf_1
+Xinput32 io_in[3] vssd1 vssd1 vccd1 vccd1 AND2X2/A sky130_fd_sc_ls__buf_2
+Xinput43 la_data_in[103] vssd1 vssd1 vccd1 vccd1 input43/X sky130_fd_sc_ls__clkbuf_1
+Xinput54 la_data_in[113] vssd1 vssd1 vccd1 vccd1 input54/X sky130_fd_sc_ls__clkbuf_1
+Xinput65 la_data_in[123] vssd1 vssd1 vccd1 vccd1 input65/X sky130_fd_sc_ls__clkbuf_1
+Xinput87 la_data_in[28] vssd1 vssd1 vccd1 vccd1 input87/X sky130_fd_sc_ls__clkbuf_1
+Xinput76 la_data_in[18] vssd1 vssd1 vccd1 vccd1 input76/X sky130_fd_sc_ls__clkbuf_1
+Xinput98 la_data_in[38] vssd1 vssd1 vccd1 vccd1 input98/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_69_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_80_542 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_222 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_288 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_513 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_211 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_586 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_16_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_420 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_431 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_442 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_453 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1041 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1030 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_464 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_475 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_486 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_497 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_105_ vssd1 vssd1 vccd1 vccd1 _105_/HI _105_/LO sky130_fd_sc_ls__conb_1
+XFILLER_22_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_036_ vssd1 vssd1 vccd1 vccd1 _036_/HI _036_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_317 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_47_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_450 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_8_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_115 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_148 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_68_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput200 la_oenb[14] vssd1 vssd1 vccd1 vccd1 input200/X sky130_fd_sc_ls__clkbuf_1
+Xinput211 la_oenb[24] vssd1 vssd1 vccd1 vccd1 input211/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput222 la_oenb[34] vssd1 vssd1 vccd1 vccd1 input222/X sky130_fd_sc_ls__clkbuf_1
+Xinput244 la_oenb[54] vssd1 vssd1 vccd1 vccd1 input244/X sky130_fd_sc_ls__clkbuf_1
+Xinput233 la_oenb[44] vssd1 vssd1 vccd1 vccd1 input233/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_365 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput288 la_oenb[94] vssd1 vssd1 vccd1 vccd1 input288/X sky130_fd_sc_ls__clkbuf_1
+Xinput266 la_oenb[74] vssd1 vssd1 vccd1 vccd1 input266/X sky130_fd_sc_ls__clkbuf_1
+Xinput255 la_oenb[64] vssd1 vssd1 vccd1 vccd1 input255/X sky130_fd_sc_ls__clkbuf_1
+Xinput277 la_oenb[84] vssd1 vssd1 vccd1 vccd1 input277/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_36_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput299 wbs_adr_i[11] vssd1 vssd1 vccd1 vccd1 input299/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_63_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_261 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_250 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_421 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_272 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_283 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_294 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_019_ vssd1 vssd1 vccd1 vccd1 _019_/HI _019_/LO sky130_fd_sc_ls__conb_1
+XFILLER_66_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_280 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_1_118 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_180 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_87 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_48_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput526 _113_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[56] sky130_fd_sc_ls__clkbuf_2
+Xoutput515 _103_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[46] sky130_fd_sc_ls__clkbuf_2
+Xoutput504 _093_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[36] sky130_fd_sc_ls__clkbuf_2
+Xoutput559 _143_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[86] sky130_fd_sc_ls__clkbuf_2
+Xoutput548 _133_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[76] sky130_fd_sc_ls__clkbuf_2
+Xoutput537 _123_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[66] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_409 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_62_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_124 _079_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_102 _169_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_113 _180_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_73_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_135 _092_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_157 _118_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_146 _108_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_60_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_168 _128_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_179 _145_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_41_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_66 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput8 io_in[16] vssd1 vssd1 vccd1 vccd1 input8/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_36_103 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_456 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput378 _004_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[19] sky130_fd_sc_ls__clkbuf_2
+Xoutput389 _009_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[29] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_827 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_816 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_805 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_849 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_838 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_125 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_70 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_592 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_198_ vssd1 vssd1 vccd1 vccd1 _198_/HI _198_/LO sky130_fd_sc_ls__conb_1
+XFILLER_69_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_13 _016_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_35 _013_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_24 _009_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_46 _044_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_57 CLKBUF1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_68 INVX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_79 _055_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_602 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_613 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_624 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_635 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_646 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_657 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_668 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_121_ vssd1 vssd1 vccd1 vccd1 _121_/HI _121_/LO sky130_fd_sc_ls__conb_1
+XPHY_679 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_052_ vssd1 vssd1 vccd1 vccd1 _052_/HI _052_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_74_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_74_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput22 io_in[29] vssd1 vssd1 vccd1 vccd1 INVX8/A sky130_fd_sc_ls__clkbuf_4
+Xinput11 io_in[19] vssd1 vssd1 vccd1 vccd1 input11/X sky130_fd_sc_ls__buf_2
+Xinput33 io_in[4] vssd1 vssd1 vccd1 vccd1 AND2X2/B sky130_fd_sc_ls__buf_2
+Xinput44 la_data_in[104] vssd1 vssd1 vccd1 vccd1 input44/X sky130_fd_sc_ls__clkbuf_1
+Xinput55 la_data_in[114] vssd1 vssd1 vccd1 vccd1 input55/X sky130_fd_sc_ls__clkbuf_1
+Xinput66 la_data_in[124] vssd1 vssd1 vccd1 vccd1 input66/X sky130_fd_sc_ls__clkbuf_1
+Xinput77 la_data_in[19] vssd1 vssd1 vccd1 vccd1 input77/X sky130_fd_sc_ls__clkbuf_1
+Xinput88 la_data_in[29] vssd1 vssd1 vccd1 vccd1 input88/X sky130_fd_sc_ls__clkbuf_1
+Xinput99 la_data_in[39] vssd1 vssd1 vccd1 vccd1 input99/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_65_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_415 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_0_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_0_569 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_56_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_56_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_459 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_410 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_43_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_421 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_432 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_443 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_1031 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1020 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_454 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_465 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_476 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1042 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_487 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_498 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_104_ vssd1 vssd1 vccd1 vccd1 _104_/HI _104_/LO sky130_fd_sc_ls__conb_1
+X_035_ vssd1 vssd1 vccd1 vccd1 _035_/HI _035_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_62_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput201 la_oenb[15] vssd1 vssd1 vccd1 vccd1 input201/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_333 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput234 la_oenb[45] vssd1 vssd1 vccd1 vccd1 input234/X sky130_fd_sc_ls__clkbuf_1
+Xinput223 la_oenb[35] vssd1 vssd1 vccd1 vccd1 input223/X sky130_fd_sc_ls__clkbuf_1
+Xinput245 la_oenb[55] vssd1 vssd1 vccd1 vccd1 input245/X sky130_fd_sc_ls__clkbuf_1
+Xinput212 la_oenb[25] vssd1 vssd1 vccd1 vccd1 input212/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput278 la_oenb[85] vssd1 vssd1 vccd1 vccd1 input278/X sky130_fd_sc_ls__clkbuf_1
+Xinput267 la_oenb[75] vssd1 vssd1 vccd1 vccd1 input267/X sky130_fd_sc_ls__clkbuf_1
+Xinput256 la_oenb[65] vssd1 vssd1 vccd1 vccd1 input256/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput289 la_oenb[95] vssd1 vssd1 vccd1 vccd1 input289/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_251 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_240 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_262 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_273 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_284 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_295 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_444 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_018_ vssd1 vssd1 vccd1 vccd1 _018_/HI _018_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_543 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_502 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_80_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_13_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_546 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_21_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_436 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_141 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_185 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_63_148 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_543 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput505 _094_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[37] sky130_fd_sc_ls__clkbuf_2
+Xoutput527 _114_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[57] sky130_fd_sc_ls__clkbuf_2
+Xoutput516 _104_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[47] sky130_fd_sc_ls__clkbuf_2
+Xoutput549 _134_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[77] sky130_fd_sc_ls__clkbuf_2
+Xoutput538 _124_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[67] sky130_fd_sc_ls__clkbuf_2
+XFILLER_5_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_535 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_343 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_73_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_103 _170_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_125 _080_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_45_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_114 _181_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_136 _092_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_158 _118_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_14_513 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_147 _109_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_169 _129_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_14_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_68_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput9 io_in[17] vssd1 vssd1 vccd1 vccd1 BUFX4/A sky130_fd_sc_ls__buf_2
+XFILLER_76_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_32_398 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput368 _015_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[0] sky130_fd_sc_ls__clkbuf_2
+Xoutput379 _016_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[1] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_817 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_806 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_310 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_839 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_828 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_2_203 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_46_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_71 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_197_ vssd1 vssd1 vccd1 vccd1 _197_/HI _197_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_571 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_14 _005_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_25 _218_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_36 _014_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_47 _045_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_58 CLKBUF1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_69 _052_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_55_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_603 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_614 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_625 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_62_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_636 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_647 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_658 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_669 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_482 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_120_ vssd1 vssd1 vccd1 vccd1 _120_/HI _120_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_051_ vssd1 vssd1 vccd1 vccd1 _051_/HI _051_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_578 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput12 io_in[1] vssd1 vssd1 vccd1 vccd1 AND2X1/B sky130_fd_sc_ls__buf_2
+Xinput34 io_in[5] vssd1 vssd1 vccd1 vccd1 input34/X sky130_fd_sc_ls__clkbuf_1
+Xinput23 io_in[2] vssd1 vssd1 vccd1 vccd1 input23/X sky130_fd_sc_ls__clkbuf_1
+Xinput45 la_data_in[105] vssd1 vssd1 vccd1 vccd1 input45/X sky130_fd_sc_ls__clkbuf_1
+Xinput67 la_data_in[125] vssd1 vssd1 vccd1 vccd1 input67/X sky130_fd_sc_ls__clkbuf_1
+Xinput78 la_data_in[1] vssd1 vssd1 vccd1 vccd1 input78/X sky130_fd_sc_ls__clkbuf_1
+Xinput89 la_data_in[2] vssd1 vssd1 vccd1 vccd1 input89/X sky130_fd_sc_ls__clkbuf_1
+Xinput56 la_data_in[115] vssd1 vssd1 vccd1 vccd1 input56/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_6_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_52_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_52_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_75_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_338 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_400 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_411 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_422 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_433 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_444 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1032 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1021 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1010 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_455 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_466 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_477 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1043 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_488 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_499 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_103_ vssd1 vssd1 vccd1 vccd1 _103_/HI _103_/LO sky130_fd_sc_ls__conb_1
+X_034_ vssd1 vssd1 vccd1 vccd1 _034_/HI _034_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_452 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_30_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_319 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_566 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_396 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput202 la_oenb[16] vssd1 vssd1 vccd1 vccd1 input202/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_345 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput235 la_oenb[46] vssd1 vssd1 vccd1 vccd1 input235/X sky130_fd_sc_ls__clkbuf_1
+Xinput213 la_oenb[26] vssd1 vssd1 vccd1 vccd1 input213/X sky130_fd_sc_ls__clkbuf_1
+Xinput224 la_oenb[36] vssd1 vssd1 vccd1 vccd1 input224/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_389 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput257 la_oenb[66] vssd1 vssd1 vccd1 vccd1 input257/X sky130_fd_sc_ls__clkbuf_1
+Xinput246 la_oenb[56] vssd1 vssd1 vccd1 vccd1 input246/X sky130_fd_sc_ls__clkbuf_1
+Xinput279 la_oenb[86] vssd1 vssd1 vccd1 vccd1 input279/X sky130_fd_sc_ls__clkbuf_1
+Xinput268 la_oenb[76] vssd1 vssd1 vccd1 vccd1 input268/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_252 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_241 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_230 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_263 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_274 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_285 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_296 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_017_ vssd1 vssd1 vccd1 vccd1 _017_/HI _017_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_511 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_149 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_216 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_260 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_282 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_57_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_514 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_209 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_197 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput517 _105_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[48] sky130_fd_sc_ls__clkbuf_2
+Xoutput506 _095_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[38] sky130_fd_sc_ls__clkbuf_2
+XFILLER_5_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xoutput539 _125_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[68] sky130_fd_sc_ls__clkbuf_2
+Xoutput528 _115_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[58] sky130_fd_sc_ls__clkbuf_2
+XFILLER_5_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_50_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XAOI22X1 vccd1 vssd1 AOI22X1/Y input5/X input3/X input4/X input2/X AOI22X1
+XFILLER_50_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_104 _170_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_115 _181_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_137 _096_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_148 _109_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_126 _080_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_491 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_159 _121_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_53_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_51_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_573 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput369 _022_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[10] sky130_fd_sc_ls__clkbuf_2
+XFILLER_27_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_818 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_807 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_35_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_829 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_185 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_50 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_388 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_25_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_94 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_550 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+X_196_ vssd1 vssd1 vccd1 vccd1 _196_/HI _196_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_554 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_15 _005_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_48 _045_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_26 _218_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_37 _014_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_59 _049_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_68_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_428 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_604 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_615 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_626 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_637 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_648 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_659 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_7_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+X_050_ vssd1 vssd1 vccd1 vccd1 _050_/HI _050_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput13 io_in[20] vssd1 vssd1 vccd1 vccd1 input13/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_52_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_52_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput24 io_in[30] vssd1 vssd1 vccd1 vccd1 input24/X sky130_fd_sc_ls__clkbuf_1
+Xinput35 io_in[6] vssd1 vssd1 vccd1 vccd1 input35/X sky130_fd_sc_ls__clkbuf_2
+Xinput46 la_data_in[106] vssd1 vssd1 vccd1 vccd1 input46/X sky130_fd_sc_ls__clkbuf_1
+Xinput68 la_data_in[126] vssd1 vssd1 vccd1 vccd1 input68/X sky130_fd_sc_ls__clkbuf_1
+Xinput57 la_data_in[116] vssd1 vssd1 vccd1 vccd1 input57/X sky130_fd_sc_ls__clkbuf_1
+Xinput79 la_data_in[20] vssd1 vssd1 vccd1 vccd1 input79/X sky130_fd_sc_ls__clkbuf_1
+X_179_ vssd1 vssd1 vccd1 vccd1 _179_/HI _179_/LO sky130_fd_sc_ls__conb_1
+XFILLER_42_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_52_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_505 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_73_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_534 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_71_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_43_203 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_401 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_71_578 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_412 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_423 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_434 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_43_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_1022 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1011 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1000 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_445 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_456 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_467 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1044 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1033 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_478 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_489 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_102_ vssd1 vssd1 vccd1 vccd1 _102_/HI _102_/LO sky130_fd_sc_ls__conb_1
+X_033_ vssd1 vssd1 vccd1 vccd1 _033_/HI _033_/LO sky130_fd_sc_ls__conb_1
+XFILLER_22_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_309 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_990 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_464 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_69_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_578 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput225 la_oenb[37] vssd1 vssd1 vccd1 vccd1 input225/X sky130_fd_sc_ls__clkbuf_1
+Xinput236 la_oenb[47] vssd1 vssd1 vccd1 vccd1 input236/X sky130_fd_sc_ls__clkbuf_1
+Xinput214 la_oenb[27] vssd1 vssd1 vccd1 vccd1 input214/X sky130_fd_sc_ls__clkbuf_1
+Xinput203 la_oenb[17] vssd1 vssd1 vccd1 vccd1 input203/X sky130_fd_sc_ls__clkbuf_1
+Xinput269 la_oenb[77] vssd1 vssd1 vccd1 vccd1 input269/X sky130_fd_sc_ls__clkbuf_1
+Xinput258 la_oenb[67] vssd1 vssd1 vccd1 vccd1 input258/X sky130_fd_sc_ls__clkbuf_1
+Xinput247 la_oenb[57] vssd1 vssd1 vccd1 vccd1 input247/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_242 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_231 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_220 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_264 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_253 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_275 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_286 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_297 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_016_ vssd1 vssd1 vccd1 vccd1 _016_/HI _016_/LO sky130_fd_sc_ls__conb_1
+XFILLER_66_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_74_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_62_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_294 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_405 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_121 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_8_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput518 _106_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[49] sky130_fd_sc_ls__clkbuf_2
+Xoutput507 _096_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[39] sky130_fd_sc_ls__clkbuf_2
+Xoutput529 _116_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[59] sky130_fd_sc_ls__clkbuf_2
+XFILLER_5_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_62_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_58_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_73_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_105 _170_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_116 _181_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_149 _111_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_138 _096_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_127 _084_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_81_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_73 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_448 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_67_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_808 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_819 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_50_153 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_117 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_61_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_62 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_73 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_378 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_95 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_195_ vssd1 vssd1 vccd1 vccd1 _195_/HI _195_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_41_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_573 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_2_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_64_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_60_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_16 _005_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_38 _034_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_27 _032_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_49 AOI22X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_605 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_616 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_627 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_638 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_649 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput25 io_in[31] vssd1 vssd1 vccd1 vccd1 MUX2X1/A sky130_fd_sc_ls__clkbuf_4
+Xinput14 io_in[21] vssd1 vssd1 vccd1 vccd1 INV/A sky130_fd_sc_ls__buf_2
+Xinput36 io_in[7] vssd1 vssd1 vccd1 vccd1 input36/X sky130_fd_sc_ls__buf_2
+XFILLER_6_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput69 la_data_in[127] vssd1 vssd1 vccd1 vccd1 input69/X sky130_fd_sc_ls__clkbuf_1
+Xinput47 la_data_in[107] vssd1 vssd1 vccd1 vccd1 input47/X sky130_fd_sc_ls__clkbuf_1
+Xinput58 la_data_in[117] vssd1 vssd1 vccd1 vccd1 input58/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_10_392 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_178_ vssd1 vssd1 vccd1 vccd1 _178_/HI _178_/LO sky130_fd_sc_ls__conb_1
+XFILLER_35_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_402 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_413 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_424 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_435 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1023 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1012 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1001 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_446 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_457 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_468 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1045 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1034 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_101_ vssd1 vssd1 vccd1 vccd1 _101_/HI _101_/LO sky130_fd_sc_ls__conb_1
+XPHY_479 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_7_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_032_ vssd1 vssd1 vccd1 vccd1 _032_/HI _032_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_980 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_991 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_38_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_387 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_21_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_48 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_325 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput226 la_oenb[38] vssd1 vssd1 vccd1 vccd1 input226/X sky130_fd_sc_ls__clkbuf_1
+Xinput215 la_oenb[28] vssd1 vssd1 vccd1 vccd1 input215/X sky130_fd_sc_ls__clkbuf_1
+Xinput204 la_oenb[18] vssd1 vssd1 vccd1 vccd1 input204/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput259 la_oenb[68] vssd1 vssd1 vccd1 vccd1 input259/X sky130_fd_sc_ls__clkbuf_1
+Xinput237 la_oenb[48] vssd1 vssd1 vccd1 vccd1 input237/X sky130_fd_sc_ls__clkbuf_1
+Xinput248 la_oenb[58] vssd1 vssd1 vccd1 vccd1 input248/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_210 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_44_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_243 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_232 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_221 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_265 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_254 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_276 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_436 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_287 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_298 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_015_ vssd1 vssd1 vccd1 vccd1 _015_/HI _015_/LO sky130_fd_sc_ls__conb_1
+XFILLER_79_432 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_535 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_13_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_538 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_428 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_0_177 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_535 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_95 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_9 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput508 NAND3X1/Y vssd1 vssd1 vccd1 vccd1 la_data_out[3] sky130_fd_sc_ls__clkbuf_2
+Xoutput519 _065_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[4] sky130_fd_sc_ls__clkbuf_2
+XFILLER_5_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_527 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_50_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_49_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_106 _172_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_117 _182_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_128 _084_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_14_505 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_139 _097_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_81_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_81_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_64_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_129 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_571 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_65_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_809 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_268 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_490 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_30 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_290 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_63 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_194_ vssd1 vssd1 vccd1 vccd1 _194_/HI _194_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_1_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_17 _006_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_28 _032_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_39 _219_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_9_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_408 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_70_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_606 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_617 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_628 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_639 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_3_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput26 io_in[32] vssd1 vssd1 vccd1 vccd1 MUX2X1/B sky130_fd_sc_ls__clkbuf_4
+Xinput15 io_in[22] vssd1 vssd1 vccd1 vccd1 input15/X sky130_fd_sc_ls__clkbuf_1
+Xinput37 io_in[8] vssd1 vssd1 vccd1 vccd1 input37/X sky130_fd_sc_ls__buf_2
+XFILLER_6_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_177_ vssd1 vssd1 vccd1 vccd1 _177_/HI _177_/LO sky130_fd_sc_ls__conb_1
+Xinput48 la_data_in[108] vssd1 vssd1 vccd1 vccd1 input48/X sky130_fd_sc_ls__clkbuf_1
+Xinput59 la_data_in[118] vssd1 vssd1 vccd1 vccd1 input59/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_6_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_558 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_52_238 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_529 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_511 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_28_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_24_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_403 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_414 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_425 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1013 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1002 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_436 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_447 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_458 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1035 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1024 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_469 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_100_ vssd1 vssd1 vccd1 vccd1 _100_/HI _100_/LO sky130_fd_sc_ls__conb_1
+XFILLER_22_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_031_ vssd1 vssd1 vccd1 vccd1 _031_/HI _031_/LO sky130_fd_sc_ls__conb_1
+XFILLER_22_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_78_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_319 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_74_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_34_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_981 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_970 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_992 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_558 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_337 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput227 la_oenb[39] vssd1 vssd1 vccd1 vccd1 input227/X sky130_fd_sc_ls__clkbuf_1
+Xinput216 la_oenb[29] vssd1 vssd1 vccd1 vccd1 input216/X sky130_fd_sc_ls__clkbuf_1
+Xinput205 la_oenb[19] vssd1 vssd1 vccd1 vccd1 input205/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput238 la_oenb[49] vssd1 vssd1 vccd1 vccd1 input238/X sky130_fd_sc_ls__clkbuf_1
+Xinput249 la_oenb[59] vssd1 vssd1 vccd1 vccd1 input249/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_319 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_71_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_200 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_16_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_233 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_222 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_211 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_266 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_255 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_244 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_277 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_288 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_299 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_014_ vssd1 vssd1 vccd1 vccd1 _014_/HI _014_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_153 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_74_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_62_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_506 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_79_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_73 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput509 _097_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[40] sky130_fd_sc_ls__clkbuf_2
+XFILLER_5_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_39_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_160 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_107 _172_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_38_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_129 _087_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_118 _184_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_472 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_259 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_95 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_55_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_177 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_31 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_42 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_75 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_86 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_97 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_193_ vssd1 vssd1 vccd1 vccd1 _193_/HI _193_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_1_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_29 _032_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_18 _029_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_68_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_607 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_62_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_618 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_629 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_516 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput27 io_in[33] vssd1 vssd1 vccd1 vccd1 MUX2X1/S sky130_fd_sc_ls__clkbuf_4
+Xinput16 io_in[23] vssd1 vssd1 vccd1 vccd1 INVX1/A sky130_fd_sc_ls__clkbuf_4
+Xinput38 io_in[9] vssd1 vssd1 vccd1 vccd1 input38/X sky130_fd_sc_ls__clkbuf_1
+Xinput49 la_data_in[109] vssd1 vssd1 vccd1 vccd1 input49/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_10_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_176_ vssd1 vssd1 vccd1 vccd1 _176_/HI _176_/LO sky130_fd_sc_ls__conb_1
+XFILLER_69_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_571 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_65_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_65_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_404 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_415 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_426 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1014 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1003 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_437 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_448 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_459 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1036 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1025 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_030_ vssd1 vssd1 vccd1 vccd1 _030_/HI _030_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_59_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_971 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_960 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_456 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_993 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_982 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_67 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+X_159_ vssd1 vssd1 vccd1 vccd1 _159_/HI _159_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_390 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput217 la_oenb[2] vssd1 vssd1 vccd1 vccd1 input217/X sky130_fd_sc_ls__clkbuf_1
+Xinput206 la_oenb[1] vssd1 vssd1 vccd1 vccd1 input206/X sky130_fd_sc_ls__clkbuf_1
+Xinput239 la_oenb[4] vssd1 vssd1 vccd1 vccd1 input239/X sky130_fd_sc_ls__clkbuf_1
+Xinput228 la_oenb[3] vssd1 vssd1 vccd1 vccd1 input228/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_56_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_44_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_201 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_17_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_234 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_223 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_212 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_267 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_256 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_245 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_33_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_278 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_289 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_33_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_013_ vssd1 vssd1 vccd1 vccd1 _013_/HI _013_/LO sky130_fd_sc_ls__conb_1
+XFILLER_79_456 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_3_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_790 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_286 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_570 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_70_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_113 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_157 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_8_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_73_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_440 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_119 _072_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_108 _172_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_76_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_588 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_326 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_10 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_21 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_32 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_43 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_76 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_87 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_192_ vssd1 vssd1 vccd1 vccd1 _192_/HI _192_/LO sky130_fd_sc_ls__conb_1
+XFILLER_41_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_514 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_41_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_123 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_19 _029_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_70_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_608 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_619 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_11_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_506 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput28 io_in[34] vssd1 vssd1 vccd1 vccd1 input28/X sky130_fd_sc_ls__clkbuf_1
+Xinput17 io_in[24] vssd1 vssd1 vccd1 vccd1 input17/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_10_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_175_ vssd1 vssd1 vccd1 vccd1 _175_/HI _175_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput39 la_data_in[0] vssd1 vssd1 vccd1 vccd1 input39/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_6_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XCLKBUF1 vccd1 vssd1 CLKBUF1/Y input11/X CLKBUF1
+XFILLER_56_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_405 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_416 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_1004 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_427 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_438 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_449 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_1037 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1026 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1015 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput490 _080_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[23] sky130_fd_sc_ls__clkbuf_2
+XFILLER_19_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_421 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_972 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_961 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_950 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_994 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_983 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_158_ vssd1 vssd1 vccd1 vccd1 _158_/HI _158_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_089_ vssd1 vssd1 vccd1 vccd1 _089_/HI _089_/LO sky130_fd_sc_ls__conb_1
+XFILLER_69_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_317 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput207 la_oenb[20] vssd1 vssd1 vccd1 vccd1 input207/X sky130_fd_sc_ls__clkbuf_1
+Xinput218 la_oenb[30] vssd1 vssd1 vccd1 vccd1 input218/X sky130_fd_sc_ls__clkbuf_1
+Xinput229 la_oenb[40] vssd1 vssd1 vccd1 vccd1 input229/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_71_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_224 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_213 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_202 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_268 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_257 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_246 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_235 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_279 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_33_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+X_012_ vssd1 vssd1 vccd1 vccd1 _012_/HI _012_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_424 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_74_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_35_527 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_15_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_780 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_791 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_310 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_527 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_71_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_243 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_62_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_519 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_7_280 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_58_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_109 _174_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_81_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_55_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_482 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_11 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_22 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_66 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_99 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_99 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_191_ vssd1 vssd1 vccd1 vccd1 _191_/HI _191_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_41_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_6_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_1_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_60_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_609 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_42_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_42_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput18 io_in[25] vssd1 vssd1 vccd1 vccd1 INVX2/A sky130_fd_sc_ls__clkbuf_4
+XFILLER_6_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput29 io_in[35] vssd1 vssd1 vccd1 vccd1 input29/X sky130_fd_sc_ls__clkbuf_4
+XFILLER_6_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_174_ vssd1 vssd1 vccd1 vccd1 _174_/HI _174_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XMUX2X1 vccd1 vssd1 MUX2X1/Y MUX2X1/S MUX2X1/A MUX2X1/B MUX2X1
+XFILLER_69_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_77_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_406 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_417 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_1005 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_428 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_439 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1038 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1027 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1016 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput480 _072_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[14] sky130_fd_sc_ls__clkbuf_2
+Xoutput491 _081_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[24] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_962 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_951 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_940 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_63_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_995 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_984 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_973 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_157_ vssd1 vssd1 vccd1 vccd1 _157_/HI _157_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_088_ vssd1 vssd1 vccd1 vccd1 _088_/HI _088_/LO sky130_fd_sc_ls__conb_1
+XFILLER_69_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_61_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput208 la_oenb[21] vssd1 vssd1 vccd1 vccd1 input208/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput219 la_oenb[31] vssd1 vssd1 vccd1 vccd1 input219/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_225 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_214 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_203 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_52_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_258 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_247 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_236 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_269 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_011_ vssd1 vssd1 vccd1 vccd1 _011_/HI _011_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_145 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_458 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_66_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_781 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_770 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_792 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_209_ vssd1 vssd1 vccd1 vccd1 _209_/HI _209_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_122 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_188 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_48_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_152 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_199 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_78 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_71_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_546 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_50_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_73_239 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_26_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_23 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_34 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_67 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_78 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_89 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_190_ vssd1 vssd1 vccd1 vccd1 _190_/HI _190_/LO sky130_fd_sc_ls__conb_1
+XFILLER_22_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_545 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_56_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_515 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput19 io_in[26] vssd1 vssd1 vccd1 vccd1 input19/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_22_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_173_ vssd1 vssd1 vccd1 vccd1 _173_/HI _173_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_563 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_65_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_486 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_60_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_60_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_390 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_515 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_407 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_418 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_429 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1028 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1017 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1006 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_1039 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_3_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput470 _177_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[120] sky130_fd_sc_ls__clkbuf_2
+XFILLER_78_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput481 XOR2X1/Y vssd1 vssd1 vccd1 vccd1 la_data_out[15] sky130_fd_sc_ls__clkbuf_2
+Xoutput492 _082_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[25] sky130_fd_sc_ls__clkbuf_2
+XFILLER_47_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_59_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_74_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_250 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_930 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_963 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_952 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_941 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_996 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_985 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_974 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_59 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_156_ vssd1 vssd1 vccd1 vccd1 _156_/HI _156_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_087_ vssd1 vssd1 vccd1 vccd1 _087_/HI _087_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_165 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_19_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput209 la_oenb[22] vssd1 vssd1 vccd1 vccd1 input209/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_215 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_204 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_259 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_248 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_237 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_226 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_33_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_010_ vssd1 vssd1 vccd1 vccd1 _010_/HI _010_/LO sky130_fd_sc_ls__conb_1
+XFILLER_79_448 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_771 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_760 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_793 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_782 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_208_ vssd1 vssd1 vccd1 vccd1 _208_/HI _208_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_139_ vssd1 vssd1 vccd1 vccd1 _139_/HI _139_/LO sky130_fd_sc_ls__conb_1
+XFILLER_38_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_34_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_381 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_34_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_149 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_267 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_47_120 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_16_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_590 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_271 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_421 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_26_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_487 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_112 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_72_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_71_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_569 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_318 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_6_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_13 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_35 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_46 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_68 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_115 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_9_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_270 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_51_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_343 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_172_ vssd1 vssd1 vccd1 vccd1 _172_/HI _172_/LO sky130_fd_sc_ls__conb_1
+XFILLER_52_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_77_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_77_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_408 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_36_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_419 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_243 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_1029 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1018 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1007 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput460 _168_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[111] sky130_fd_sc_ls__clkbuf_2
+Xoutput471 _178_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[121] sky130_fd_sc_ls__clkbuf_2
+Xoutput493 _083_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[26] sky130_fd_sc_ls__clkbuf_2
+Xoutput482 _073_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[16] sky130_fd_sc_ls__clkbuf_2
+XFILLER_19_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XAND2X1 vccd1 vssd1 AND2X1/Y AND2X1/B input1/X AND2X1
+XFILLER_15_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_32 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_920 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_63_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_15_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_953 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_942 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_931 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_997 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_986 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_975 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_964 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_155_ vssd1 vssd1 vccd1 vccd1 _155_/HI _155_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_086_ vssd1 vssd1 vccd1 vccd1 _086_/HI _086_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_240 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_18_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_309 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_216 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_205 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_249 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_238 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_227 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_416 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_59_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_74_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_519 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_74_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_74_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_772 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_761 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_750 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_794 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_783 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_268 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_207_ vssd1 vssd1 vccd1 vccd1 _207_/HI _207_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_138_ vssd1 vssd1 vccd1 vccd1 _138_/HI _138_/LO sky130_fd_sc_ls__conb_1
+X_069_ vssd1 vssd1 vccd1 vccd1 _069_/HI _069_/LO sky130_fd_sc_ls__conb_1
+XFILLER_31_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_61_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_34_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_29_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_56_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_56_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_235 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_319 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_580 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_591 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_66_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_433 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_53_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_511 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_61_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_89 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_9_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput360 wbs_dat_i[8] vssd1 vssd1 vccd1 vccd1 input360/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_474 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_14 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_47 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_285 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_58 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput190 la_oenb[120] vssd1 vssd1 vccd1 vccd1 input190/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_36_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_171_ vssd1 vssd1 vccd1 vccd1 _171_/HI _171_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_73_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_13_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_153 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_392 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_5_370 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_409 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_1019 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_1008 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput450 _159_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[102] sky130_fd_sc_ls__clkbuf_2
+XFILLER_0_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput461 _169_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[112] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput494 _084_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[27] sky130_fd_sc_ls__clkbuf_2
+Xoutput483 _074_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[17] sky130_fd_sc_ls__clkbuf_2
+Xoutput472 _179_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[122] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XAND2X2 vccd1 vssd1 AND2X2/Y AND2X2/A AND2X2/B AND2X2
+XFILLER_55_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_921 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_910 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_954 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_943 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_932 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_63_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_987 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_976 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_965 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_998 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_10_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_154_ vssd1 vssd1 vccd1 vccd1 _154_/HI _154_/LO sky130_fd_sc_ls__conb_1
+XFILLER_12_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_085_ vssd1 vssd1 vccd1 vccd1 _085_/HI _085_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_61_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_61_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_594 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_206 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_239 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_228 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_217 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_52_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_99 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_74_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_594 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_762 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_751 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_740 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_795 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_784 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_773 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_206_ vssd1 vssd1 vccd1 vccd1 _206_/HI _206_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_137_ vssd1 vssd1 vccd1 vccd1 _137_/HI _137_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_068_ vssd1 vssd1 vccd1 vccd1 _068_/HI _068_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_114 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_73 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_34_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_56_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_40_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_144 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_570 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_581 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_592 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_1_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_125 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput350 wbs_dat_i[28] vssd1 vssd1 vccd1 vccd1 input350/X sky130_fd_sc_ls__clkbuf_1
+Xinput361 wbs_dat_i[9] vssd1 vssd1 vccd1 vccd1 input361/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_220 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_15 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_48 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_59 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_537 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_45_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput180 la_oenb[111] vssd1 vssd1 vccd1 vccd1 input180/X sky130_fd_sc_ls__clkbuf_1
+Xinput191 la_oenb[121] vssd1 vssd1 vccd1 vccd1 input191/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_294 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_74_507 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_170_ vssd1 vssd1 vccd1 vccd1 _170_/HI _170_/LO sky130_fd_sc_ls__conb_1
+XFILLER_22_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_511 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_2_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_73_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_478 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_230 _189_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_73_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_64_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_231 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_1009 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_267 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput440 _039_/LO vssd1 vssd1 vccd1 vccd1 io_out[6] sky130_fd_sc_ls__clkbuf_2
+Xoutput451 _160_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[103] sky130_fd_sc_ls__clkbuf_2
+Xoutput462 _170_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[113] sky130_fd_sc_ls__clkbuf_2
+Xoutput495 _085_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[28] sky130_fd_sc_ls__clkbuf_2
+Xoutput484 _075_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[18] sky130_fd_sc_ls__clkbuf_2
+Xoutput473 _180_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[123] sky130_fd_sc_ls__clkbuf_2
+XFILLER_74_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_70_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_911 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_900 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_63_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_42_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_944 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_933 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_922 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_988 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_977 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_966 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_955 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_999 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_153_ vssd1 vssd1 vccd1 vccd1 _153_/HI _153_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_084_ vssd1 vssd1 vccd1 vccd1 _084_/HI _084_/LO sky130_fd_sc_ls__conb_1
+XFILLER_46_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_56_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_207 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_52_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_229 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_218 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_52_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_3_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_58_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_763 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_752 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_741 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_730 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_796 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_785 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_774 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_205_ vssd1 vssd1 vccd1 vccd1 _205_/HI _205_/LO sky130_fd_sc_ls__conb_1
+X_136_ vssd1 vssd1 vccd1 vccd1 _136_/HI _136_/LO sky130_fd_sc_ls__conb_1
+X_067_ vssd1 vssd1 vccd1 vccd1 _067_/HI _067_/LO sky130_fd_sc_ls__conb_1
+XFILLER_38_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_510 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_80_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_0_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_215 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_326 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_71_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_259 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_560 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_571 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_582 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_593 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_263 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_285 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_119_ vssd1 vssd1 vccd1 vccd1 _119_/HI _119_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_44_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_71_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_9_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_71 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput351 wbs_dat_i[29] vssd1 vssd1 vccd1 vccd1 input351/X sky130_fd_sc_ls__clkbuf_1
+Xinput362 wbs_sel_i[0] vssd1 vssd1 vccd1 vccd1 input362/X sky130_fd_sc_ls__clkbuf_1
+Xinput340 wbs_dat_i[19] vssd1 vssd1 vccd1 vccd1 input340/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_63_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_75_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_390 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_561 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XANTENNA_0 _015_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_6_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_38 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_298 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_1_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_1_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_66_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_45_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput181 la_oenb[112] vssd1 vssd1 vccd1 vccd1 input181/X sky130_fd_sc_ls__clkbuf_1
+Xinput170 la_oenb[102] vssd1 vssd1 vccd1 vccd1 input170/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_76_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput192 la_oenb[122] vssd1 vssd1 vccd1 vccd1 input192/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_36_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_51_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput600 _217_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[31] sky130_fd_sc_ls__clkbuf_2
+XFILLER_27_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_6_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_66 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_77_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_220 _211_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_231 _189_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_45_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_30 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_3_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_3_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput430 _054_/LO vssd1 vssd1 vccd1 vccd1 io_out[31] sky130_fd_sc_ls__clkbuf_2
+Xoutput441 _040_/LO vssd1 vssd1 vccd1 vccd1 io_out[7] sky130_fd_sc_ls__clkbuf_2
+Xoutput452 _161_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[104] sky130_fd_sc_ls__clkbuf_2
+Xoutput496 _086_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[29] sky130_fd_sc_ls__clkbuf_2
+Xoutput485 _076_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[19] sky130_fd_sc_ls__clkbuf_2
+Xoutput463 _171_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[114] sky130_fd_sc_ls__clkbuf_2
+Xoutput474 _181_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[124] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_912 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_901 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_15_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_945 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_934 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_923 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_42_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_978 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_967 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_956 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_989 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_10_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_152_ vssd1 vssd1 vccd1 vccd1 _152_/HI _152_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_083_ vssd1 vssd1 vccd1 vccd1 _083_/HI _083_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_353 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_219 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_208 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_43_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_720 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_753 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_742 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_731 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_786 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_204_ vssd1 vssd1 vccd1 vccd1 _204_/HI _204_/LO sky130_fd_sc_ls__conb_1
+XPHY_775 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_764 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_797 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_135_ vssd1 vssd1 vccd1 vccd1 _135_/HI _135_/LO sky130_fd_sc_ls__conb_1
+XFILLER_23_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_066_ vssd1 vssd1 vccd1 vccd1 _066_/HI _066_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_73_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_52_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_55_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_550 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_561 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_34_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_572 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_583 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_594 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_118_ vssd1 vssd1 vccd1 vccd1 _118_/HI _118_/LO sky130_fd_sc_ls__conb_1
+X_049_ vssd1 vssd1 vccd1 vccd1 _049_/HI _049_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput330 wbs_dat_i[0] vssd1 vssd1 vccd1 vccd1 input330/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput363 wbs_sel_i[1] vssd1 vssd1 vccd1 vccd1 input363/X sky130_fd_sc_ls__clkbuf_1
+Xinput352 wbs_dat_i[2] vssd1 vssd1 vccd1 vccd1 input352/X sky130_fd_sc_ls__clkbuf_1
+Xinput341 wbs_dat_i[1] vssd1 vssd1 vccd1 vccd1 input341/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_491 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XPHY_380 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_391 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XANTENNA_1 _015_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_8_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_580 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_230 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_17 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_39 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput171 la_oenb[103] vssd1 vssd1 vccd1 vccd1 input171/X sky130_fd_sc_ls__clkbuf_1
+Xinput160 la_data_in[94] vssd1 vssd1 vccd1 vccd1 input160/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_76_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput193 la_oenb[123] vssd1 vssd1 vccd1 vccd1 input193/X sky130_fd_sc_ls__clkbuf_1
+Xinput182 la_oenb[113] vssd1 vssd1 vccd1 vccd1 input182/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_36_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput601 _189_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[3] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_58_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_232 _191_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_221 _211_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_210 _204_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_9_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_13_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_145 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_362 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_68_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_56_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_76_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput420 INV/Y vssd1 vssd1 vccd1 vccd1 io_out[22] sky130_fd_sc_ls__clkbuf_2
+Xoutput431 _055_/LO vssd1 vssd1 vccd1 vccd1 io_out[32] sky130_fd_sc_ls__clkbuf_2
+Xoutput442 _041_/LO vssd1 vssd1 vccd1 vccd1 io_out[8] sky130_fd_sc_ls__clkbuf_2
+Xoutput453 _162_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[105] sky130_fd_sc_ls__clkbuf_2
+Xoutput475 _182_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[125] sky130_fd_sc_ls__clkbuf_2
+Xoutput486 _063_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[1] sky130_fd_sc_ls__clkbuf_2
+Xoutput464 _172_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[115] sky130_fd_sc_ls__clkbuf_2
+Xoutput497 _064_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[2] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_542 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_266 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_902 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_15_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_935 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_924 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_913 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_979 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_968 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_957 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_946 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_10_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_220_ vssd1 vssd1 vccd1 vccd1 _220_/HI _220_/LO sky130_fd_sc_ls__conb_1
+X_151_ vssd1 vssd1 vccd1 vccd1 _151_/HI _151_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_10_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_082_ vssd1 vssd1 vccd1 vccd1 _082_/HI _082_/LO sky130_fd_sc_ls__conb_1
+XFILLER_12_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_398 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_244 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_61_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_586 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_209 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_52_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_409 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_59_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_74_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_28_586 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_710 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_754 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_743 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_732 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_721 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_787 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_776 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_765 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_203_ vssd1 vssd1 vccd1 vccd1 _203_/HI _203_/LO sky130_fd_sc_ls__conb_1
+XPHY_798 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_134_ vssd1 vssd1 vccd1 vccd1 _134_/HI _134_/LO sky130_fd_sc_ls__conb_1
+X_065_ vssd1 vssd1 vccd1 vccd1 _065_/HI _065_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_43 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_30 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_75_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_31_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_540 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_551 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_562 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_573 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_584 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_595 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_79_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_117_ vssd1 vssd1 vccd1 vccd1 _117_/HI _117_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_50_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_048_ vssd1 vssd1 vccd1 vccd1 _048_/HI _048_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_415 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_515 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_117 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_71_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_4_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput320 wbs_adr_i[30] vssd1 vssd1 vccd1 vccd1 input320/X sky130_fd_sc_ls__clkbuf_1
+Xinput353 wbs_dat_i[30] vssd1 vssd1 vccd1 vccd1 input353/X sky130_fd_sc_ls__clkbuf_1
+Xinput342 wbs_dat_i[20] vssd1 vssd1 vccd1 vccd1 input342/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput331 wbs_dat_i[10] vssd1 vssd1 vccd1 vccd1 input331/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput364 wbs_sel_i[2] vssd1 vssd1 vccd1 vccd1 input364/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_370 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_530 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_381 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_392 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_61_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_2 _022_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_6_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_201 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_278 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_45_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_53_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_95 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput172 la_oenb[104] vssd1 vssd1 vccd1 vccd1 input172/X sky130_fd_sc_ls__clkbuf_1
+Xinput161 la_data_in[95] vssd1 vssd1 vccd1 vccd1 input161/X sky130_fd_sc_ls__clkbuf_1
+Xinput150 la_data_in[85] vssd1 vssd1 vccd1 vccd1 input150/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput194 la_oenb[124] vssd1 vssd1 vccd1 vccd1 input194/X sky130_fd_sc_ls__clkbuf_1
+Xinput183 la_oenb[114] vssd1 vssd1 vccd1 vccd1 input183/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_286 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_51_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput602 _190_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[4] sky130_fd_sc_ls__clkbuf_2
+XFILLER_67_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_440 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_73_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_200 _196_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_45_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_222 _213_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_211 _205_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_233 _191_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_33_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_3_43 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_68_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_24_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_51_259 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput410 _045_/LO vssd1 vssd1 vccd1 vccd1 io_out[13] sky130_fd_sc_ls__clkbuf_2
+Xoutput432 _056_/LO vssd1 vssd1 vccd1 vccd1 io_out[33] sky130_fd_sc_ls__clkbuf_2
+Xoutput421 _050_/LO vssd1 vssd1 vccd1 vccd1 io_out[23] sky130_fd_sc_ls__clkbuf_2
+Xoutput443 AOI21X1/Y vssd1 vssd1 vccd1 vccd1 io_out[9] sky130_fd_sc_ls__clkbuf_2
+Xoutput476 _183_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[126] sky130_fd_sc_ls__clkbuf_2
+Xoutput454 _163_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[106] sky130_fd_sc_ls__clkbuf_2
+Xoutput487 _077_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[20] sky130_fd_sc_ls__clkbuf_2
+Xoutput465 _173_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[116] sky130_fd_sc_ls__clkbuf_2
+Xoutput498 _087_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[30] sky130_fd_sc_ls__clkbuf_2
+XFILLER_70_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_903 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_63_48 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_15_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_936 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_925 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_914 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_969 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_958 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_947 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_150_ vssd1 vssd1 vccd1 vccd1 _150_/HI _150_/LO sky130_fd_sc_ls__conb_1
+XFILLER_50_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_081_ vssd1 vssd1 vccd1 vccd1 _081_/HI _081_/LO sky130_fd_sc_ls__conb_1
+XFILLER_2_322 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_333 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_215 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_52_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_3_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_3_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_74_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_700 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_711 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_744 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_733 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_722 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_777 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_766 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_755 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_202_ vssd1 vssd1 vccd1 vccd1 _202_/HI _202_/LO sky130_fd_sc_ls__conb_1
+XPHY_799 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_788 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_133_ vssd1 vssd1 vccd1 vccd1 _133_/HI _133_/LO sky130_fd_sc_ls__conb_1
+X_064_ vssd1 vssd1 vccd1 vccd1 _064_/HI _064_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_421 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_185 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_65_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_34_502 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_568 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_207 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_318 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_75_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_513 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_95 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_530 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_34_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_541 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_552 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_563 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_574 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_585 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_596 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_255 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+X_116_ vssd1 vssd1 vccd1 vccd1 _116_/HI _116_/LO sky130_fd_sc_ls__conb_1
+X_047_ vssd1 vssd1 vccd1 vccd1 _047_/HI _047_/LO sky130_fd_sc_ls__conb_1
+XFILLER_59_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_460 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_63 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput321 wbs_adr_i[31] vssd1 vssd1 vccd1 vccd1 input321/X sky130_fd_sc_ls__clkbuf_1
+Xinput310 wbs_adr_i[21] vssd1 vssd1 vccd1 vccd1 input310/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput354 wbs_dat_i[31] vssd1 vssd1 vccd1 vccd1 input354/X sky130_fd_sc_ls__clkbuf_1
+Xinput343 wbs_dat_i[21] vssd1 vssd1 vccd1 vccd1 input343/X sky130_fd_sc_ls__clkbuf_1
+Xinput332 wbs_dat_i[11] vssd1 vssd1 vccd1 vccd1 input332/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_475 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput365 wbs_sel_i[3] vssd1 vssd1 vccd1 vccd1 input365/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_360 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_371 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_382 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_393 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_3 _022_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_39_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_235 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_54_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_19 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput140 la_data_in[76] vssd1 vssd1 vccd1 vccd1 input140/X sky130_fd_sc_ls__clkbuf_1
+Xinput151 la_data_in[86] vssd1 vssd1 vccd1 vccd1 input151/X sky130_fd_sc_ls__clkbuf_1
+Xinput162 la_data_in[96] vssd1 vssd1 vccd1 vccd1 input162/X sky130_fd_sc_ls__clkbuf_1
+Xinput184 la_oenb[115] vssd1 vssd1 vccd1 vccd1 input184/X sky130_fd_sc_ls__clkbuf_1
+Xinput173 la_oenb[105] vssd1 vssd1 vccd1 vccd1 input173/X sky130_fd_sc_ls__clkbuf_1
+Xinput195 la_oenb[125] vssd1 vssd1 vccd1 vccd1 input195/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_56_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_190 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput603 _191_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[5] sky130_fd_sc_ls__clkbuf_2
+XFILLER_79_390 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_22_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_234 _195_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_212 _187_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_223 _213_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_201 _198_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_397 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_3_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput400 _018_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[4] sky130_fd_sc_ls__clkbuf_2
+Xoutput411 AOI22X1/Y vssd1 vssd1 vccd1 vccd1 io_out[14] sky130_fd_sc_ls__clkbuf_2
+XFILLER_8_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput433 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 io_out[34] sky130_fd_sc_ls__clkbuf_2
+Xoutput422 INVX1/Y vssd1 vssd1 vccd1 vccd1 io_out[24] sky130_fd_sc_ls__clkbuf_2
+Xoutput444 _059_/LO vssd1 vssd1 vccd1 vccd1 irq[0] sky130_fd_sc_ls__clkbuf_2
+Xoutput455 _164_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[107] sky130_fd_sc_ls__clkbuf_2
+Xoutput466 _174_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[117] sky130_fd_sc_ls__clkbuf_2
+Xoutput477 _184_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[127] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput488 _078_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[21] sky130_fd_sc_ls__clkbuf_2
+Xoutput499 _088_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[31] sky130_fd_sc_ls__clkbuf_2
+XFILLER_47_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_926 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_915 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_904 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_959 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_948 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_937 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_10_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_080_ vssd1 vssd1 vccd1 vccd1 _080_/HI _080_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_117 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_345 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_14_463 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_20_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_55_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_701 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_745 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_734 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_712 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_723 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_201_ vssd1 vssd1 vccd1 vccd1 _201_/HI _201_/LO sky130_fd_sc_ls__conb_1
+XFILLER_70_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_778 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_767 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_756 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_260 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XPHY_789 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_132_ vssd1 vssd1 vccd1 vccd1 _132_/HI _132_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_063_ vssd1 vssd1 vccd1 vccd1 _063_/HI _063_/LO sky130_fd_sc_ls__conb_1
+XFILLER_78_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_153 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_61_377 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_61_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_9_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_56_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_520 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_531 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_542 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_553 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_34_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_564 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_575 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_586 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_201 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XPHY_597 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_115_ vssd1 vssd1 vccd1 vccd1 _115_/HI _115_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_50_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_046_ vssd1 vssd1 vccd1 vccd1 _046_/HI _046_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_491 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_4_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_421 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput311 wbs_adr_i[22] vssd1 vssd1 vccd1 vccd1 input311/X sky130_fd_sc_ls__clkbuf_1
+Xinput300 wbs_adr_i[12] vssd1 vssd1 vccd1 vccd1 input300/X sky130_fd_sc_ls__clkbuf_1
+Xinput344 wbs_dat_i[22] vssd1 vssd1 vccd1 vccd1 input344/X sky130_fd_sc_ls__clkbuf_1
+Xinput322 wbs_adr_i[3] vssd1 vssd1 vccd1 vccd1 input322/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput333 wbs_dat_i[12] vssd1 vssd1 vccd1 vccd1 input333/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput366 wbs_stb_i vssd1 vssd1 vccd1 vccd1 input366/X sky130_fd_sc_ls__clkbuf_1
+Xinput355 wbs_dat_i[3] vssd1 vssd1 vccd1 vccd1 input355/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_48_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_35_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_483 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_350 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_361 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_372 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_383 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_394 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_4 _023_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_6_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_029_ vssd1 vssd1 vccd1 vccd1 _029_/HI _029_/LO sky130_fd_sc_ls__conb_1
+XFILLER_3_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_34_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_1_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_31 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_546 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput163 la_data_in[97] vssd1 vssd1 vccd1 vccd1 input163/X sky130_fd_sc_ls__clkbuf_1
+Xinput152 la_data_in[87] vssd1 vssd1 vccd1 vccd1 input152/X sky130_fd_sc_ls__clkbuf_1
+Xinput130 la_data_in[67] vssd1 vssd1 vccd1 vccd1 input130/X sky130_fd_sc_ls__clkbuf_1
+Xinput141 la_data_in[77] vssd1 vssd1 vccd1 vccd1 input141/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_76_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput185 la_oenb[116] vssd1 vssd1 vccd1 vccd1 input185/X sky130_fd_sc_ls__clkbuf_1
+Xinput196 la_oenb[126] vssd1 vssd1 vccd1 vccd1 input196/X sky130_fd_sc_ls__clkbuf_1
+Xinput174 la_oenb[106] vssd1 vssd1 vccd1 vccd1 input174/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_63_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_191 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_180 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput604 _192_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[6] sky130_fd_sc_ls__clkbuf_2
+XFILLER_4_590 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+=======
 + wbs_stb_i wbs_we_i
 XFILLER_79_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__fill_1
 XFILLER_171_1393 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_6
@@ -53601,5 +63051,6 @@
 XFILLER_101_617 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_12
 XFILLER_98_177 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_12
 XFILLER_141_797 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_hd__decap_12
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 .ends
 
diff --git a/verilog/dv/io_ports/io_ports.hex b/verilog/dv/io_ports/io_ports.hex
new file mode 100755
index 0000000..86a382c
--- /dev/null
+++ b/verilog/dv/io_ports/io_ports.hex
@@ -0,0 +1,36 @@
+@00000000

+81 40 81 41 01 42 81 42 01 43 81 43 01 44 81 44

+01 45 81 45 01 46 81 46 01 47 81 47 01 48 81 48

+01 49 81 49 01 4A 81 4A 01 4B 81 4B 01 4C 81 4C

+01 4D 81 4D 01 4E 81 4E 01 4F 81 4F 17 05 00 00

+13 05 C5 1E 93 05 00 00 13 06 00 00 63 D8 C5 00

+14 41 94 C1 11 05 91 05 E3 CC C5 FE 13 05 00 00

+93 05 00 00 63 57 B5 00 23 20 05 00 11 05 E3 4D

+B5 FE 19 22 01 A0 01 00 B7 02 00 28 13 03 00 12

+23 90 62 00 A3 81 02 00 05 C6 21 4F 93 73 F6 0F

+93 DE 73 00 23 80 D2 01 93 EE 0E 01 23 80 D2 01

+86 03 93 F3 F3 0F 7D 1F E3 14 0F FE 23 80 62 00

+A1 C9 13 0F 00 02 83 23 05 00 A1 4F 93 DE F3 01

+23 80 D2 01 93 EE 0E 01 23 80 D2 01 83 CE 02 00

+93 FE 2E 00 93 DE 1E 00 86 03 B3 E3 D3 01 7D 1F

+63 17 0F 00 23 20 75 00 11 05 83 23 05 00 FD 1F

+E3 96 0F FC FD 15 F1 F1 63 04 0F 00 23 20 75 00

+13 03 00 08 A3 81 62 00 82 80 01 00 00 00 01 11

+06 CE 22 CC 00 10 AA 87 A3 07 F4 FE 03 47 F4 FE

+A9 47 63 14 F7 00 35 45 DD 37 B7 07 00 20 91 07

+03 47 F4 FE 98 C3 01 00 F2 40 62 44 05 61 82 80

+01 11 06 CE 22 CC 00 10 23 26 A4 FE 19 A8 83 27

+C4 FE 13 87 17 00 23 26 E4 FE 83 C7 07 00 3E 85

+7D 37 83 27 C4 FE 83 C7 07 00 F5 F3 01 00 01 00

+F2 40 62 44 05 61 82 80 41 11 22 C6 00 08 B7 07

+00 24 29 67 09 07 98 C3 B7 07 00 26 93 87 47 02

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 87 02

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 C7 02

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 07 03

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 47 03

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 87 03

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 C7 03

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 07 04

+09 67 13 07 87 80 98 C3 B7 07 00 26 05 47 98 C3

+01 00 B7 07 00 26 98 43 85 47 E3 0C F7 FE 01 00

+01 00 32 44 41 01 82 80

diff --git a/verilog/dv/io_ports/io_ports.vcd b/verilog/dv/io_ports/io_ports.vcd
new file mode 100644
index 0000000..19bbea5
--- /dev/null
+++ b/verilog/dv/io_ports/io_ports.vcd
Binary files differ
diff --git a/verilog/dv/io_ports/libs.ref b/verilog/dv/io_ports/libs.ref
new file mode 120000
index 0000000..fdc618c
--- /dev/null
+++ b/verilog/dv/io_ports/libs.ref
@@ -0,0 +1 @@
+../../../../pdk/sky130A/libs.ref/
\ No newline at end of file
diff --git a/verilog/dv/la_test1/la_test1.hex b/verilog/dv/la_test1/la_test1.hex
new file mode 100755
index 0000000..38fb6a0
--- /dev/null
+++ b/verilog/dv/la_test1/la_test1.hex
@@ -0,0 +1,75 @@
+@00000000

+81 40 81 41 01 42 81 42 01 43 81 43 01 44 81 44

+01 45 81 45 01 46 81 46 01 47 81 47 01 48 81 48

+01 49 81 49 01 4A 81 4A 01 4B 81 4B 01 4C 81 4C

+01 4D 81 4D 01 4E 81 4E 01 4F 81 4F 17 05 00 00

+13 05 85 45 93 05 00 00 13 06 00 00 63 D8 C5 00

+14 41 94 C1 11 05 91 05 E3 CC C5 FE 13 05 00 00

+93 05 00 00 63 57 B5 00 23 20 05 00 11 05 E3 4D

+B5 FE 19 22 01 A0 01 00 B7 02 00 28 13 03 00 12

+23 90 62 00 A3 81 02 00 05 C6 21 4F 93 73 F6 0F

+93 DE 73 00 23 80 D2 01 93 EE 0E 01 23 80 D2 01

+86 03 93 F3 F3 0F 7D 1F E3 14 0F FE 23 80 62 00

+A1 C9 13 0F 00 02 83 23 05 00 A1 4F 93 DE F3 01

+23 80 D2 01 93 EE 0E 01 23 80 D2 01 83 CE 02 00

+93 FE 2E 00 93 DE 1E 00 86 03 B3 E3 D3 01 7D 1F

+63 17 0F 00 23 20 75 00 11 05 83 23 05 00 FD 1F

+E3 96 0F FC FD 15 F1 F1 63 04 0F 00 23 20 75 00

+13 03 00 08 A3 81 62 00 82 80 01 00 00 00 01 11

+06 CE 22 CC 00 10 AA 87 A3 07 F4 FE 03 47 F4 FE

+A9 47 63 14 F7 00 35 45 DD 37 B7 07 00 20 91 07

+03 47 F4 FE 98 C3 01 00 F2 40 62 44 05 61 82 80

+01 11 06 CE 22 CC 00 10 23 26 A4 FE 19 A8 83 27

+C4 FE 13 87 17 00 23 26 E4 FE 83 C7 07 00 3E 85

+7D 37 83 27 C4 FE 83 C7 07 00 F5 F3 01 00 01 00

+F2 40 62 44 05 61 82 80 41 11 06 C6 22 C4 00 08

+B7 07 00 24 29 67 09 07 98 C3 B7 07 00 26 93 87

+07 0A 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+C7 09 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+87 09 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+47 09 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+07 09 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+C7 08 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+87 08 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+47 08 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+07 08 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+C7 07 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+87 07 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+47 07 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+07 07 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+C7 06 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+87 06 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+47 06 09 67 13 07 97 80 98 C3 B7 07 00 26 93 87

+07 06 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+C7 05 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+87 05 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+47 05 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+07 05 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+C7 04 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+87 04 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+47 04 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+07 04 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+87 03 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+47 03 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+07 03 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+C7 02 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+87 02 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+47 02 09 67 13 07 87 80 98 C3 B7 07 00 26 93 87

+C7 03 09 67 13 07 97 80 98 C3 B7 07 00 20 13 07

+10 27 98 C3 B7 07 00 20 A1 07 05 47 98 C3 B7 07

+00 26 05 47 98 C3 01 00 B7 07 00 26 98 43 85 47

+E3 0C F7 FE B7 07 00 25 13 87 07 02 FD 57 1C C3

+37 07 00 25 41 07 1C C3 B7 07 00 25 13 87 47 02

+81 47 1C C3 37 07 00 25 51 07 1C C3 B7 07 00 25

+13 87 87 02 FD 57 1C C3 37 07 00 25 61 07 1C C3

+B7 07 00 25 13 87 C7 02 FD 57 1C C3 37 07 00 25

+71 07 1C C3 B7 07 00 26 B1 07 37 07 40 AB 98 C3

+B7 07 00 25 91 07 23 A0 07 00 B7 07 00 25 13 87

+47 02 FD 57 1C C3 37 07 00 25 51 07 1C C3 B7 07

+00 25 98 43 93 07 40 1F E3 FB E7 FE B7 07 00 26

+B1 07 37 07 41 AB 98 C3 01 00 B7 07 00 10 13 85

+47 47 FD 31 B7 07 00 10 13 85 87 47 D5 31 B7 07

+00 26 B1 07 37 07 51 AB 98 C3 01 00 B2 40 22 44

+41 01 82 80 0A 00 00 00 4D 6F 6E 69 74 6F 72 3A

+20 54 65 73 74 20 32 20 50 61 73 73 65 64 0A 0A

+00 00 00 00

diff --git a/verilog/dv/la_test2/la_test2.hex b/verilog/dv/la_test2/la_test2.hex
new file mode 100755
index 0000000..777b057
--- /dev/null
+++ b/verilog/dv/la_test2/la_test2.hex
@@ -0,0 +1,72 @@
+@00000000

+81 40 81 41 01 42 81 42 01 43 81 43 01 44 81 44

+01 45 81 45 01 46 81 46 01 47 81 47 01 48 81 48

+01 49 81 49 01 4A 81 4A 01 4B 81 4B 01 4C 81 4C

+01 4D 81 4D 01 4E 81 4E 01 4F 81 4F 17 05 00 00

+13 05 85 42 93 05 00 00 13 06 00 00 63 D8 C5 00

+14 41 94 C1 11 05 91 05 E3 CC C5 FE 13 05 00 00

+93 05 80 00 63 57 B5 00 23 20 05 00 11 05 E3 4D

+B5 FE 19 22 01 A0 01 00 B7 02 00 28 13 03 00 12

+23 90 62 00 A3 81 02 00 05 C6 21 4F 93 73 F6 0F

+93 DE 73 00 23 80 D2 01 93 EE 0E 01 23 80 D2 01

+86 03 93 F3 F3 0F 7D 1F E3 14 0F FE 23 80 62 00

+A1 C9 13 0F 00 02 83 23 05 00 A1 4F 93 DE F3 01

+23 80 D2 01 93 EE 0E 01 23 80 D2 01 83 CE 02 00

+93 FE 2E 00 93 DE 1E 00 86 03 B3 E3 D3 01 7D 1F

+63 17 0F 00 23 20 75 00 11 05 83 23 05 00 FD 1F

+E3 96 0F FC FD 15 F1 F1 63 04 0F 00 23 20 75 00

+13 03 00 08 A3 81 62 00 82 80 01 00 00 00 01 11

+06 CE 22 CC 00 10 AA 87 A3 07 F4 FE 03 47 F4 FE

+A9 47 63 14 F7 00 35 45 DD 37 B7 07 00 20 91 07

+03 47 F4 FE 98 C3 01 00 F2 40 62 44 05 61 82 80

+01 11 06 CE 22 CC 00 10 23 26 A4 FE 19 A8 83 27

+C4 FE 13 87 17 00 23 26 E4 FE 83 C7 07 00 3E 85

+7D 37 83 27 C4 FE 83 C7 07 00 F5 F3 01 00 01 00

+F2 40 62 44 05 61 82 80 41 11 22 C6 00 08 B7 07

+00 24 29 67 09 07 98 C3 B7 07 00 26 93 87 07 0A

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 C7 09

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 87 09

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 47 09

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 07 09

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 C7 08

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 87 08

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 47 08

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 07 08

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 C7 07

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 87 07

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 47 07

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 07 07

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 C7 06

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 87 06

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 47 06

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 07 06

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 C7 05

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 87 05

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 47 05

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 07 05

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 C7 04

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 87 04

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 47 04

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 07 04

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 87 03

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 47 03

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 07 03

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 C7 02

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 87 02

+09 67 13 07 87 80 98 C3 B7 07 00 26 93 87 47 02

+09 67 13 07 87 80 98 C3 B7 07 00 26 05 47 98 C3

+01 00 B7 07 00 26 98 43 85 47 E3 0C F7 FE B7 07

+00 25 13 87 07 02 FD 57 1C C3 37 07 00 25 41 07

+1C C3 B7 07 00 25 13 87 47 02 FD 57 1C C3 37 07

+00 25 51 07 1C C3 B7 07 00 25 13 87 87 02 FD 57

+1C C3 37 07 00 25 61 07 1C C3 B7 07 00 25 13 87

+C7 02 FD 57 1C C3 37 07 00 25 71 07 1C C3 B7 07

+00 26 B1 07 37 07 60 AB 98 C3 B7 07 00 25 13 87

+87 02 F1 57 1C C3 37 07 00 25 61 07 1C C3 B7 07

+00 25 A1 07 0D 47 98 C3 23 22 00 00 35 A0 83 27

+00 00 93 B7 17 00 93 F7 F7 0F 3E 87 23 20 E0 00

+03 27 00 00 B7 07 00 25 A1 07 98 C3 83 27 40 00

+13 87 17 00 23 22 E0 00 03 27 40 00 A9 47 E3 D8

+E7 FC B7 07 00 25 98 43 95 47 63 18 F7 00 B7 07

+00 26 B1 07 37 07 61 AB 98 C3 01 00 32 44 41 01

+82 80 00 00

diff --git a/verilog/dv/mprj_stimulus/mprj_stimulus.hex b/verilog/dv/mprj_stimulus/mprj_stimulus.hex
new file mode 100755
index 0000000..91135d0
--- /dev/null
+++ b/verilog/dv/mprj_stimulus/mprj_stimulus.hex
@@ -0,0 +1,81 @@
+@00000000

+81 40 81 41 01 42 81 42 01 43 81 43 01 44 81 44

+01 45 81 45 01 46 81 46 01 47 81 47 01 48 81 48

+01 49 81 49 01 4A 81 4A 01 4B 81 4B 01 4C 81 4C

+01 4D 81 4D 01 4E 81 4E 01 4F 81 4F 17 05 00 00

+13 05 85 4B 93 05 00 00 13 06 00 00 63 D8 C5 00

+14 41 94 C1 11 05 91 05 E3 CC C5 FE 13 05 00 00

+93 05 00 00 63 57 B5 00 23 20 05 00 11 05 E3 4D

+B5 FE 71 28 01 A0 01 00 B7 02 00 28 13 03 00 12

+23 90 62 00 A3 81 02 00 05 C6 21 4F 93 73 F6 0F

+93 DE 73 00 23 80 D2 01 93 EE 0E 01 23 80 D2 01

+86 03 93 F3 F3 0F 7D 1F E3 14 0F FE 23 80 62 00

+A1 C9 13 0F 00 02 83 23 05 00 A1 4F 93 DE F3 01

+23 80 D2 01 93 EE 0E 01 23 80 D2 01 83 CE 02 00

+93 FE 2E 00 93 DE 1E 00 86 03 B3 E3 D3 01 7D 1F

+63 17 0F 00 23 20 75 00 11 05 83 23 05 00 FD 1F

+E3 96 0F FC FD 15 F1 F1 63 04 0F 00 23 20 75 00

+13 03 00 08 A3 81 62 00 82 80 01 00 00 00 01 11

+22 CE 00 10 B7 07 00 24 29 67 09 07 98 C3 B7 07

+00 26 B1 07 23 A0 07 00 B7 07 00 26 C1 07 23 A0

+07 00 B7 07 00 26 93 87 87 0B 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 47 0B 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 07 0B 09 67 13 07 17 80

+98 C3 B7 07 00 26 93 87 C7 0A 09 67 13 07 17 80

+98 C3 B7 07 00 26 93 87 87 0A 09 67 13 07 17 80

+98 C3 B7 07 00 26 93 87 47 0A 09 67 13 07 17 80

+98 C3 B7 07 00 26 93 87 07 0A 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 C7 09 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 87 09 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 47 09 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 07 09 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 C7 08 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 87 08 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 47 08 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 07 08 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 C7 07 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 87 07 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 47 07 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 07 07 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 C7 06 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 87 06 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 47 06 09 67 13 07 97 80

+98 C3 B7 07 00 26 93 87 07 06 09 67 13 07 27 80

+98 C3 B7 07 00 26 93 87 C7 05 09 67 13 07 27 80

+98 C3 B7 07 00 26 93 87 87 05 09 67 13 07 27 80

+98 C3 B7 07 00 26 93 87 47 05 09 67 13 07 27 80

+98 C3 B7 07 00 26 93 87 07 05 09 67 13 07 27 80

+98 C3 B7 07 00 26 93 87 C7 04 09 67 13 07 27 80

+98 C3 B7 07 00 26 93 87 87 04 09 67 13 07 27 80

+98 C3 B7 07 00 26 93 87 47 04 09 67 13 07 27 80

+98 C3 B7 07 00 26 93 87 07 04 09 67 13 07 27 80

+98 C3 B7 07 00 26 93 87 87 03 09 67 13 07 87 80

+98 C3 B7 07 00 26 93 87 47 03 09 67 13 07 87 80

+98 C3 B7 07 00 26 93 87 07 03 09 67 13 07 87 80

+98 C3 B7 07 00 26 93 87 C7 02 09 67 13 07 87 80

+98 C3 B7 07 00 26 93 87 87 02 09 67 13 07 87 80

+98 C3 B7 07 00 26 93 87 47 02 09 67 13 07 87 80

+98 C3 B7 07 00 26 93 87 C7 03 09 67 13 07 97 80

+98 C3 B7 07 00 20 13 07 10 27 98 C3 B7 07 00 20

+A1 07 05 47 98 C3 B7 07 00 26 05 47 98 C3 01 00

+B7 07 00 26 98 43 85 47 E3 0C F7 FE B7 07 00 26

+93 87 07 0B 09 67 13 07 97 80 98 C3 B7 07 00 26

+93 87 C7 0A 09 67 13 07 97 80 98 C3 B7 07 00 26

+93 87 87 0A 09 67 13 07 97 80 98 C3 B7 07 00 26

+93 87 47 0A 09 67 13 07 97 80 98 C3 B7 07 00 25

+13 87 07 02 FD 57 1C C3 37 07 00 25 41 07 1C C3

+B7 07 00 25 13 87 47 02 81 47 1C C3 37 07 00 25

+51 07 1C C3 B7 07 00 25 13 87 87 02 FD 57 1C C3

+37 07 00 25 61 07 1C C3 B7 07 00 25 13 87 C7 02

+FD 57 1C C3 37 07 00 25 71 07 1C C3 B7 07 00 26

+B1 07 37 07 40 AB 98 C3 B7 07 00 25 91 07 23 A0

+07 00 B7 07 00 25 13 87 47 02 FD 57 1C C3 37 07

+00 25 51 07 1C C3 B7 07 00 26 B1 07 37 07 41 AB

+98 C3 B7 07 00 26 C1 07 23 A0 07 00 B7 07 00 26

+C1 07 13 07 A0 FC 98 C3 B7 07 00 26 C1 07 23 A0

+07 00 B7 07 00 26 C1 07 37 17 0F 0F 13 07 57 FC

+98 C3 B7 07 00 26 C1 07 23 A0 07 00 B7 07 00 26

+B1 07 9C 43 23 26 F4 FE 83 27 C4 FE 93 96 97 00

+B7 07 00 26 B1 07 37 07 1F 00 75 8F 98 C3 B7 07

+00 26 B1 07 37 07 51 AB 98 C3 01 00 72 44 05 61

+82 80 00 00

diff --git a/verilog/dv/verify.log b/verilog/dv/verify.log
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/verilog/dv/verify.log
diff --git a/verilog/dv/wb_port/wb_port.hex b/verilog/dv/wb_port/wb_port.hex
new file mode 100755
index 0000000..4c0c2f6
--- /dev/null
+++ b/verilog/dv/wb_port/wb_port.hex
@@ -0,0 +1,49 @@
+@00000000

+81 40 81 41 01 42 81 42 01 43 81 43 01 44 81 44

+01 45 81 45 01 46 81 46 01 47 81 47 01 48 81 48

+01 49 81 49 01 4A 81 4A 01 4B 81 4B 01 4C 81 4C

+01 4D 81 4D 01 4E 81 4E 01 4F 81 4F 17 05 00 00

+13 05 05 2C 93 05 00 00 13 06 00 00 63 D8 C5 00

+14 41 94 C1 11 05 91 05 E3 CC C5 FE 13 05 00 00

+93 05 80 00 63 57 B5 00 23 20 05 00 11 05 E3 4D

+B5 FE 19 22 01 A0 01 00 B7 02 00 28 13 03 00 12

+23 90 62 00 A3 81 02 00 05 C6 21 4F 93 73 F6 0F

+93 DE 73 00 23 80 D2 01 93 EE 0E 01 23 80 D2 01

+86 03 93 F3 F3 0F 7D 1F E3 14 0F FE 23 80 62 00

+A1 C9 13 0F 00 02 83 23 05 00 A1 4F 93 DE F3 01

+23 80 D2 01 93 EE 0E 01 23 80 D2 01 83 CE 02 00

+93 FE 2E 00 93 DE 1E 00 86 03 B3 E3 D3 01 7D 1F

+63 17 0F 00 23 20 75 00 11 05 83 23 05 00 FD 1F

+E3 96 0F FC FD 15 F1 F1 63 04 0F 00 23 20 75 00

+13 03 00 08 A3 81 62 00 82 80 01 00 00 00 01 11

+06 CE 22 CC 00 10 AA 87 A3 07 F4 FE 03 47 F4 FE

+A9 47 63 14 F7 00 35 45 DD 37 B7 07 00 20 91 07

+03 47 F4 FE 98 C3 01 00 F2 40 62 44 05 61 82 80

+01 11 06 CE 22 CC 00 10 23 26 A4 FE 19 A8 83 27

+C4 FE 13 87 17 00 23 26 E4 FE 83 C7 07 00 3E 85

+7D 37 83 27 C4 FE 83 C7 07 00 F5 F3 01 00 01 00

+F2 40 62 44 05 61 82 80 41 11 22 C6 00 08 B7 07

+00 24 29 67 09 07 98 C3 B7 07 00 26 93 87 07 0A

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 C7 09

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 87 09

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 47 09

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 07 09

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 C7 08

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 87 08

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 47 08

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 07 08

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 C7 07

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 87 07

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 47 07

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 07 07

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 C7 06

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 87 06

+09 67 13 07 97 80 98 C3 B7 07 00 26 93 87 47 06

+09 67 13 07 97 80 98 C3 B7 07 00 26 05 47 98 C3

+01 00 B7 07 00 26 98 43 85 47 E3 0C F7 FE B7 07

+00 25 13 87 87 02 FD 57 1C C3 37 07 00 25 61 07

+1C C3 B7 07 00 26 B1 07 37 07 60 AB 98 C3 B7 07

+00 30 09 67 13 07 07 71 98 C3 B7 07 00 30 98 43

+89 67 93 87 27 75 63 19 F7 00 B7 07 00 26 B1 07

+37 07 61 AB 98 C3 39 A0 B7 07 00 26 B1 07 37 07

+60 AB 98 C3 01 00 32 44 41 01 82 80

diff --git a/verilog/gl/user_proj_example.v b/verilog/gl/user_proj_example.v
index df2ea69..7f112cc 100644
--- a/verilog/gl/user_proj_example.v
+++ b/verilog/gl/user_proj_example.v
@@ -37,6 +37,101 @@
  output [31:0] wbs_dat_o;
  input [3:0] wbs_sel_i;
 
+<<<<<<< HEAD
+ AND2X1 AND2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net428),
+    .B(net12),
+    .A(net1));
+ AND2X2 AND2X2 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net439),
+    .A(net32),
+    .B(net33));
+ AOI21X1 AOI21X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net443),
+    .A(net35),
+    .C(net37),
+    .B(net36));
+ AOI22X1 AOI22X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net411),
+    .D(net5),
+    .B(net3),
+    .C(net4),
+    .A(net2));
+ BUFX2 BUFX2 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net413),
+    .A(net7));
+ BUFX4 BUFX4 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net415),
+    .A(net9));
+ CLKBUF1 CLKBUF1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net418),
+    .A(net11));
+ INV INV (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net420),
+    .A(net14));
+ INVX1 INVX1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net422),
+    .A(net16));
+ INVX2 INVX2 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net424),
+    .A(net18));
+ INVX4 INVX4 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net426),
+    .A(net20));
+ INVX8 INVX8 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net429),
+    .A(net22));
+ MUX2X1 MUX2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net433),
+    .S(net27),
+    .A(net25),
+    .B(net26));
+ NAND2X1 NAND2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net436),
+    .B(net30),
+    .A(net29));
+ NAND3X1 NAND3X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net508),
+    .C(net89),
+    .B(net78),
+    .A(net39));
+ OR2X1 OR2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net541),
+    .A(net111),
+    .B(net122));
+ OR2X2 OR2X2 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net574),
+    .A(net144),
+    .B(net155));
+ XNOR2X1 XNOR2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net478),
+    .B(net61),
+    .A(net50));
+ XOR2X1 XOR2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net481),
+    .A(net71),
+    .B(net72));
+ sky130_fd_sc_ls__conb_1 _000_ (.HI(net371),
+=======
  wire _000_;
  wire _001_;
  wire _002_;
@@ -822,1110 +917,38156 @@
     .VPB(vccd1),
     .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__370__B1 (.DIODE(net167),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _001_ (.HI(net372),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__371__B1 (.DIODE(net166),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _002_ (.HI(net374),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__372__A (.DIODE(_179_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _003_ (.HI(net376),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__373__A1 (.DIODE(net230),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _004_ (.HI(net378),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__373__B1 (.DIODE(net165),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _005_ (.HI(net381),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__374__A (.DIODE(_180_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _006_ (.HI(net383),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__375__A1 (.DIODE(net229),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _007_ (.HI(net385),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__375__B1 (.DIODE(net164),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _008_ (.HI(net387),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__376__A1 (.DIODE(net228),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _009_ (.HI(net389),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__376__B1 (.DIODE(net163),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _010_ (.HI(net392),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__377__A1 (.DIODE(net227),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _011_ (.HI(net393),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__377__B1 (.DIODE(net162),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _012_ (.HI(net394),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__378__A1 (.DIODE(net226),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _013_ (.HI(net396),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__378__B1 (.DIODE(net161),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _014_ (.HI(net397),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__380__A1 (.DIODE(net225),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _015_ (.HI(net368),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__380__B1 (.DIODE(net160),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _016_ (.HI(net379),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__381__A (.DIODE(_180_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _017_ (.HI(net399),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__382__A1 (.DIODE(net224),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _018_ (.HI(net400),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__382__B1 (.DIODE(net159),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _019_ (.HI(net402),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__383__A1 (.DIODE(net223),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _020_ (.HI(net403),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__383__B1 (.DIODE(net158),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _021_ (.HI(net404),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__384__A1 (.DIODE(net221),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _022_ (.HI(net369),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__384__B1 (.DIODE(net156),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _023_ (.HI(net370),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__385__A1 (.DIODE(net220),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _024_ (.LO(net373),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__385__B1 (.DIODE(net155),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _025_ (.LO(net375),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__387__A1 (.DIODE(net219),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _026_ (.LO(net377),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__387__B1 (.DIODE(net154),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _027_ (.LO(net380),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__389__A1 (.DIODE(net218),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _028_ (.LO(net382),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__389__B1 (.DIODE(net153),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _029_ (.LO(net384),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__390__B1 (.DIODE(net152),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _030_ (.LO(net386),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__391__A1 (.DIODE(net216),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _031_ (.LO(net388),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__391__B1 (.DIODE(net151),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _032_ (.LO(net391),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__392__A1 (.DIODE(net215),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _033_ (.LO(net395),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__392__B1 (.DIODE(net150),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _034_ (.LO(net398),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__394__B1 (.DIODE(net149),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _035_ (.LO(net406),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__396__B1 (.DIODE(net148),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _036_ (.LO(net417),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__397__B1 (.DIODE(net147),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _037_ (.LO(net437),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__398__B1 (.DIODE(net177),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _038_ (.LO(net438),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__399__B1 (.DIODE(net176),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _039_ (.LO(net440),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__401__B1 (.DIODE(net175),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _040_ (.LO(net441),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__403__B1 (.DIODE(net174),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _041_ (.LO(net442),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__404__A (.DIODE(net173),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _042_ (.LO(net407),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__405__B1 (.DIODE(_192_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _043_ (.LO(net408),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__406__B1 (.DIODE(net172),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _044_ (.LO(net409),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__408__A1 (.DIODE(net233),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _045_ (.LO(net410),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__408__B1 (.DIODE(net314),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _046_ (.LO(net412),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__408__B2 (.DIODE(_179_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _047_ (.LO(net414),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__409__A1 (.DIODE(net222),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _048_ (.LO(net416),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__409__A2 (.DIODE(_180_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _049_ (.LO(net419),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__409__B2 (.DIODE(_179_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _050_ (.LO(net421),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__410__A1 (.DIODE(net211),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _051_ (.LO(net423),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__410__A2 (.DIODE(_180_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _052_ (.LO(net425),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__410__B2 (.DIODE(_179_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _053_ (.LO(net427),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__411__A (.DIODE(_174_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _054_ (.LO(net430),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__412__A (.DIODE(_193_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _055_ (.LO(net431),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__414__A (.DIODE(_193_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _056_ (.LO(net432),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__415__A1 (.DIODE(net36),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _057_ (.LO(net434),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__415__B1 (.DIODE(net63),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _058_ (.LO(net435),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__416__B1 (.DIODE(net61),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _059_ (.LO(net444),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__418__A (.DIODE(_193_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _060_ (.LO(net445),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__420__A1 (.DIODE(net66),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _061_ (.LO(net446),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__421__A (.DIODE(_193_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _062_ (.LO(net447),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__422__B1 (.DIODE(net65),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _063_ (.LO(net486),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__423__B1 (.DIODE(net64),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _064_ (.LO(net497),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__424__A1 (.DIODE(net57),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _065_ (.LO(net519),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__425__A1 (.DIODE(net58),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _066_ (.LO(net530),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__431__A1 (.DIODE(net60),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _067_ (.LO(net552),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__434__A1 (.DIODE(net62),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _068_ (.LO(net563),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__439__A (.DIODE(net153),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _069_ (.LO(net458),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__440__A (.DIODE(net148),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _070_ (.LO(net469),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__441__A (.DIODE(net147),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _071_ (.LO(net479),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__442__A (.DIODE(net175),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _072_ (.LO(net480),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__442__B (.DIODE(net172),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _073_ (.LO(net482),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__443__B (.DIODE(net146),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _074_ (.LO(net483),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__446__B (.DIODE(net173),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _075_ (.LO(net484),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__446__C (.DIODE(net174),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _076_ (.LO(net485),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__447__A (.DIODE(net176),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _077_ (.LO(net487),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__449__A (.DIODE(net177),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _078_ (.LO(net488),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__452__A (.DIODE(net150),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _079_ (.LO(net489),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__452__B (.DIODE(net149),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _080_ (.LO(net490),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__453__A (.DIODE(net151),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _081_ (.LO(net491),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__455__A (.DIODE(net152),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _082_ (.LO(net492),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__458__B (.DIODE(_176_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _083_ (.LO(net493),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__460__A (.DIODE(net154),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _084_ (.LO(net494),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__462__A1 (.DIODE(net154),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _085_ (.LO(net495),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__463__B (.DIODE(_176_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _086_ (.LO(net496),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__464__A (.DIODE(net155),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _087_ (.LO(net498),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__466__B1 (.DIODE(net155),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _088_ (.LO(net499),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__467__B (.DIODE(_176_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _089_ (.LO(net500),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__468__A (.DIODE(net156),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _090_ (.LO(net501),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__473__B (.DIODE(_176_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _091_ (.LO(net502),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__474__A (.DIODE(net158),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _092_ (.LO(net503),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__477__A (.DIODE(_175_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _093_ (.LO(net504),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__480__A (.DIODE(net159),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _094_ (.LO(net505),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__482__A1 (.DIODE(net159),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _095_ (.LO(net506),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__483__C (.DIODE(net22),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _096_ (.LO(net507),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__484__A (.DIODE(net160),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _097_ (.LO(net509),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__486__B1 (.DIODE(net160),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _098_ (.LO(net510),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__487__A_N (.DIODE(net57),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _099_ (.LO(net511),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__487__C (.DIODE(net23),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _100_ (.LO(net512),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__488__A (.DIODE(net161),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _101_ (.LO(net513),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__493__A_N (.DIODE(net58),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _102_ (.LO(net514),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__493__C (.DIODE(net24),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _103_ (.LO(net515),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__494__A2_N (.DIODE(_033_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _104_ (.LO(net516),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__494__B2 (.DIODE(_033_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _105_ (.LO(net517),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__499__A_N (.DIODE(net36),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _106_ (.LO(net518),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__505__A (.DIODE(net172),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _107_ (.LO(net520),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__506__A1 (.DIODE(net172),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _108_ (.LO(net521),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__509__A1_N (.DIODE(_192_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _109_ (.LO(net522),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__509__B1 (.DIODE(_192_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _110_ (.LO(net523),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__511__A (.DIODE(net174),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _111_ (.LO(net524),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__511__B (.DIODE(_192_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _112_ (.LO(net525),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__512__A1 (.DIODE(_192_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _113_ (.LO(net526),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__512__B1 (.DIODE(net174),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _114_ (.LO(net527),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__514__A (.DIODE(_175_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _115_ (.LO(net528),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__516__A1 (.DIODE(net175),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _116_ (.LO(net529),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__518__A1 (.DIODE(net176),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _117_ (.LO(net531),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__521__A1 (.DIODE(net177),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _118_ (.LO(net532),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__525__C (.DIODE(net11),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _119_ (.LO(net533),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__527__A (.DIODE(_175_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _120_ (.LO(net534),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__529__A (.DIODE(net149),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _121_ (.LO(net535),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__533__A1 (.DIODE(net150),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _122_ (.LO(net536),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__535__A1 (.DIODE(net151),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _123_ (.LO(net537),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__537__A1 (.DIODE(net152),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _124_ (.LO(net538),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__539__A (.DIODE(net162),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _125_ (.LO(net539),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__542__A (.DIODE(_175_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _126_ (.LO(net540),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__545__A (.DIODE(net163),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _127_ (.LO(net542),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__547__A1 (.DIODE(net163),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _128_ (.LO(net543),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__548__A_N (.DIODE(net60),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _129_ (.LO(net544),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__549__A (.DIODE(net164),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _130_ (.LO(net545),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__552__A_N (.DIODE(net61),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _131_ (.LO(net546),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__553__A (.DIODE(net165),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _132_ (.LO(net547),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__558__A_N (.DIODE(net62),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _133_ (.LO(net548),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__559__A (.DIODE(net166),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _134_ (.LO(net549),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__562__A_N (.DIODE(net63),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _135_ (.LO(net550),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__562__C (.DIODE(net29),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _136_ (.LO(net551),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__563__A (.DIODE(net167),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _137_ (.LO(net553),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__563__B (.DIODE(net166),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _138_ (.LO(net554),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__564__A1 (.DIODE(net167),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _139_ (.LO(net555),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__565__A_N (.DIODE(net64),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _140_ (.LO(net556),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__565__C (.DIODE(net30),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _141_ (.LO(net557),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__566__A (.DIODE(net169),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _142_ (.LO(net558),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__567__A1 (.DIODE(net169),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _143_ (.LO(net559),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__568__A_N (.DIODE(net65),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _144_ (.LO(net560),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__568__C (.DIODE(net31),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _145_ (.LO(net561),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__569__A (.DIODE(net170),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _146_ (.LO(net562),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__570__A1 (.DIODE(net169),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _147_ (.LO(net564),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__570__B1 (.DIODE(net170),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _148_ (.LO(net565),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__571__A_N (.DIODE(net66),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _149_ (.LO(net566),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__571__C (.DIODE(net32),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _150_ (.LO(net567),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__572__A (.DIODE(_167_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _151_ (.LO(net568),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__679__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _152_ (.LO(net569),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__680__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _153_ (.LO(net570),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__681__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _154_ (.LO(net571),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__682__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _155_ (.LO(net572),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__683__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _156_ (.LO(net573),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__684__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _157_ (.LO(net448),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__685__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _158_ (.LO(net449),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__686__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _159_ (.LO(net450),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__687__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _160_ (.LO(net451),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__688__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _161_ (.LO(net452),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__689__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _162_ (.LO(net453),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__690__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _163_ (.LO(net454),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__691__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _164_ (.LO(net455),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__692__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _165_ (.LO(net456),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__693__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _166_ (.LO(net457),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__694__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _167_ (.LO(net459),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__695__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _168_ (.LO(net460),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__696__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _169_ (.LO(net461),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__697__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _170_ (.LO(net462),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__698__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _171_ (.LO(net463),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__699__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _172_ (.LO(net464),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__700__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _173_ (.LO(net465),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__701__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _174_ (.LO(net466),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__702__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _175_ (.LO(net467),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__703__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _176_ (.LO(net468),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__704__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _177_ (.LO(net470),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__705__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _178_ (.LO(net471),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__706__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _179_ (.LO(net472),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__707__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _180_ (.LO(net473),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__708__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _181_ (.LO(net474),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__709__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _182_ (.LO(net475),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__710__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _183_ (.LO(net476),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__711__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _184_ (.LO(net477),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__712__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _185_ (.LO(net575),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__713__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _186_ (.LO(net576),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__714__A (.DIODE(net138),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _187_ (.LO(net587),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__719__A (.DIODE(net172),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _188_ (.LO(net598),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__720__A (.DIODE(net173),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _189_ (.LO(net601),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__721__A (.DIODE(net174),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _190_ (.LO(net602),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__722__A (.DIODE(net175),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _191_ (.LO(net603),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__723__A (.DIODE(net176),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _192_ (.LO(net604),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__724__A (.DIODE(net177),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _193_ (.LO(net605),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__725__A (.DIODE(net147),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _194_ (.LO(net606),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__726__A (.DIODE(net148),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _195_ (.LO(net607),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__727__A (.DIODE(net149),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _196_ (.LO(net577),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__728__A (.DIODE(net150),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _197_ (.LO(net578),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__729__A (.DIODE(net151),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _198_ (.LO(net579),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__730__A (.DIODE(net152),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _199_ (.LO(net580),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__731__A (.DIODE(net153),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _200_ (.LO(net581),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__732__A (.DIODE(net154),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _201_ (.LO(net582),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__733__A (.DIODE(net155),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _202_ (.LO(net583),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__734__A (.DIODE(net156),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _203_ (.LO(net584),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__735__A (.DIODE(net158),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _204_ (.LO(net585),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__736__A (.DIODE(net159),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _205_ (.LO(net586),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__737__A (.DIODE(net160),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _206_ (.LO(net588),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__738__A (.DIODE(net161),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _207_ (.LO(net589),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__739__A (.DIODE(net162),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _208_ (.LO(net590),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__740__A (.DIODE(net163),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _209_ (.LO(net591),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__741__A (.DIODE(net164),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _210_ (.LO(net592),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__742__A (.DIODE(net165),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _211_ (.LO(net593),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__743__A (.DIODE(net166),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _212_ (.LO(net594),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__744__A (.DIODE(net167),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _213_ (.LO(net595),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__745__A (.DIODE(net169),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _214_ (.LO(net596),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__746__A (.DIODE(net170),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _215_ (.LO(net597),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__747__A0 (.DIODE(_033_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _216_ (.LO(net599),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__747__S (.DIODE(_000_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _217_ (.LO(net600),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__748__A0 (.DIODE(_033_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _218_ (.LO(net390),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__748__S (.DIODE(_000_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _219_ (.LO(net401),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__749__A0 (.DIODE(_033_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__conb_1 _220_ (.LO(net405),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__749__S (.DIODE(_000_),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+<<<<<<< HEAD
+ sky130_fd_sc_ls__decap_4 PHY_0 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_1 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_2 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_5 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_6 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_10 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_14 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_19 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_22 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_23 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_31 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_34 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_78 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_140 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_160 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 PHY_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_164 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_165 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_166 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_167 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_168 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_169 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_170 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_171 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_172 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_173 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_174 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_175 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_176 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_177 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_178 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_179 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_180 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_181 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_182 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_183 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_184 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_185 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_186 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_187 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_188 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_189 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_190 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_191 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_192 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_193 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_194 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_195 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_196 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_197 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_198 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_199 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_200 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_201 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_202 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_203 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_204 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_205 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_206 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_207 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_208 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_209 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_210 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_211 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_212 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_213 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_214 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_215 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_216 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_217 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_218 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_219 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_220 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_221 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_222 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_223 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_224 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_225 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_226 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_227 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_228 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_229 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_230 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_231 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_232 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_233 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_234 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_235 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_236 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_237 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_238 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_239 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_240 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_241 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_242 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_243 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_244 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_245 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_246 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_247 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_248 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_249 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_250 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_251 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_252 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_253 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_254 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_255 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_256 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_257 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_258 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_259 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_260 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_261 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_262 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_263 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_264 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_265 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_266 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_267 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_268 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_269 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_270 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_271 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_272 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_273 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_274 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_275 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_276 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_277 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_278 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_279 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_280 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_281 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_282 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_283 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_284 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_285 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_286 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_287 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_288 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_289 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_290 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_291 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_292 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_293 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_294 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_295 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_296 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_297 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_298 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_299 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_300 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_301 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_302 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_303 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_304 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_305 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_306 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_307 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_308 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_309 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_310 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_311 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_312 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_313 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_314 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_315 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_316 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_317 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_318 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_319 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_320 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_321 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_322 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_323 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_324 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_325 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_326 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_327 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_328 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_329 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_330 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_331 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_332 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_333 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_334 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_335 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_336 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_337 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_338 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_339 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_340 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_341 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_342 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_343 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_344 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_345 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_346 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_347 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_348 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_349 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_350 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_351 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_352 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_353 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_354 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_355 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_356 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_357 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_358 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_359 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_360 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_361 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_362 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_363 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_364 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_365 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_366 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_367 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_368 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_369 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_370 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_371 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_372 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_373 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_374 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_375 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_376 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_377 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_378 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_379 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_380 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_381 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_382 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_383 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_384 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_385 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_386 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_387 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_388 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_389 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_390 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_391 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_392 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_393 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_394 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_395 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_396 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_397 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_398 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_399 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_400 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_401 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_402 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_403 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_404 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_405 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_406 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_407 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_408 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_409 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_410 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_411 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_412 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_413 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_414 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_415 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_416 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_417 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_418 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_419 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_420 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_421 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_422 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_423 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_424 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_425 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_426 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_427 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_428 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_429 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_430 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_431 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_432 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_433 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_434 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_435 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_436 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_437 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_438 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_439 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_440 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_441 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_442 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_443 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_444 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_445 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_446 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_447 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_448 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_449 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_450 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_451 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_452 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_453 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_454 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_455 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_456 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_457 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_458 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_459 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_460 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_461 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_462 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_463 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_464 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_465 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_466 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_467 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_468 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_469 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_470 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_471 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_472 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_473 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_474 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_475 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_476 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_477 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_478 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_479 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_480 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_481 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_482 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_483 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_484 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_485 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_486 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_487 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_488 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_489 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_490 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_491 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_492 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_493 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_494 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_495 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_496 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_497 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_498 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_499 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_500 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_501 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_502 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_503 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_504 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_505 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_506 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_507 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_508 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_509 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_510 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_511 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_512 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_513 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_514 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_515 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_516 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_517 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_518 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_519 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_520 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_521 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_522 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_523 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_524 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_525 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_526 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_527 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_528 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_529 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_530 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_531 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_532 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_533 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_534 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_535 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_536 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_537 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_538 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_539 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_540 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_541 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_542 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_543 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_544 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_545 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_546 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_547 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_548 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_549 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_550 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_551 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_552 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_553 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_554 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_555 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_556 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_557 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_558 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_559 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_560 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_561 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_562 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_563 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_564 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_565 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_566 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_567 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_568 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_569 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_570 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_571 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_572 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_573 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_574 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_575 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_576 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_577 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_578 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_579 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_580 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_581 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_582 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_583 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_584 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_585 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_586 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_587 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_588 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_589 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_590 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_591 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_592 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_593 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_594 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_595 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_596 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_597 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_598 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_599 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_600 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_601 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_602 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_603 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_604 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_605 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_606 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_607 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_608 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_609 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_610 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_611 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_612 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_613 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_614 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_615 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_616 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_617 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_618 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_619 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_620 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_621 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_622 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_623 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_624 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_625 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_626 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_627 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_628 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_629 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_630 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_631 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_632 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_633 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_634 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_635 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_636 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_637 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_638 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_639 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_640 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_641 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_642 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_643 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_644 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_645 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_646 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_647 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_648 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_649 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_650 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_651 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_652 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_653 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_654 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_655 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_656 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_657 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_658 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_659 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_660 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_661 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_662 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_663 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_664 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_665 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_666 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_667 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_668 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_669 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_670 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_671 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_672 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_673 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_674 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_675 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_676 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_677 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_678 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_679 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_680 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_681 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_682 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_683 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_684 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_685 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_686 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_687 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_688 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_689 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_690 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_691 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_692 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_693 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_694 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_695 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_696 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_697 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_698 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_699 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_700 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_701 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_702 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_703 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_704 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_705 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_706 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_707 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_708 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_709 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_710 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_711 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_712 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_713 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_714 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_715 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_716 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_717 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_718 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_719 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_720 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_721 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_722 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_723 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_724 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_725 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_726 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_727 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_728 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_729 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_730 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_731 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_732 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_733 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_734 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_735 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_736 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_737 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_738 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_739 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_740 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_741 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_742 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_743 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_744 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_745 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_746 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_747 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_748 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_749 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_750 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_751 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_752 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_753 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_754 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_755 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_756 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_757 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_758 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_759 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_760 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_761 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_762 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_763 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_764 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_765 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_766 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_767 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_768 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_769 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_770 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_771 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_772 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_773 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_774 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_775 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_776 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_777 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_778 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_779 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_780 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_781 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_782 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_783 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_784 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_785 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_786 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_787 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_788 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_789 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_790 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_791 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_792 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_793 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_794 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_795 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_796 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_797 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_798 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_799 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_800 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_801 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_802 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_803 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_804 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_805 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_806 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_807 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_808 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_809 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_810 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_811 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_812 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_813 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_814 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_815 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_816 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_817 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_818 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_819 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_820 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_821 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_822 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_823 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_824 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_825 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_826 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_827 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_828 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_829 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_830 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_831 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_832 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_833 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_834 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_835 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_836 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_837 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_838 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_839 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_840 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_841 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_842 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_843 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_844 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_845 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_846 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_847 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_848 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_849 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_850 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_851 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_852 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_853 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_854 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_855 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_856 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_857 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_858 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_859 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_860 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_861 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_862 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_863 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_864 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_865 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_866 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_867 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_868 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_869 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_870 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_871 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_872 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_873 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_874 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_875 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_876 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_877 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_878 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_879 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_880 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_881 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_882 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_883 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_884 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_885 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_886 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_887 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_888 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_889 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_890 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_891 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_892 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_893 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_894 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_895 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_896 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_897 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_898 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_899 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_900 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_901 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_902 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_903 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_904 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_905 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_906 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_907 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_908 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_909 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_910 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_911 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_912 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_913 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_914 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_915 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_916 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_917 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_918 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_919 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_920 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_921 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_922 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_923 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_924 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_925 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_926 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_927 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_928 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_929 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_930 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_931 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_932 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_933 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_934 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_935 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_936 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_937 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_938 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_939 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_940 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_941 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_942 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_943 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_944 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_945 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_946 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_947 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_948 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_949 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_950 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_951 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_952 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_953 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_954 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_955 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_956 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_957 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_958 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_959 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_960 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_961 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_962 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_963 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_964 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_965 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_966 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_967 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_968 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_969 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_970 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_971 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_972 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_973 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_974 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_975 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_976 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_977 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_978 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_979 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_980 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_981 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_982 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_983 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_984 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_985 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_986 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_987 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_988 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_989 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_990 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_991 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_992 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_993 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_994 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_995 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_996 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_997 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_998 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_999 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1000 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1001 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1002 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1003 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1004 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1005 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1006 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1007 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1008 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1009 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1010 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1011 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1012 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1013 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1014 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1015 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1016 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1017 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1018 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1019 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1020 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1021 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1022 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1023 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1024 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1025 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1026 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1027 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1028 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1029 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1030 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1031 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1032 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1033 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1034 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1035 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1036 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1037 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1038 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1039 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1040 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1041 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1042 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1043 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1044 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__tapvpwrvgnd_1 PHY_1045 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input1 (.A(io_in[0]),
+    .X(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input2 (.A(io_in[10]),
+    .X(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input3 (.A(io_in[11]),
+    .X(net3),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input4 (.A(io_in[12]),
+    .X(net4),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input5 (.A(io_in[13]),
+    .X(net5),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input6 (.A(io_in[14]),
+    .X(net6),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input7 (.A(io_in[15]),
+    .X(net7),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input8 (.A(io_in[16]),
+    .X(net8),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input9 (.A(io_in[17]),
+    .X(net9),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input10 (.A(io_in[18]),
+    .X(net10),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input11 (.A(io_in[19]),
+    .X(net11),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input12 (.A(io_in[1]),
+    .X(net12),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input13 (.A(io_in[20]),
+    .X(net13),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input14 (.A(io_in[21]),
+    .X(net14),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input15 (.A(io_in[22]),
+    .X(net15),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_4 input16 (.A(io_in[23]),
+    .X(net16),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input17 (.A(io_in[24]),
+    .X(net17),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_4 input18 (.A(io_in[25]),
+    .X(net18),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input19 (.A(io_in[26]),
+    .X(net19),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_4 input20 (.A(io_in[27]),
+    .X(net20),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input21 (.A(io_in[28]),
+    .X(net21),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_4 input22 (.A(io_in[29]),
+    .X(net22),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input23 (.A(io_in[2]),
+    .X(net23),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input24 (.A(io_in[30]),
+    .X(net24),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_4 input25 (.A(io_in[31]),
+    .X(net25),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_4 input26 (.A(io_in[32]),
+    .X(net26),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_4 input27 (.A(io_in[33]),
+    .X(net27),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input28 (.A(io_in[34]),
+    .X(net28),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_4 input29 (.A(io_in[35]),
+    .X(net29),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_4 input30 (.A(io_in[36]),
+    .X(net30),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input31 (.A(io_in[37]),
+    .X(net31),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input32 (.A(io_in[3]),
+    .X(net32),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input33 (.A(io_in[4]),
+    .X(net33),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input34 (.A(io_in[5]),
+    .X(net34),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 input35 (.A(io_in[6]),
+    .X(net35),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input36 (.A(io_in[7]),
+    .X(net36),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_2 input37 (.A(io_in[8]),
+    .X(net37),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input38 (.A(io_in[9]),
+    .X(net38),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input39 (.A(la_data_in[0]),
+    .X(net39),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input40 (.A(la_data_in[100]),
+    .X(net40),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input41 (.A(la_data_in[101]),
+    .X(net41),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input42 (.A(la_data_in[102]),
+    .X(net42),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input43 (.A(la_data_in[103]),
+    .X(net43),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input44 (.A(la_data_in[104]),
+    .X(net44),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input45 (.A(la_data_in[105]),
+    .X(net45),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input46 (.A(la_data_in[106]),
+    .X(net46),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input47 (.A(la_data_in[107]),
+    .X(net47),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input48 (.A(la_data_in[108]),
+    .X(net48),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input49 (.A(la_data_in[109]),
+    .X(net49),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_1 input50 (.A(la_data_in[10]),
+    .X(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input51 (.A(la_data_in[110]),
+    .X(net51),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input52 (.A(la_data_in[111]),
+    .X(net52),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input53 (.A(la_data_in[112]),
+    .X(net53),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input54 (.A(la_data_in[113]),
+    .X(net54),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input55 (.A(la_data_in[114]),
+    .X(net55),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input56 (.A(la_data_in[115]),
+    .X(net56),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input57 (.A(la_data_in[116]),
+    .X(net57),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input58 (.A(la_data_in[117]),
+    .X(net58),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input59 (.A(la_data_in[118]),
+    .X(net59),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input60 (.A(la_data_in[119]),
+    .X(net60),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_1 input61 (.A(la_data_in[11]),
+    .X(net61),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input62 (.A(la_data_in[120]),
+    .X(net62),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input63 (.A(la_data_in[121]),
+    .X(net63),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input64 (.A(la_data_in[122]),
+    .X(net64),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input65 (.A(la_data_in[123]),
+    .X(net65),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input66 (.A(la_data_in[124]),
+    .X(net66),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input67 (.A(la_data_in[125]),
+    .X(net67),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input68 (.A(la_data_in[126]),
+    .X(net68),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input69 (.A(la_data_in[127]),
+    .X(net69),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input70 (.A(la_data_in[12]),
+    .X(net70),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_1 input71 (.A(la_data_in[13]),
+    .X(net71),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_1 input72 (.A(la_data_in[14]),
+    .X(net72),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input73 (.A(la_data_in[15]),
+    .X(net73),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input74 (.A(la_data_in[16]),
+    .X(net74),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input75 (.A(la_data_in[17]),
+    .X(net75),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input76 (.A(la_data_in[18]),
+    .X(net76),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input77 (.A(la_data_in[19]),
+    .X(net77),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input78 (.A(la_data_in[1]),
+    .X(net78),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input79 (.A(la_data_in[20]),
+    .X(net79),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input80 (.A(la_data_in[21]),
+    .X(net80),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input81 (.A(la_data_in[22]),
+    .X(net81),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input82 (.A(la_data_in[23]),
+    .X(net82),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input83 (.A(la_data_in[24]),
+    .X(net83),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input84 (.A(la_data_in[25]),
+    .X(net84),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input85 (.A(la_data_in[26]),
+    .X(net85),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input86 (.A(la_data_in[27]),
+    .X(net86),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input87 (.A(la_data_in[28]),
+    .X(net87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input88 (.A(la_data_in[29]),
+    .X(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input89 (.A(la_data_in[2]),
+    .X(net89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input90 (.A(la_data_in[30]),
+    .X(net90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input91 (.A(la_data_in[31]),
+    .X(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input92 (.A(la_data_in[32]),
+    .X(net92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input93 (.A(la_data_in[33]),
+    .X(net93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input94 (.A(la_data_in[34]),
+    .X(net94),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input95 (.A(la_data_in[35]),
+    .X(net95),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input96 (.A(la_data_in[36]),
+    .X(net96),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input97 (.A(la_data_in[37]),
+    .X(net97),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input98 (.A(la_data_in[38]),
+    .X(net98),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input99 (.A(la_data_in[39]),
+    .X(net99),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input100 (.A(la_data_in[3]),
+    .X(net100),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input101 (.A(la_data_in[40]),
+    .X(net101),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input102 (.A(la_data_in[41]),
+    .X(net102),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input103 (.A(la_data_in[42]),
+    .X(net103),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input104 (.A(la_data_in[43]),
+    .X(net104),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input105 (.A(la_data_in[44]),
+    .X(net105),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input106 (.A(la_data_in[45]),
+    .X(net106),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input107 (.A(la_data_in[46]),
+    .X(net107),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input108 (.A(la_data_in[47]),
+    .X(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input109 (.A(la_data_in[48]),
+    .X(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input110 (.A(la_data_in[49]),
+    .X(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_1 input111 (.A(la_data_in[4]),
+    .X(net111),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input112 (.A(la_data_in[50]),
+    .X(net112),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input113 (.A(la_data_in[51]),
+    .X(net113),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input114 (.A(la_data_in[52]),
+    .X(net114),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input115 (.A(la_data_in[53]),
+    .X(net115),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input116 (.A(la_data_in[54]),
+    .X(net116),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input117 (.A(la_data_in[55]),
+    .X(net117),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input118 (.A(la_data_in[56]),
+    .X(net118),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input119 (.A(la_data_in[57]),
+    .X(net119),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input120 (.A(la_data_in[58]),
+    .X(net120),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input121 (.A(la_data_in[59]),
+    .X(net121),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_1 input122 (.A(la_data_in[5]),
+    .X(net122),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input123 (.A(la_data_in[60]),
+    .X(net123),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input124 (.A(la_data_in[61]),
+    .X(net124),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input125 (.A(la_data_in[62]),
+    .X(net125),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input126 (.A(la_data_in[63]),
+    .X(net126),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input127 (.A(la_data_in[64]),
+    .X(net127),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input128 (.A(la_data_in[65]),
+    .X(net128),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input129 (.A(la_data_in[66]),
+    .X(net129),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input130 (.A(la_data_in[67]),
+    .X(net130),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input131 (.A(la_data_in[68]),
+    .X(net131),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input132 (.A(la_data_in[69]),
+    .X(net132),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input133 (.A(la_data_in[6]),
+    .X(net133),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input134 (.A(la_data_in[70]),
+    .X(net134),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input135 (.A(la_data_in[71]),
+    .X(net135),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input136 (.A(la_data_in[72]),
+    .X(net136),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input137 (.A(la_data_in[73]),
+    .X(net137),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input138 (.A(la_data_in[74]),
+    .X(net138),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input139 (.A(la_data_in[75]),
+    .X(net139),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input140 (.A(la_data_in[76]),
+    .X(net140),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input141 (.A(la_data_in[77]),
+    .X(net141),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input142 (.A(la_data_in[78]),
+    .X(net142),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input143 (.A(la_data_in[79]),
+    .X(net143),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_1 input144 (.A(la_data_in[7]),
+    .X(net144),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input145 (.A(la_data_in[80]),
+    .X(net145),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input146 (.A(la_data_in[81]),
+    .X(net146),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input147 (.A(la_data_in[82]),
+    .X(net147),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input148 (.A(la_data_in[83]),
+    .X(net148),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input149 (.A(la_data_in[84]),
+    .X(net149),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input150 (.A(la_data_in[85]),
+    .X(net150),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input151 (.A(la_data_in[86]),
+    .X(net151),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input152 (.A(la_data_in[87]),
+    .X(net152),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input153 (.A(la_data_in[88]),
+    .X(net153),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input154 (.A(la_data_in[89]),
+    .X(net154),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__buf_1 input155 (.A(la_data_in[8]),
+    .X(net155),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input156 (.A(la_data_in[90]),
+    .X(net156),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input157 (.A(la_data_in[91]),
+    .X(net157),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input158 (.A(la_data_in[92]),
+    .X(net158),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input159 (.A(la_data_in[93]),
+    .X(net159),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input160 (.A(la_data_in[94]),
+    .X(net160),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input161 (.A(la_data_in[95]),
+    .X(net161),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input162 (.A(la_data_in[96]),
+    .X(net162),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input163 (.A(la_data_in[97]),
+    .X(net163),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input164 (.A(la_data_in[98]),
+    .X(net164),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input165 (.A(la_data_in[99]),
+    .X(net165),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input166 (.A(la_data_in[9]),
+    .X(net166),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input167 (.A(la_oenb[0]),
+    .X(net167),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input168 (.A(la_oenb[100]),
+    .X(net168),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input169 (.A(la_oenb[101]),
+    .X(net169),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input170 (.A(la_oenb[102]),
+    .X(net170),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input171 (.A(la_oenb[103]),
+    .X(net171),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input172 (.A(la_oenb[104]),
+    .X(net172),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input173 (.A(la_oenb[105]),
+    .X(net173),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input174 (.A(la_oenb[106]),
+    .X(net174),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input175 (.A(la_oenb[107]),
+    .X(net175),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input176 (.A(la_oenb[108]),
+    .X(net176),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input177 (.A(la_oenb[109]),
+    .X(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input178 (.A(la_oenb[10]),
+    .X(net178),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input179 (.A(la_oenb[110]),
+    .X(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input180 (.A(la_oenb[111]),
+    .X(net180),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input181 (.A(la_oenb[112]),
+    .X(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input182 (.A(la_oenb[113]),
+    .X(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input183 (.A(la_oenb[114]),
+    .X(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input184 (.A(la_oenb[115]),
+    .X(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input185 (.A(la_oenb[116]),
+    .X(net185),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input186 (.A(la_oenb[117]),
+    .X(net186),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input187 (.A(la_oenb[118]),
+    .X(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input188 (.A(la_oenb[119]),
+    .X(net188),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input189 (.A(la_oenb[11]),
+    .X(net189),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input190 (.A(la_oenb[120]),
+    .X(net190),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input191 (.A(la_oenb[121]),
+    .X(net191),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input192 (.A(la_oenb[122]),
+    .X(net192),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input193 (.A(la_oenb[123]),
+    .X(net193),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input194 (.A(la_oenb[124]),
+    .X(net194),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input195 (.A(la_oenb[125]),
+    .X(net195),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input196 (.A(la_oenb[126]),
+    .X(net196),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input197 (.A(la_oenb[127]),
+    .X(net197),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input198 (.A(la_oenb[12]),
+    .X(net198),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input199 (.A(la_oenb[13]),
+    .X(net199),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input200 (.A(la_oenb[14]),
+    .X(net200),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input201 (.A(la_oenb[15]),
+    .X(net201),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input202 (.A(la_oenb[16]),
+    .X(net202),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input203 (.A(la_oenb[17]),
+    .X(net203),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input204 (.A(la_oenb[18]),
+    .X(net204),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input205 (.A(la_oenb[19]),
+    .X(net205),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input206 (.A(la_oenb[1]),
+    .X(net206),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input207 (.A(la_oenb[20]),
+    .X(net207),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input208 (.A(la_oenb[21]),
+    .X(net208),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input209 (.A(la_oenb[22]),
+    .X(net209),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input210 (.A(la_oenb[23]),
+    .X(net210),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input211 (.A(la_oenb[24]),
+    .X(net211),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input212 (.A(la_oenb[25]),
+    .X(net212),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input213 (.A(la_oenb[26]),
+    .X(net213),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input214 (.A(la_oenb[27]),
+    .X(net214),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input215 (.A(la_oenb[28]),
+    .X(net215),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input216 (.A(la_oenb[29]),
+    .X(net216),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input217 (.A(la_oenb[2]),
+    .X(net217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input218 (.A(la_oenb[30]),
+    .X(net218),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input219 (.A(la_oenb[31]),
+    .X(net219),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input220 (.A(la_oenb[32]),
+    .X(net220),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input221 (.A(la_oenb[33]),
+    .X(net221),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input222 (.A(la_oenb[34]),
+    .X(net222),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input223 (.A(la_oenb[35]),
+    .X(net223),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input224 (.A(la_oenb[36]),
+    .X(net224),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input225 (.A(la_oenb[37]),
+    .X(net225),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input226 (.A(la_oenb[38]),
+    .X(net226),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input227 (.A(la_oenb[39]),
+    .X(net227),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input228 (.A(la_oenb[3]),
+    .X(net228),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input229 (.A(la_oenb[40]),
+    .X(net229),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input230 (.A(la_oenb[41]),
+    .X(net230),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input231 (.A(la_oenb[42]),
+    .X(net231),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input232 (.A(la_oenb[43]),
+    .X(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input233 (.A(la_oenb[44]),
+    .X(net233),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input234 (.A(la_oenb[45]),
+    .X(net234),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input235 (.A(la_oenb[46]),
+    .X(net235),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input236 (.A(la_oenb[47]),
+    .X(net236),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input237 (.A(la_oenb[48]),
+    .X(net237),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input238 (.A(la_oenb[49]),
+    .X(net238),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input239 (.A(la_oenb[4]),
+    .X(net239),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input240 (.A(la_oenb[50]),
+    .X(net240),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input241 (.A(la_oenb[51]),
+    .X(net241),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input242 (.A(la_oenb[52]),
+    .X(net242),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input243 (.A(la_oenb[53]),
+    .X(net243),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input244 (.A(la_oenb[54]),
+    .X(net244),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input245 (.A(la_oenb[55]),
+    .X(net245),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input246 (.A(la_oenb[56]),
+    .X(net246),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input247 (.A(la_oenb[57]),
+    .X(net247),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input248 (.A(la_oenb[58]),
+    .X(net248),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input249 (.A(la_oenb[59]),
+    .X(net249),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input250 (.A(la_oenb[5]),
+    .X(net250),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input251 (.A(la_oenb[60]),
+    .X(net251),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input252 (.A(la_oenb[61]),
+    .X(net252),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input253 (.A(la_oenb[62]),
+    .X(net253),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input254 (.A(la_oenb[63]),
+    .X(net254),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input255 (.A(la_oenb[64]),
+    .X(net255),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input256 (.A(la_oenb[65]),
+    .X(net256),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input257 (.A(la_oenb[66]),
+    .X(net257),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input258 (.A(la_oenb[67]),
+    .X(net258),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input259 (.A(la_oenb[68]),
+    .X(net259),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input260 (.A(la_oenb[69]),
+    .X(net260),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input261 (.A(la_oenb[6]),
+    .X(net261),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input262 (.A(la_oenb[70]),
+    .X(net262),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input263 (.A(la_oenb[71]),
+    .X(net263),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input264 (.A(la_oenb[72]),
+    .X(net264),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input265 (.A(la_oenb[73]),
+    .X(net265),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input266 (.A(la_oenb[74]),
+    .X(net266),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input267 (.A(la_oenb[75]),
+    .X(net267),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input268 (.A(la_oenb[76]),
+    .X(net268),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input269 (.A(la_oenb[77]),
+    .X(net269),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input270 (.A(la_oenb[78]),
+    .X(net270),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input271 (.A(la_oenb[79]),
+    .X(net271),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input272 (.A(la_oenb[7]),
+    .X(net272),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input273 (.A(la_oenb[80]),
+    .X(net273),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input274 (.A(la_oenb[81]),
+    .X(net274),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input275 (.A(la_oenb[82]),
+    .X(net275),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input276 (.A(la_oenb[83]),
+    .X(net276),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input277 (.A(la_oenb[84]),
+    .X(net277),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input278 (.A(la_oenb[85]),
+    .X(net278),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input279 (.A(la_oenb[86]),
+    .X(net279),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input280 (.A(la_oenb[87]),
+    .X(net280),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input281 (.A(la_oenb[88]),
+    .X(net281),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input282 (.A(la_oenb[89]),
+    .X(net282),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input283 (.A(la_oenb[8]),
+    .X(net283),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input284 (.A(la_oenb[90]),
+    .X(net284),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input285 (.A(la_oenb[91]),
+    .X(net285),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input286 (.A(la_oenb[92]),
+    .X(net286),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input287 (.A(la_oenb[93]),
+    .X(net287),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input288 (.A(la_oenb[94]),
+    .X(net288),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input289 (.A(la_oenb[95]),
+    .X(net289),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input290 (.A(la_oenb[96]),
+    .X(net290),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input291 (.A(la_oenb[97]),
+    .X(net291),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input292 (.A(la_oenb[98]),
+    .X(net292),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input293 (.A(la_oenb[99]),
+    .X(net293),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input294 (.A(la_oenb[9]),
+    .X(net294),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input295 (.A(wb_clk_i),
+    .X(net295),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input296 (.A(wb_rst_i),
+    .X(net296),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input297 (.A(wbs_adr_i[0]),
+    .X(net297),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input298 (.A(wbs_adr_i[10]),
+    .X(net298),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input299 (.A(wbs_adr_i[11]),
+    .X(net299),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input300 (.A(wbs_adr_i[12]),
+    .X(net300),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input301 (.A(wbs_adr_i[13]),
+    .X(net301),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input302 (.A(wbs_adr_i[14]),
+    .X(net302),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input303 (.A(wbs_adr_i[15]),
+    .X(net303),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input304 (.A(wbs_adr_i[16]),
+    .X(net304),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input305 (.A(wbs_adr_i[17]),
+    .X(net305),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input306 (.A(wbs_adr_i[18]),
+    .X(net306),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input307 (.A(wbs_adr_i[19]),
+    .X(net307),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input308 (.A(wbs_adr_i[1]),
+    .X(net308),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input309 (.A(wbs_adr_i[20]),
+    .X(net309),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input310 (.A(wbs_adr_i[21]),
+    .X(net310),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input311 (.A(wbs_adr_i[22]),
+    .X(net311),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input312 (.A(wbs_adr_i[23]),
+    .X(net312),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input313 (.A(wbs_adr_i[24]),
+    .X(net313),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input314 (.A(wbs_adr_i[25]),
+    .X(net314),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input315 (.A(wbs_adr_i[26]),
+    .X(net315),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input316 (.A(wbs_adr_i[27]),
+    .X(net316),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input317 (.A(wbs_adr_i[28]),
+    .X(net317),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input318 (.A(wbs_adr_i[29]),
+    .X(net318),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input319 (.A(wbs_adr_i[2]),
+    .X(net319),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input320 (.A(wbs_adr_i[30]),
+    .X(net320),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input321 (.A(wbs_adr_i[31]),
+    .X(net321),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input322 (.A(wbs_adr_i[3]),
+    .X(net322),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input323 (.A(wbs_adr_i[4]),
+    .X(net323),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input324 (.A(wbs_adr_i[5]),
+    .X(net324),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input325 (.A(wbs_adr_i[6]),
+    .X(net325),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input326 (.A(wbs_adr_i[7]),
+    .X(net326),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input327 (.A(wbs_adr_i[8]),
+    .X(net327),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input328 (.A(wbs_adr_i[9]),
+    .X(net328),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input329 (.A(wbs_cyc_i),
+    .X(net329),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input330 (.A(wbs_dat_i[0]),
+    .X(net330),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input331 (.A(wbs_dat_i[10]),
+    .X(net331),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input332 (.A(wbs_dat_i[11]),
+    .X(net332),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input333 (.A(wbs_dat_i[12]),
+    .X(net333),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input334 (.A(wbs_dat_i[13]),
+    .X(net334),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input335 (.A(wbs_dat_i[14]),
+    .X(net335),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input336 (.A(wbs_dat_i[15]),
+    .X(net336),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input337 (.A(wbs_dat_i[16]),
+    .X(net337),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input338 (.A(wbs_dat_i[17]),
+    .X(net338),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input339 (.A(wbs_dat_i[18]),
+    .X(net339),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input340 (.A(wbs_dat_i[19]),
+    .X(net340),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input341 (.A(wbs_dat_i[1]),
+    .X(net341),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input342 (.A(wbs_dat_i[20]),
+    .X(net342),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input343 (.A(wbs_dat_i[21]),
+    .X(net343),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input344 (.A(wbs_dat_i[22]),
+    .X(net344),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input345 (.A(wbs_dat_i[23]),
+    .X(net345),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input346 (.A(wbs_dat_i[24]),
+    .X(net346),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input347 (.A(wbs_dat_i[25]),
+    .X(net347),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input348 (.A(wbs_dat_i[26]),
+    .X(net348),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input349 (.A(wbs_dat_i[27]),
+    .X(net349),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input350 (.A(wbs_dat_i[28]),
+    .X(net350),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input351 (.A(wbs_dat_i[29]),
+    .X(net351),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input352 (.A(wbs_dat_i[2]),
+    .X(net352),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input353 (.A(wbs_dat_i[30]),
+    .X(net353),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input354 (.A(wbs_dat_i[31]),
+    .X(net354),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input355 (.A(wbs_dat_i[3]),
+    .X(net355),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input356 (.A(wbs_dat_i[4]),
+    .X(net356),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input357 (.A(wbs_dat_i[5]),
+    .X(net357),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input358 (.A(wbs_dat_i[6]),
+    .X(net358),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input359 (.A(wbs_dat_i[7]),
+    .X(net359),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input360 (.A(wbs_dat_i[8]),
+    .X(net360),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input361 (.A(wbs_dat_i[9]),
+    .X(net361),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input362 (.A(wbs_sel_i[0]),
+    .X(net362),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input363 (.A(wbs_sel_i[1]),
+    .X(net363),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input364 (.A(wbs_sel_i[2]),
+    .X(net364),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input365 (.A(wbs_sel_i[3]),
+    .X(net365),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input366 (.A(wbs_stb_i),
+    .X(net366),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_1 input367 (.A(wbs_we_i),
+    .X(net367),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output368 (.A(net368),
+    .X(io_oeb[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output369 (.A(net369),
+    .X(io_oeb[10]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output370 (.A(net370),
+    .X(io_oeb[11]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output371 (.A(net371),
+    .X(io_oeb[12]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output372 (.A(net372),
+    .X(io_oeb[13]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output373 (.A(net373),
+    .X(io_oeb[14]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output374 (.A(net374),
+    .X(io_oeb[15]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output375 (.A(net375),
+    .X(io_oeb[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output376 (.A(net376),
+    .X(io_oeb[17]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output377 (.A(net377),
+    .X(io_oeb[18]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output378 (.A(net378),
+    .X(io_oeb[19]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output379 (.A(net379),
+    .X(io_oeb[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output380 (.A(net380),
+    .X(io_oeb[20]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output381 (.A(net381),
+    .X(io_oeb[21]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output382 (.A(net382),
+    .X(io_oeb[22]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output383 (.A(net383),
+    .X(io_oeb[23]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output384 (.A(net384),
+    .X(io_oeb[24]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output385 (.A(net385),
+    .X(io_oeb[25]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output386 (.A(net386),
+    .X(io_oeb[26]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output387 (.A(net387),
+    .X(io_oeb[27]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output388 (.A(net388),
+    .X(io_oeb[28]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output389 (.A(net389),
+    .X(io_oeb[29]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output390 (.A(net390),
+    .X(io_oeb[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output391 (.A(net391),
+    .X(io_oeb[30]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output392 (.A(net392),
+    .X(io_oeb[31]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output393 (.A(net393),
+    .X(io_oeb[32]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output394 (.A(net394),
+    .X(io_oeb[33]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output395 (.A(net395),
+    .X(io_oeb[34]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output396 (.A(net396),
+    .X(io_oeb[35]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output397 (.A(net397),
+    .X(io_oeb[36]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output398 (.A(net398),
+    .X(io_oeb[37]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output399 (.A(net399),
+    .X(io_oeb[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output400 (.A(net400),
+    .X(io_oeb[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output401 (.A(net401),
+    .X(io_oeb[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output402 (.A(net402),
+    .X(io_oeb[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output403 (.A(net403),
+    .X(io_oeb[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output404 (.A(net404),
+    .X(io_oeb[8]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output405 (.A(net405),
+    .X(io_oeb[9]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output406 (.A(net406),
+    .X(io_out[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output407 (.A(net407),
+    .X(io_out[10]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output408 (.A(net408),
+    .X(io_out[11]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output409 (.A(net409),
+    .X(io_out[12]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output410 (.A(net410),
+    .X(io_out[13]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output411 (.A(net411),
+    .X(io_out[14]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output412 (.A(net412),
+    .X(io_out[15]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output413 (.A(net413),
+    .X(io_out[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output414 (.A(net414),
+    .X(io_out[17]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output415 (.A(net415),
+    .X(io_out[18]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output416 (.A(net416),
+    .X(io_out[19]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output417 (.A(net417),
+    .X(io_out[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output418 (.A(net418),
+    .X(io_out[20]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output419 (.A(net419),
+    .X(io_out[21]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output420 (.A(net420),
+    .X(io_out[22]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output421 (.A(net421),
+    .X(io_out[23]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output422 (.A(net422),
+    .X(io_out[24]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output423 (.A(net423),
+    .X(io_out[25]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output424 (.A(net424),
+    .X(io_out[26]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output425 (.A(net425),
+    .X(io_out[27]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output426 (.A(net426),
+    .X(io_out[28]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output427 (.A(net427),
+    .X(io_out[29]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output428 (.A(net428),
+    .X(io_out[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output429 (.A(net429),
+    .X(io_out[30]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output430 (.A(net430),
+    .X(io_out[31]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output431 (.A(net431),
+    .X(io_out[32]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output432 (.A(net432),
+    .X(io_out[33]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output433 (.A(net433),
+    .X(io_out[34]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output434 (.A(net434),
+    .X(io_out[35]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output435 (.A(net435),
+    .X(io_out[36]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output436 (.A(net436),
+    .X(io_out[37]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output437 (.A(net437),
+    .X(io_out[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output438 (.A(net438),
+    .X(io_out[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output439 (.A(net439),
+    .X(io_out[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output440 (.A(net440),
+    .X(io_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output441 (.A(net441),
+    .X(io_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output442 (.A(net442),
+    .X(io_out[8]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output443 (.A(net443),
+    .X(io_out[9]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output444 (.A(net444),
+    .X(irq[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output445 (.A(net445),
+    .X(irq[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output446 (.A(net446),
+    .X(irq[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output447 (.A(net447),
+    .X(la_data_out[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output448 (.A(net448),
+    .X(la_data_out[100]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output449 (.A(net449),
+    .X(la_data_out[101]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output450 (.A(net450),
+    .X(la_data_out[102]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output451 (.A(net451),
+    .X(la_data_out[103]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output452 (.A(net452),
+    .X(la_data_out[104]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output453 (.A(net453),
+    .X(la_data_out[105]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output454 (.A(net454),
+    .X(la_data_out[106]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output455 (.A(net455),
+    .X(la_data_out[107]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output456 (.A(net456),
+    .X(la_data_out[108]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output457 (.A(net457),
+    .X(la_data_out[109]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output458 (.A(net458),
+    .X(la_data_out[10]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output459 (.A(net459),
+    .X(la_data_out[110]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output460 (.A(net460),
+    .X(la_data_out[111]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output461 (.A(net461),
+    .X(la_data_out[112]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output462 (.A(net462),
+    .X(la_data_out[113]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output463 (.A(net463),
+    .X(la_data_out[114]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output464 (.A(net464),
+    .X(la_data_out[115]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output465 (.A(net465),
+    .X(la_data_out[116]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output466 (.A(net466),
+    .X(la_data_out[117]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output467 (.A(net467),
+    .X(la_data_out[118]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output468 (.A(net468),
+    .X(la_data_out[119]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output469 (.A(net469),
+    .X(la_data_out[11]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output470 (.A(net470),
+    .X(la_data_out[120]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output471 (.A(net471),
+    .X(la_data_out[121]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output472 (.A(net472),
+    .X(la_data_out[122]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output473 (.A(net473),
+    .X(la_data_out[123]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output474 (.A(net474),
+    .X(la_data_out[124]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output475 (.A(net475),
+    .X(la_data_out[125]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output476 (.A(net476),
+    .X(la_data_out[126]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output477 (.A(net477),
+    .X(la_data_out[127]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output478 (.A(net478),
+    .X(la_data_out[12]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output479 (.A(net479),
+    .X(la_data_out[13]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output480 (.A(net480),
+    .X(la_data_out[14]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output481 (.A(net481),
+    .X(la_data_out[15]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output482 (.A(net482),
+    .X(la_data_out[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output483 (.A(net483),
+    .X(la_data_out[17]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output484 (.A(net484),
+    .X(la_data_out[18]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output485 (.A(net485),
+    .X(la_data_out[19]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output486 (.A(net486),
+    .X(la_data_out[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output487 (.A(net487),
+    .X(la_data_out[20]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output488 (.A(net488),
+    .X(la_data_out[21]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output489 (.A(net489),
+    .X(la_data_out[22]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output490 (.A(net490),
+    .X(la_data_out[23]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output491 (.A(net491),
+    .X(la_data_out[24]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output492 (.A(net492),
+    .X(la_data_out[25]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output493 (.A(net493),
+    .X(la_data_out[26]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output494 (.A(net494),
+    .X(la_data_out[27]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output495 (.A(net495),
+    .X(la_data_out[28]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output496 (.A(net496),
+    .X(la_data_out[29]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output497 (.A(net497),
+    .X(la_data_out[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output498 (.A(net498),
+    .X(la_data_out[30]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output499 (.A(net499),
+    .X(la_data_out[31]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output500 (.A(net500),
+    .X(la_data_out[32]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output501 (.A(net501),
+    .X(la_data_out[33]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output502 (.A(net502),
+    .X(la_data_out[34]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output503 (.A(net503),
+    .X(la_data_out[35]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output504 (.A(net504),
+    .X(la_data_out[36]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output505 (.A(net505),
+    .X(la_data_out[37]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output506 (.A(net506),
+    .X(la_data_out[38]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output507 (.A(net507),
+    .X(la_data_out[39]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output508 (.A(net508),
+    .X(la_data_out[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output509 (.A(net509),
+    .X(la_data_out[40]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output510 (.A(net510),
+    .X(la_data_out[41]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output511 (.A(net511),
+    .X(la_data_out[42]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output512 (.A(net512),
+    .X(la_data_out[43]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output513 (.A(net513),
+    .X(la_data_out[44]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output514 (.A(net514),
+    .X(la_data_out[45]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output515 (.A(net515),
+    .X(la_data_out[46]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output516 (.A(net516),
+    .X(la_data_out[47]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output517 (.A(net517),
+    .X(la_data_out[48]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output518 (.A(net518),
+    .X(la_data_out[49]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output519 (.A(net519),
+    .X(la_data_out[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output520 (.A(net520),
+    .X(la_data_out[50]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output521 (.A(net521),
+    .X(la_data_out[51]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output522 (.A(net522),
+    .X(la_data_out[52]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output523 (.A(net523),
+    .X(la_data_out[53]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output524 (.A(net524),
+    .X(la_data_out[54]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output525 (.A(net525),
+    .X(la_data_out[55]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output526 (.A(net526),
+    .X(la_data_out[56]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output527 (.A(net527),
+    .X(la_data_out[57]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output528 (.A(net528),
+    .X(la_data_out[58]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output529 (.A(net529),
+    .X(la_data_out[59]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output530 (.A(net530),
+    .X(la_data_out[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output531 (.A(net531),
+    .X(la_data_out[60]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output532 (.A(net532),
+    .X(la_data_out[61]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output533 (.A(net533),
+    .X(la_data_out[62]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output534 (.A(net534),
+    .X(la_data_out[63]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output535 (.A(net535),
+    .X(la_data_out[64]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output536 (.A(net536),
+    .X(la_data_out[65]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output537 (.A(net537),
+    .X(la_data_out[66]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output538 (.A(net538),
+    .X(la_data_out[67]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output539 (.A(net539),
+    .X(la_data_out[68]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output540 (.A(net540),
+    .X(la_data_out[69]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output541 (.A(net541),
+    .X(la_data_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output542 (.A(net542),
+    .X(la_data_out[70]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output543 (.A(net543),
+    .X(la_data_out[71]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output544 (.A(net544),
+    .X(la_data_out[72]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output545 (.A(net545),
+    .X(la_data_out[73]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output546 (.A(net546),
+    .X(la_data_out[74]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output547 (.A(net547),
+    .X(la_data_out[75]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output548 (.A(net548),
+    .X(la_data_out[76]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output549 (.A(net549),
+    .X(la_data_out[77]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output550 (.A(net550),
+    .X(la_data_out[78]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output551 (.A(net551),
+    .X(la_data_out[79]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output552 (.A(net552),
+    .X(la_data_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output553 (.A(net553),
+    .X(la_data_out[80]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output554 (.A(net554),
+    .X(la_data_out[81]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output555 (.A(net555),
+    .X(la_data_out[82]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output556 (.A(net556),
+    .X(la_data_out[83]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output557 (.A(net557),
+    .X(la_data_out[84]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output558 (.A(net558),
+    .X(la_data_out[85]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output559 (.A(net559),
+    .X(la_data_out[86]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output560 (.A(net560),
+    .X(la_data_out[87]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output561 (.A(net561),
+    .X(la_data_out[88]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output562 (.A(net562),
+    .X(la_data_out[89]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output563 (.A(net563),
+    .X(la_data_out[8]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output564 (.A(net564),
+    .X(la_data_out[90]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output565 (.A(net565),
+    .X(la_data_out[91]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output566 (.A(net566),
+    .X(la_data_out[92]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output567 (.A(net567),
+    .X(la_data_out[93]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output568 (.A(net568),
+    .X(la_data_out[94]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output569 (.A(net569),
+    .X(la_data_out[95]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output570 (.A(net570),
+    .X(la_data_out[96]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output571 (.A(net571),
+    .X(la_data_out[97]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output572 (.A(net572),
+    .X(la_data_out[98]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output573 (.A(net573),
+    .X(la_data_out[99]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output574 (.A(net574),
+    .X(la_data_out[9]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output575 (.A(net575),
+    .X(wbs_ack_o),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output576 (.A(net576),
+    .X(wbs_dat_o[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output577 (.A(net577),
+    .X(wbs_dat_o[10]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output578 (.A(net578),
+    .X(wbs_dat_o[11]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output579 (.A(net579),
+    .X(wbs_dat_o[12]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output580 (.A(net580),
+    .X(wbs_dat_o[13]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output581 (.A(net581),
+    .X(wbs_dat_o[14]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output582 (.A(net582),
+    .X(wbs_dat_o[15]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output583 (.A(net583),
+    .X(wbs_dat_o[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output584 (.A(net584),
+    .X(wbs_dat_o[17]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output585 (.A(net585),
+    .X(wbs_dat_o[18]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output586 (.A(net586),
+    .X(wbs_dat_o[19]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output587 (.A(net587),
+    .X(wbs_dat_o[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output588 (.A(net588),
+    .X(wbs_dat_o[20]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output589 (.A(net589),
+    .X(wbs_dat_o[21]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output590 (.A(net590),
+    .X(wbs_dat_o[22]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output591 (.A(net591),
+    .X(wbs_dat_o[23]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output592 (.A(net592),
+    .X(wbs_dat_o[24]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output593 (.A(net593),
+    .X(wbs_dat_o[25]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output594 (.A(net594),
+    .X(wbs_dat_o[26]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output595 (.A(net595),
+    .X(wbs_dat_o[27]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output596 (.A(net596),
+    .X(wbs_dat_o[28]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output597 (.A(net597),
+    .X(wbs_dat_o[29]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output598 (.A(net598),
+    .X(wbs_dat_o[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output599 (.A(net599),
+    .X(wbs_dat_o[30]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output600 (.A(net600),
+    .X(wbs_dat_o[31]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output601 (.A(net601),
+    .X(wbs_dat_o[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output602 (.A(net602),
+    .X(wbs_dat_o[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output603 (.A(net603),
+    .X(wbs_dat_o[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output604 (.A(net604),
+    .X(wbs_dat_o[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output605 (.A(net605),
+    .X(wbs_dat_o[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output606 (.A(net606),
+    .X(wbs_dat_o[8]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__clkbuf_2 output607 (.A(net607),
+    .X(wbs_dat_o[9]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_0 (.DIODE(net368),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_1 (.DIODE(net368),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_2 (.DIODE(net369),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_3 (.DIODE(net369),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_4 (.DIODE(net370),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_5 (.DIODE(net370),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_6 (.DIODE(net371),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_7 (.DIODE(net371),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_8 (.DIODE(net376),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_9 (.DIODE(net376),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_10 (.DIODE(net378),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_11 (.DIODE(net378),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_12 (.DIODE(net379),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_13 (.DIODE(net379),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_14 (.DIODE(net381),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_15 (.DIODE(net381),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_16 (.DIODE(net381),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_17 (.DIODE(net383),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_18 (.DIODE(net384),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_19 (.DIODE(net384),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_20 (.DIODE(net384),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_21 (.DIODE(net387),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_22 (.DIODE(net388),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_23 (.DIODE(net388),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_24 (.DIODE(net389),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_25 (.DIODE(net390),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_26 (.DIODE(net390),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_27 (.DIODE(net391),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_28 (.DIODE(net391),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_29 (.DIODE(net391),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_30 (.DIODE(net394),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_31 (.DIODE(net394),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_32 (.DIODE(net395),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_33 (.DIODE(net395),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_34 (.DIODE(net396),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_35 (.DIODE(net396),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_36 (.DIODE(net397),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_37 (.DIODE(net397),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_38 (.DIODE(net398),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_39 (.DIODE(net401),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_40 (.DIODE(net401),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_41 (.DIODE(net403),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_42 (.DIODE(net403),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_43 (.DIODE(net408),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_44 (.DIODE(net408),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_45 (.DIODE(net409),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_46 (.DIODE(net409),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_47 (.DIODE(net410),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_48 (.DIODE(net410),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_49 (.DIODE(net411),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_50 (.DIODE(net411),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_51 (.DIODE(net413),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_52 (.DIODE(net413),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_53 (.DIODE(net414),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_54 (.DIODE(net414),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_55 (.DIODE(net415),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_56 (.DIODE(net415),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_57 (.DIODE(net418),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_58 (.DIODE(net418),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_59 (.DIODE(net419),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_60 (.DIODE(net419),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_61 (.DIODE(net420),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_62 (.DIODE(net420),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_63 (.DIODE(net422),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_64 (.DIODE(net422),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_65 (.DIODE(net423),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_66 (.DIODE(net423),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_67 (.DIODE(net424),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_68 (.DIODE(net424),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_69 (.DIODE(net425),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_70 (.DIODE(net425),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_71 (.DIODE(net426),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_72 (.DIODE(net426),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_73 (.DIODE(net427),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_74 (.DIODE(net427),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_75 (.DIODE(net428),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_76 (.DIODE(net428),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_77 (.DIODE(net429),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_78 (.DIODE(net429),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_79 (.DIODE(net431),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_80 (.DIODE(net431),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_81 (.DIODE(net431),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_82 (.DIODE(net433),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_83 (.DIODE(net433),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_84 (.DIODE(net433),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_85 (.DIODE(net436),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_86 (.DIODE(net436),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_87 (.DIODE(net439),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_88 (.DIODE(net439),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_89 (.DIODE(net440),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_90 (.DIODE(net440),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_91 (.DIODE(net443),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_92 (.DIODE(net443),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_93 (.DIODE(net450),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_94 (.DIODE(net450),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_95 (.DIODE(net453),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_96 (.DIODE(net455),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_97 (.DIODE(net455),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_98 (.DIODE(net458),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_99 (.DIODE(net458),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_100 (.DIODE(net459),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_101 (.DIODE(net459),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_102 (.DIODE(net461),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_103 (.DIODE(net462),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_104 (.DIODE(net462),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_105 (.DIODE(net462),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_106 (.DIODE(net464),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_107 (.DIODE(net464),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_108 (.DIODE(net464),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_109 (.DIODE(net466),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_110 (.DIODE(net470),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_111 (.DIODE(net472),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_112 (.DIODE(net473),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_113 (.DIODE(net473),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_114 (.DIODE(net474),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_115 (.DIODE(net474),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_116 (.DIODE(net474),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_117 (.DIODE(net475),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_118 (.DIODE(net477),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_119 (.DIODE(net480),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_120 (.DIODE(net480),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_121 (.DIODE(net488),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_122 (.DIODE(net488),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_123 (.DIODE(net489),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_124 (.DIODE(net489),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_125 (.DIODE(net490),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_126 (.DIODE(net490),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_127 (.DIODE(net494),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_128 (.DIODE(net494),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_129 (.DIODE(net498),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_130 (.DIODE(net498),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_131 (.DIODE(net499),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_132 (.DIODE(net499),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_133 (.DIODE(net500),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_134 (.DIODE(net500),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_135 (.DIODE(net503),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_136 (.DIODE(net503),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_137 (.DIODE(net507),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_138 (.DIODE(net507),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_139 (.DIODE(net509),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_140 (.DIODE(net509),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_141 (.DIODE(net517),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_142 (.DIODE(net517),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_143 (.DIODE(net520),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_144 (.DIODE(net520),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_145 (.DIODE(net521),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_146 (.DIODE(net521),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_147 (.DIODE(net522),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_148 (.DIODE(net522),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_149 (.DIODE(net524),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_150 (.DIODE(net525),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_151 (.DIODE(net526),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_152 (.DIODE(net526),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_153 (.DIODE(net528),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_154 (.DIODE(net528),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_155 (.DIODE(net528),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_156 (.DIODE(net531),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_157 (.DIODE(net532),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_158 (.DIODE(net532),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_159 (.DIODE(net535),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_160 (.DIODE(net535),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_161 (.DIODE(net536),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_162 (.DIODE(net536),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_163 (.DIODE(net539),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_164 (.DIODE(net539),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_165 (.DIODE(net542),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_166 (.DIODE(net542),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_167 (.DIODE(net543),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_168 (.DIODE(net543),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_169 (.DIODE(net544),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_170 (.DIODE(net544),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_171 (.DIODE(net546),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_172 (.DIODE(net546),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_173 (.DIODE(net547),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_174 (.DIODE(net547),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_175 (.DIODE(net553),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_176 (.DIODE(net553),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_177 (.DIODE(net554),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_178 (.DIODE(net554),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_179 (.DIODE(net561),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_180 (.DIODE(net561),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_181 (.DIODE(net562),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_182 (.DIODE(net562),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_183 (.DIODE(net564),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_184 (.DIODE(net564),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_185 (.DIODE(net565),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_186 (.DIODE(net565),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_187 (.DIODE(net566),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_188 (.DIODE(net566),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_189 (.DIODE(net567),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_190 (.DIODE(net568),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_191 (.DIODE(net568),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_192 (.DIODE(net569),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_193 (.DIODE(net570),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_194 (.DIODE(net570),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_195 (.DIODE(net572),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_196 (.DIODE(net572),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_197 (.DIODE(net576),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_198 (.DIODE(net576),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_199 (.DIODE(net577),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_200 (.DIODE(net577),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_201 (.DIODE(net579),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_202 (.DIODE(net579),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_203 (.DIODE(net580),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_204 (.DIODE(net580),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_205 (.DIODE(net582),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_206 (.DIODE(net582),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_207 (.DIODE(net583),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_208 (.DIODE(net583),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_209 (.DIODE(net585),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_210 (.DIODE(net585),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_211 (.DIODE(net586),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_212 (.DIODE(net587),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_213 (.DIODE(net587),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_214 (.DIODE(net588),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_215 (.DIODE(net588),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_216 (.DIODE(net589),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_217 (.DIODE(net589),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_218 (.DIODE(net590),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_219 (.DIODE(net590),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_220 (.DIODE(net593),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_221 (.DIODE(net593),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_222 (.DIODE(net595),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_223 (.DIODE(net595),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_224 (.DIODE(net596),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_225 (.DIODE(net596),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_226 (.DIODE(net597),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_227 (.DIODE(net597),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_228 (.DIODE(net598),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_229 (.DIODE(net598),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_230 (.DIODE(net601),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_231 (.DIODE(net601),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_232 (.DIODE(net603),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_233 (.DIODE(net603),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_234 (.DIODE(net607),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_235 (.DIODE(net607),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_373 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_529 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_0_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_0_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_1_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_1_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_1_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_1_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_1_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_1_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_1_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_1_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_1_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_1_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_1_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_1_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_1_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_525 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_1_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_1_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_1_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_1_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_2_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_2_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_2_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_2_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_2_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_2_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_2_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_2_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_2_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_2_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_2_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_2_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_2_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_2_460 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_511 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_2_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_2_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_2_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_3_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_3_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_3_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_3_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_506 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_3_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_3_563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_3_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_3_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_4_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_4_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_4_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_4_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_4_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_4_172 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_4_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_4_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_4_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_4_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_4_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_4_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_4_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_4_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_5_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_5_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_5_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_5_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_5_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_370 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_378 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_5_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_5_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_5_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_5_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_5_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_5_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_5_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_6_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_6_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_6_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_6_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_6_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_6_165 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_6_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_6_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_6_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_6_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_6_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_6_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_554 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_6_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_6_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_6_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_7_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_7_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_7_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_216 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_7_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_7_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_7_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_7_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_7_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_358 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_366 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_374 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_7_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_7_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_8_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_8_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_460 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_8_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_8_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_553 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_8_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_8_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_8_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_9_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_22 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_9_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_9_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_9_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_9_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_9_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_9_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_9_580 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_9_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_9_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_10_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_10_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_10_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_10_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_10_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_10_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_10_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_10_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_11_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_11_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_11_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_11_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_11_557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_11_580 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_11_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_11_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_12_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_12_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_12_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_12_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_12_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_12_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_12_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_12_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_12_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_12_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_12_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_12_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_12_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_13_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_13_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_13_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_13_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_13_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_13_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_13_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_13_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_13_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_13_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_13_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_370 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_378 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_14_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_14_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_14_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_14_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_14_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_14_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_23 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_31 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_15_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_15_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_15_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_15_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_15_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_15_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_15_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_15_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_15_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_15_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_15_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_15_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_16_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_286 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_16_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_16_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_16_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_16_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_16_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_16_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_17_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_17_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_17_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_527 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_17_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_17_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_18_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_18_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_18_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_244 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_18_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_18_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_19_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_19_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_19_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_19_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_19_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_19_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_19_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_19_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_19_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_19_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_19_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_20_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_20_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_20_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_20_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_20_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_20_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_20_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_20_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_20_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_20_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_20_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_20_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_20_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_21_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_21_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_21_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_21_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_21_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_21_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_21_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_22_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_22_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_22_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_22_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_216 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_224 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_22_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_22_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_22_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_22_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_22_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_22_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_22_511 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_22_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_22_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_23_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_23_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_23_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_23_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_23_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_511 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_527 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_23_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_23_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_24_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_24_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_24_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_24_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_24_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_24_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_24_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_24_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_24_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_24_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_24_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_25_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_25_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_25_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_25_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_25_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_25_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_25_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_25_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_25_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_25_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_25_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_25_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_25_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_25_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_26_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_26_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_26_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_26_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_26_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_26_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_26_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_26_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_26_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_26_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_26_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_26_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_26_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_27_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_27_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_27_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_266 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_27_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_27_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_27_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_27_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_27_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_525 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_27_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_27_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_27_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_28_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_28_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_28_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_28_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_28_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_28_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_28_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_28_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_28_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_28_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_28_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_28_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_28_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_28_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_28_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_28_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_28_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_28_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_28_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_28_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_28_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_28_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_28_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_28_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_28_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_28_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_29_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_29_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_29_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_29_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_29_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_29_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_29_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_29_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_29_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_29_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_29_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_29_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_29_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_78 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_30_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_30_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_30_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_286 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_30_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_30_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_30_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_30_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_31_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_31_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_31_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_31_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_32_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_32_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_32_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_32_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_33_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_33_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_33_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_33_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_33_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_34_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_34_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_34_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_34_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_35_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_35_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_35_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_35_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_35_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_35_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_35_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_35_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_35_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_35_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_511 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_527 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_35_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_35_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_35_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_35_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_35_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_36_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_36_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_36_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_36_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_36_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_36_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_36_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_36_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_36_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_36_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_36_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_36_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_36_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_37_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_31 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_37_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_37_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_37_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_37_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_37_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_37_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_37_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_37_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_37_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_37_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_37_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_37_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_37_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_38_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_38_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_38_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_38_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_38_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_38_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_38_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_38_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_38_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_38_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_38_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_38_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_39_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_39_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_39_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_39_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_39_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_40_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_40_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_40_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_40_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_40_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_40_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_40_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_40_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_40_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_40_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_40_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_41_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_41_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_506 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_41_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_42_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_42_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_42_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_42_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_43_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_43_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_43_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_43_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_43_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_44_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_44_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_44_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_44_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_44_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_44_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_44_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_44_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_44_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_44_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_44_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_44_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_44_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_45_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_45_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_45_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_45_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_45_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_45_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_45_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_45_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_45_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_45_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_45_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_45_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_46_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_46_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_46_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_46_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_46_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_46_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_46_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_46_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_46_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_46_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_46_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_46_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_46_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_47_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_160 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_47_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_47_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_47_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_47_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_48_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_48_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_48_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_48_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_278 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_286 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_48_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_48_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_48_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_48_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_48_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_48_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_48_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_48_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_49_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_49_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_49_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_49_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_49_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_49_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_49_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_49_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_49_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_49_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_49_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_49_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_49_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_49_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_50_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_50_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_50_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_50_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_50_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_50_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_51_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_51_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_51_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_51_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_51_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_51_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_51_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_51_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_51_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_51_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_51_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_51_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_51_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_51_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_51_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_51_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_52_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_52_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_52_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_52_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_52_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_52_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_52_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_52_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_52_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_52_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_52_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_52_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_52_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_52_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_52_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_53_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_53_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_53_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_53_580 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_53_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_54_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_54_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_54_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_54_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_54_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_54_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_54_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_54_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_54_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_54_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_54_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_55_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_55_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_55_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_55_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_55_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_55_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_55_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_55_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_55_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_55_538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_55_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_55_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_56_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_56_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_56_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_56_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_56_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_56_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_56_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_56_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_56_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_56_511 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_56_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_56_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_57_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_57_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_58_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_58_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_58_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_58_165 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_58_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_58_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_58_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_58_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_58_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_58_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_58_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_58_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_58_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_58_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_58_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_58_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_59_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_59_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_59_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_59_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_59_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_59_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_59_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_59_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_59_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_59_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_59_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_59_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_59_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_59_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_60_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_60_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_60_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_60_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_60_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_60_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_60_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_60_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_60_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_60_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_60_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_60_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_61_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_61_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_61_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_61_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_61_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_61_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_61_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_61_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_61_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_61_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_61_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_61_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_61_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_61_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_62_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_62_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_62_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_62_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_62_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_62_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_62_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_62_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_62_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_62_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_62_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_62_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_62_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_63_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_63_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_63_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_63_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_63_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_63_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_63_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_140 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_63_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_63_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_63_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_63_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_63_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_63_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_63_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_63_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_63_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_63_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_63_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_63_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_63_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_63_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_63_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_63_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_63_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_63_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_64_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_64_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_64_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_64_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_64_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_64_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_64_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_64_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_64_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_64_442 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_64_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_64_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_64_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_64_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_64_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_64_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_65_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_65_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_65_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_65_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_65_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_65_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_65_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_65_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_65_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_65_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_65_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_65_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_66_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_66_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_66_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_66_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_66_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_66_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_67_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_67_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_67_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_67_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_67_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_67_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_67_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_67_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_67_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_67_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_67_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_67_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_67_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_67_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_67_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_68_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_68_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_68_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_68_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_68_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_68_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_68_244 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_68_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_68_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_68_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_68_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_442 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_68_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_68_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_68_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_68_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_69_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_69_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_69_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_69_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_69_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_69_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_69_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_69_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_69_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_69_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_69_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_69_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_69_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_70_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_70_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_70_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_70_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_70_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_70_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_70_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_70_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_70_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_70_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_70_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_70_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_70_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_71_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_71_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_71_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_71_534 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_71_560 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_71_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_71_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_71_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_72_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_72_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_72_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_72_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_73_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_73_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_73_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_73_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_73_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_73_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_252 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_73_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_73_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_73_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_73_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_73_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_73_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_73_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_73_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_73_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_74_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_74_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_74_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_74_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_74_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_74_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_74_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_74_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_74_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_74_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_74_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_74_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_75_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_75_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_75_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_75_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_75_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_75_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_75_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_75_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_338 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_354 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_370 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_75_378 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_75_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_75_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_75_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_75_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_75_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_75_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_76_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_76_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_165 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_76_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_77_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_77_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_77_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_77_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_77_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_77_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_78_10 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_78_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_78_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_78_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_580 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_80_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_80_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_80_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_80_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_244 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_80_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_80_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_404 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_80_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_80_460 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_80_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_80_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_278 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_553 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_81_589 (.VGND(vssd1),
+=======
  sky130_fd_sc_hd__diode_2 ANTENNA__750__A0 (.DIODE(_033_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -202156,6 +239297,7 @@
  sky130_fd_sc_hd__dlygate4sd1_1 rebuffer99 (.A(net343),
     .X(net341),
     .VGND(vssd1),
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
diff --git a/verilog/rtl/user_proj_cells.v b/verilog/rtl/user_proj_cells.v
new file mode 100644
index 0000000..0921633
--- /dev/null
+++ b/verilog/rtl/user_proj_cells.v
@@ -0,0 +1,215 @@
+`default_nettype none
+
+/*
+ *-------------------------------------------------------------
+ *
+ * user_proj_cells  (LibreSilicon Testwafer #1)
+ *
+ */
+
+module AND2X1(
+  inout A, // input
+  inout B, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module AND2X2(
+  inout A, // input
+  inout B, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module AOI21X1(
+  inout A, // input
+  inout B, // input
+  inout C, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module AOI22X1(
+  inout A, // input
+  inout B, // input
+  inout C, // input
+  inout D, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module BUFX2(
+  inout A, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module BUFX4(
+  inout A, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module CLKBUF1(
+  inout A, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module INV(
+  inout A, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module INVX1(
+  inout A, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module INVX2(
+  inout A, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module INVX4(
+  inout A, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module INVX8(
+  inout A, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module MUX2X1(
+  inout A, // input
+  inout B, // input
+  inout S, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module NAND2X1(
+  inout A, // input
+  inout B, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module NAND3X1(
+  inout A, // input
+  inout B, // input
+  inout C, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module OR2X1(
+  inout A, // input
+  inout B, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module OR2X2(
+  inout A, // input
+  inout B, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module XNOR2X1(
+  inout A, // input
+  inout B, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
+module XOR2X1(
+  inout A, // input
+  inout B, // input
+  inout Y, // output
+     `ifdef USE_POWER_PINS
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
+     `endif
+);
+endmodule
+
diff --git a/verilog/rtl/user_proj_example.v b/verilog/rtl/user_proj_example.v
index 26081e9..5b92dd4 100644
--- a/verilog/rtl/user_proj_example.v
+++ b/verilog/rtl/user_proj_example.v
@@ -1,46 +1,29 @@
-// SPDX-FileCopyrightText: 2020 Efabless Corporation
-//
-// Licensed under the Apache License, Version 2.0 (the "License");
-// you may not use this file except in compliance with the License.
-// You may obtain a copy of the License at
-//
-//      http://www.apache.org/licenses/LICENSE-2.0
-//
-// Unless required by applicable law or agreed to in writing, software
-// distributed under the License is distributed on an "AS IS" BASIS,
-// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-// See the License for the specific language governing permissions and
-// limitations under the License.
-// SPDX-License-Identifier: Apache-2.0
-
 `default_nettype none
+
 /*
  *-------------------------------------------------------------
  *
- * user_proj_example
+ * user_proj_ls130tw1  (LibreSilicon Testwafer #1)
  *
- * This is an example of a (trivially simple) user project,
- * showing how the user project can connect to the logic
- * analyzer, the wishbone bus, and the I/O pads.
- *
- * This project generates an integer count, which is output
- * on the user area GPIO pads (digital output only).  The
- * wishbone connection allows the project to be controlled
- * (start and stop) from the management SoC program.
- *
- * See the testbenches in directory "mprj_counter" for the
- * example programs that drive this user project.  The three
- * testbenches are "io_ports", "la_test1", and "la_test2".
- *
- *-------------------------------------------------------------
  */
 
 module user_proj_example #(
     parameter BITS = 32
 )(
 `ifdef USE_POWER_PINS
+<<<<<<< HEAD
+    inout vdda1,        // User area 1 3.3V supply
+    inout vdda2,        // User area 2 3.3V supply
+    inout vssa1,        // User area 1 analog ground
+    inout vssa2,        // User area 2 analog ground
+    inout vccd1,        // User area 1 1.8V supply
+    inout vccd2,        // User area 2 1.8v supply
+    inout vssd1,        // User area 1 digital ground
+    inout vssd2,        // User area 2 digital ground
+=======
     inout vccd1,	// User area 1 1.8V supply
     inout vssd1,	// User area 1 digital ground
+>>>>>>> c5da9e9885e361d05dee2aa6303a69fc26423c8e
 `endif
 
     // Wishbone Slave ports (WB MI A)
@@ -66,100 +49,218 @@
     output [`MPRJ_IO_PADS-1:0] io_oeb,
 
     // IRQ
-    output [2:0] irq
+    output [2:0] irq,
+
 );
-    wire clk;
-    wire rst;
-
-    wire [`MPRJ_IO_PADS-1:0] io_in;
-    wire [`MPRJ_IO_PADS-1:0] io_out;
-    wire [`MPRJ_IO_PADS-1:0] io_oeb;
-
-    wire [31:0] rdata; 
-    wire [31:0] wdata;
-    wire [BITS-1:0] count;
-
-    wire valid;
-    wire [3:0] wstrb;
-    wire [31:0] la_write;
-
-    // WB MI A
-    assign valid = wbs_cyc_i && wbs_stb_i; 
-    assign wstrb = wbs_sel_i & {4{wbs_we_i}};
-    assign wbs_dat_o = rdata;
-    assign wdata = wbs_dat_i;
-
-    // IO
-    assign io_out = count;
-    assign io_oeb = {(`MPRJ_IO_PADS-1){rst}};
 
     // IRQ
     assign irq = 3'b000;	// Unused
 
-    // LA
-    assign la_data_out = {{(127-BITS){1'b0}}, count};
-    // Assuming LA probes [63:32] are for controlling the count register  
-    assign la_write = ~la_oenb[63:32] & ~{BITS{valid}};
-    // Assuming LA probes [65:64] are for controlling the count clk & reset  
-    assign clk = (~la_oenb[64]) ? la_data_in[64]: wb_clk_i;
-    assign rst = (~la_oenb[65]) ? la_data_in[65]: wb_rst_i;
-
-    counter #(
-        .BITS(BITS)
-    ) counter(
-        .clk(clk),
-        .reset(rst),
-        .ready(wbs_ack_o),
-        .valid(valid),
-        .rdata(rdata),
-        .wdata(wbs_dat_i),
-        .wstrb(wstrb),
-        .la_write(la_write),
-        .la_input(la_data_in[63:32]),
-        .count(count)
-    );
-
-endmodule
-
-module counter #(
-    parameter BITS = 32
-)(
-    input clk,
-    input reset,
-    input valid,
-    input [3:0] wstrb,
-    input [BITS-1:0] wdata,
-    input [BITS-1:0] la_write,
-    input [BITS-1:0] la_input,
-    output ready,
-    output [BITS-1:0] rdata,
-    output [BITS-1:0] count
+AND2X1 AND2X1(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[0]),
+  .B(io_in[1]),
+  .Y(io_out[2]),
 );
-    reg ready;
-    reg [BITS-1:0] count;
-    reg [BITS-1:0] rdata;
-
-    always @(posedge clk) begin
-        if (reset) begin
-            count <= 0;
-            ready <= 0;
-        end else begin
-            ready <= 1'b0;
-            if (~|la_write) begin
-                count <= count + 1;
-            end
-            if (valid && !ready) begin
-                ready <= 1'b1;
-                rdata <= count;
-                if (wstrb[0]) count[7:0]   <= wdata[7:0];
-                if (wstrb[1]) count[15:8]  <= wdata[15:8];
-                if (wstrb[2]) count[23:16] <= wdata[23:16];
-                if (wstrb[3]) count[31:24] <= wdata[31:24];
-            end else if (|la_write) begin
-                count <= la_write & la_input;
-            end
-        end
-    end
-
+AND2X2 AND2X2(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[3]),
+  .B(io_in[4]),
+  .Y(io_out[5]),
+);
+AOI21X1 AOI21X1(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[6]),
+  .B(io_in[7]),
+  .C(io_in[8]),
+  .Y(io_out[9]),
+);
+AOI22X1 AOI22X1(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[10]),
+  .B(io_in[11]),
+  .C(io_in[12]),
+  .D(io_in[13]),
+  .Y(io_out[14]),
+);
+BUFX2 BUFX2(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[15]),
+  .Y(io_out[16]),
+);
+BUFX4 BUFX4(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[17]),
+  .Y(io_out[18]),
+);
+CLKBUF1 CLKBUF1(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[19]),
+  .Y(io_out[20]),
+);
+INV INV(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[21]),
+  .Y(io_out[22]),
+);
+INVX1 INVX1(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[23]),
+  .Y(io_out[24]),
+);
+INVX2 INVX2(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[25]),
+  .Y(io_out[26]),
+);
+INVX4 INVX4(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[27]),
+  .Y(io_out[28]),
+);
+INVX8 INVX8(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[29]),
+  .Y(io_out[30]),
+);
+MUX2X1 MUX2X1(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[31]),
+  .B(io_in[32]),
+  .S(io_in[33]),
+  .Y(io_out[34]),
+);
+NAND2X1 NAND2X1(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(io_in[35]),
+  .B(io_in[36]),
+  .Y(io_out[37]),
+);
+NAND3X1 NAND3X1(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(la_data_in[0]),
+  .B(la_data_in[1]),
+  .C(la_data_in[2]),
+  .Y(la_data_out[3]),
+);
+OR2X1 OR2X1(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(la_data_in[4]),
+  .B(la_data_in[5]),
+  .Y(la_data_out[6]),
+);
+OR2X2 OR2X2(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(la_data_in[7]),
+  .B(la_data_in[8]),
+  .Y(la_data_out[9]),
+);
+XNOR2X1 XNOR2X1(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(la_data_in[10]),
+  .B(la_data_in[11]),
+  .Y(la_data_out[12]),
+);
+XOR2X1 XOR2X1(
+ `ifdef USE_POWER_PINS
+  .VPWR(vccd1),
+  .VGND(vssd1),
+ `endif
+  .A(la_data_in[13]),
+  .B(la_data_in[14]),
+  .Y(la_data_out[15]),
+);
+assign io_oeb[0] = 1'b1;
+assign io_oeb[1] = 1'b1;
+assign io_oeb[2] = 1'b0;
+assign io_oeb[3] = 1'b1;
+assign io_oeb[4] = 1'b1;
+assign io_oeb[5] = 1'b0;
+assign io_oeb[6] = 1'b1;
+assign io_oeb[7] = 1'b1;
+assign io_oeb[8] = 1'b1;
+assign io_oeb[9] = 1'b0;
+assign io_oeb[10] = 1'b1;
+assign io_oeb[11] = 1'b1;
+assign io_oeb[12] = 1'b1;
+assign io_oeb[13] = 1'b1;
+assign io_oeb[14] = 1'b0;
+assign io_oeb[15] = 1'b1;
+assign io_oeb[16] = 1'b0;
+assign io_oeb[17] = 1'b1;
+assign io_oeb[18] = 1'b0;
+assign io_oeb[19] = 1'b1;
+assign io_oeb[20] = 1'b0;
+assign io_oeb[21] = 1'b1;
+assign io_oeb[22] = 1'b0;
+assign io_oeb[23] = 1'b1;
+assign io_oeb[24] = 1'b0;
+assign io_oeb[25] = 1'b1;
+assign io_oeb[26] = 1'b0;
+assign io_oeb[27] = 1'b1;
+assign io_oeb[28] = 1'b0;
+assign io_oeb[29] = 1'b1;
+assign io_oeb[30] = 1'b0;
+assign io_oeb[31] = 1'b1;
+assign io_oeb[32] = 1'b1;
+assign io_oeb[33] = 1'b1;
+assign io_oeb[34] = 1'b0;
+assign io_oeb[35] = 1'b1;
+assign io_oeb[36] = 1'b1;
+assign io_oeb[37] = 1'b0;
 endmodule
 `default_nettype wire